verilog: update from qfc
diff --git a/.gitmodules b/.gitmodules
new file mode 100644
index 0000000..ba94245
--- /dev/null
+++ b/.gitmodules
@@ -0,0 +1,3 @@
+[submodule "qfc"]
+	path = qfc
+	url = https://github.com/q3k/qfc
diff --git a/openlane/mkLanaiCPU/config.tcl b/openlane/mkLanaiCPU/config.tcl
index c26727d..ecf2697 100755
--- a/openlane/mkLanaiCPU/config.tcl
+++ b/openlane/mkLanaiCPU/config.tcl
@@ -26,7 +26,7 @@
 
 set ::env(CLOCK_PORT) "CLK"
 set ::env(CLOCK_NET) "CLK"
-set ::env(CLOCK_PERIOD) "25"
+set ::env(CLOCK_PERIOD) "40"
 set ::env(CLOCK_BUFFER_FANOUT) "8"
 
 set ::env(CTS_CLK_BUFFER_LIST) "sky130_fd_sc_hd__clkbuf_4 sky130_fd_sc_hd__clkbuf_8"
diff --git a/openlane/mkQF100Fabric/config.tcl b/openlane/mkQF100Fabric/config.tcl
index dff6faa..0c794dd 100755
--- a/openlane/mkQF100Fabric/config.tcl
+++ b/openlane/mkQF100Fabric/config.tcl
@@ -30,10 +30,10 @@
 set ::env(CLOCK_NET) "CLK"
 set ::env(CLOCK_PERIOD) "20"
 
-set ::env(FP_SIZING) absolute
-set ::env(DIE_AREA) "0 0 500 200"
+#set ::env(FP_SIZING) absolute
+#set ::env(DIE_AREA) "0 0 500 500"
 
-#set ::env(FP_SIZING) relative
+set ::env(FP_SIZING) relative
 set ::env(FP_CORE_UTIL) "30"
 
 set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
diff --git a/openlane/mkQF100Fabric/pin_order.cfg b/openlane/mkQF100Fabric/pin_order.cfg
index 87f9639..aa43f3c 100644
--- a/openlane/mkQF100Fabric/pin_order.cfg
+++ b/openlane/mkQF100Fabric/pin_order.cfg
@@ -21,3 +21,13 @@
 spi_rty_.*
 spi_adr_.*
 spi_dat_.*
+
+#W
+gpio_cyc_.*
+gpio_stb_.*
+gpio_sel_.*
+gpio_ack_.*
+gpio_err_.*
+gpio_rty_.*
+gpio_adr_.*
+gpio_dat_.*
diff --git a/openlane/mkQF100GPIO/config.tcl b/openlane/mkQF100GPIO/config.tcl
new file mode 100755
index 0000000..6209ad5
--- /dev/null
+++ b/openlane/mkQF100GPIO/config.tcl
@@ -0,0 +1,60 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+set ::env(PDK) "sky130A"
+set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_hd"
+
+set script_dir [file dirname [file normalize [info script]]]
+
+set ::env(DESIGN_NAME) mkQF100GPIO
+
+set ::env(VERILOG_FILES) "\
+	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
+	$script_dir/../../verilog/rtl/mkQF100GPIO.v"
+
+set ::env(DESIGN_IS_CORE) 0
+
+set ::env(CLOCK_PORT) "CLK"
+set ::env(CLOCK_NET) "CLK"
+set ::env(CLOCK_PERIOD) "10"
+
+set ::env(FP_SIZING) relative
+set ::env(FP_CORE_UTIL) "40"
+
+set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
+
+set ::env(PL_BASIC_PLACEMENT) 0
+set ::env(PL_TARGET_DENSITY) 0.45
+#set ::env(PL_ROUTABILITY_DRIVEN) 1
+#set ::env(PL_SKIP_INITIAL_PLACEMENT) 1
+#set ::env(SYNTH_STRATEGY) "DELAY 1"
+
+# Maximum layer used for routing is metal 4.
+# This is because this macro will be inserted in a top level (user_project_wrapper) 
+# where the PDN is planned on metal 5. So, to avoid having shorts between routes
+# in this macro and the top level metal 5 stripes, we have to restrict routes to metal4.  
+# 
+# set ::env(GLB_RT_MAXLAYER) 5
+
+set ::env(RT_MAX_LAYER) {met4}
+
+# You can draw more power domains if you need to 
+set ::env(VDD_NETS) [list {VPWR}]
+set ::env(GND_NETS) [list {VGND}]
+
+#set ::env(DIODE_INSERTION_STRATEGY) 2 
+#set ::env(GLB_RT_MAX_DIODE_INS_ITERS) 2
+# If you're going to use multiple power domains, then disable cvc run.
+set ::env(RUN_CVC) 1
diff --git a/openlane/mkQF100GPIO/pin_order.cfg b/openlane/mkQF100GPIO/pin_order.cfg
new file mode 100644
index 0000000..ec85995
--- /dev/null
+++ b/openlane/mkQF100GPIO/pin_order.cfg
@@ -0,0 +1,11 @@
+#BUS_SORT
+
+#S
+CLK
+RST_N
+slave.*
+
+#E
+oe.*
+out.*
+in.*
diff --git a/openlane/mkQF100Memory/config.tcl b/openlane/mkQF100Memory/config.tcl
index 044ed66..2043294 100755
--- a/openlane/mkQF100Memory/config.tcl
+++ b/openlane/mkQF100Memory/config.tcl
@@ -34,12 +34,12 @@
 #set ::env(DIE_AREA) "0 0 600 300"
 
 set ::env(FP_SIZING) relative
-set ::env(FP_CORE_UTIL) "30"
+set ::env(FP_CORE_UTIL) "25"
 
 set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
 
 set ::env(PL_BASIC_PLACEMENT) 0
-set ::env(PL_TARGET_DENSITY) 0.35
+set ::env(PL_TARGET_DENSITY) 0.30
 set ::env(PL_ROUTABILITY_DRIVEN) 1
 #set ::env(PL_SKIP_INITIAL_PLACEMENT) 1
 #set ::env(SYNTH_STRATEGY) "DELAY 0"
diff --git a/qfc b/qfc
new file mode 160000
index 0000000..8d104cc
--- /dev/null
+++ b/qfc
@@ -0,0 +1 @@
+Subproject commit 8d104ccd61ade65267cac52f30d000cd22a76709
diff --git a/verilog/rtl/mkLanaiCPU.v b/verilog/rtl/mkLanaiCPU.v
index c654b3e..3b2ccd5 100644
--- a/verilog/rtl/mkLanaiCPU.v
+++ b/verilog/rtl/mkLanaiCPU.v
@@ -1771,7 +1771,7 @@
       /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1307.9-1307.22" */
       1'h1:
         begin
-          _003_ = 32'd16384;
+          _003_ = 32'd8192;
           _004_ = 32'd0;
         end
       /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1312.5-1312.9" */
@@ -2257,7 +2257,7 @@
 
 (* hdlname = "\\mkLanaiCPU" *)
 (* top =  1  *)
-(* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:70.1-3305.10" *)
+(* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:70.1-3291.10" *)
 module mkLanaiCPU(
 `ifdef USE_POWER_PINS
     VPWR,
@@ -2270,3859 +2270,3857 @@
     inout VGND;
 `endif
   reg \$auto$verilog_backend.cc:2083:dump_module$2161  = 0;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2602.3-2664.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2593.3-2655.6" *)
   reg _0000_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2390.3-2417.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2381.3-2408.6" *)
   reg [7:0] _0001_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2418.3-2480.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2409.3-2471.6" *)
   reg _0002_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2665.3-2696.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2656.3-2687.6" *)
   reg [31:0] _0003_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2519.3-2540.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2510.3-2531.6" *)
   reg [7:0] _0004_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2553.3-2568.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2544.3-2559.6" *)
   reg [2:0] _0005_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2541.3-2552.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2532.3-2543.6" *)
   reg [2:0] _0006_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2569.3-2601.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2560.3-2592.6" *)
   reg [31:0] _0007_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2377.3-2389.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2368.3-2380.6" *)
   reg [7:0] _0008_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2314.3-2376.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2305.3-2367.6" *)
   reg _0009_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2506.3-2518.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2497.3-2509.6" *)
   reg [7:0] _0010_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2495.3-2505.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2486.3-2496.6" *)
   reg [2:0] _0011_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2481.3-2494.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2472.3-2485.6" *)
   reg [31:0] _0012_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
   reg [64:0] _0013_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
   reg [5:0] _0014_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
   reg [83:0] _0015_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
   reg [31:0] _0016_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
   reg [31:0] _0017_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
   reg [31:0] _0018_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
   reg [31:0] _0019_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
   reg [31:0] _0020_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
-  reg [33:0] _0021_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
+  reg [64:0] _0021_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
   reg [64:0] _0022_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
   reg [64:0] _0023_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
-  reg [64:0] _0024_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
-  reg [83:0] _0025_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
-  reg [32:0] _0026_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
-  reg [31:0] _0027_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
-  reg [64:0] _0028_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
-  reg [33:0] _0029_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
-  reg _0030_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
-  reg [100:0] _0031_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
-  reg [69:0] _0032_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
-  reg [33:0] _0033_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
-  reg [70:0] _0034_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2602.3-2664.6" *)
-  reg _0035_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2390.3-2417.6" *)
-  reg [7:0] _0036_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2418.3-2480.6" *)
-  reg _0037_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2665.3-2696.6" *)
-  reg [31:0] _0038_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2519.3-2540.6" *)
-  reg [7:0] _0039_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2553.3-2568.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
+  reg [83:0] _0024_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
+  reg [32:0] _0025_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
+  reg [31:0] _0026_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
+  reg [64:0] _0027_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
+  reg [33:0] _0028_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
+  reg _0029_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
+  reg [100:0] _0030_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
+  reg [69:0] _0031_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
+  reg [33:0] _0032_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2691.3-2779.6" *)
+  reg [70:0] _0033_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2593.3-2655.6" *)
+  reg _0034_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2381.3-2408.6" *)
+  reg [7:0] _0035_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2409.3-2471.6" *)
+  reg _0036_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2656.3-2687.6" *)
+  reg [31:0] _0037_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2510.3-2531.6" *)
+  reg [7:0] _0038_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2544.3-2559.6" *)
+  reg [2:0] _0039_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2532.3-2543.6" *)
   reg [2:0] _0040_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2541.3-2552.6" *)
-  reg [2:0] _0041_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2569.3-2601.6" *)
-  reg [31:0] _0042_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2377.3-2389.6" *)
-  reg [7:0] _0043_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2314.3-2376.6" *)
-  reg _0044_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2506.3-2518.6" *)
-  reg [7:0] _0045_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2495.3-2505.6" *)
-  reg [2:0] _0046_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2481.3-2494.6" *)
-  reg [31:0] _0047_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1026.7-1026.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2560.3-2592.6" *)
+  reg [31:0] _0041_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2368.3-2380.6" *)
+  reg [7:0] _0042_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2305.3-2367.6" *)
+  reg _0043_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2497.3-2509.6" *)
+  reg [7:0] _0044_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2486.3-2496.6" *)
+  reg [2:0] _0045_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2472.3-2485.6" *)
+  reg [31:0] _0046_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1021.7-1021.42" *)
+  wire [31:0] _0047_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1029.43-1029.76" *)
   wire [31:0] _0048_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1034.43-1034.76" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1040.42-1040.74" *)
   wire [31:0] _0049_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1045.42-1045.74" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1049.37-1049.64" *)
   wire [31:0] _0050_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1054.37-1054.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1055.29-1055.48" *)
   wire [31:0] _0051_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1060.29-1060.48" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2085.7-2086.65" *)
   wire [31:0] _0052_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2094.7-2095.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2088.7-2090.41" *)
   wire [31:0] _0053_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2097.7-2099.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2232.26-2232.69" *)
   wire [31:0] _0054_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2241.26-2241.69" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2272.22-2272.77" *)
   wire [31:0] _0055_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2281.22-2281.77" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2275.22-2275.49" *)
   wire [31:0] _0056_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2284.22-2284.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2280.21-2280.54" *)
   wire [31:0] _0057_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2289.21-2289.54" *)
-  wire [31:0] _0058_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1057.7-1057.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1052.7-1052.37" *)
+  wire _0058_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1122.4-1122.34" *)
   wire _0059_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1131.4-1131.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1123.4-1123.35" *)
   wire _0060_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1132.4-1132.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1126.6-1126.36" *)
   wire _0061_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1135.6-1135.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1128.8-1128.37" *)
   wire _0062_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1137.8-1137.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1132.5-1132.32" *)
   wire _0063_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1141.5-1141.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1134.39" *)
   wire _0064_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1143.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1140.9-1140.43" *)
   wire _0065_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1149.9-1149.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1141.10-1141.59" *)
   wire _0066_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1150.10-1150.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1181.9-1181.38" *)
   wire _0067_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1190.9-1190.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.5-1183.35" *)
   wire _0068_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.5-1192.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1185.6-1185.36" *)
   wire _0069_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.6-1194.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1186.6-1186.35" *)
   wire _0070_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1195.6-1195.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.8-1192.38" *)
   wire _0071_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.8-1201.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.11-1194.41" *)
   wire _0072_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1203.11-1203.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1195.4-1195.32" *)
   wire _0073_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1204.4-1204.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1197.7-1197.37" *)
   wire _0074_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.7-1206.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1198.7-1198.36" *)
   wire _0075_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1207.7-1207.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1271.11-1271.56" *)
   wire _0076_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1280.11-1280.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1304.50" *)
   wire _0077_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1313.7-1313.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1305.7-1305.50" *)
   wire _0078_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1314.7-1314.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1314.8-1314.37" *)
   wire _0079_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1323.8-1323.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1316.11-1316.41" *)
   wire _0080_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1325.11-1325.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1320.8-1320.37" *)
   wire _0081_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1329.8-1329.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1321.8-1321.38" *)
   wire _0082_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1330.8-1330.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1325.8-1325.38" *)
   wire _0083_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1334.8-1334.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1327.11-1327.41" *)
   wire _0084_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.11-1336.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1328.4-1328.32" *)
   wire _0085_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1337.4-1337.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1332.8-1332.37" *)
   wire _0086_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1341.8-1341.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1334.11-1334.41" *)
   wire _0087_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1343.11-1343.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.7-1336.37" *)
   wire _0088_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1345.7-1345.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1337.7-1337.35" *)
   wire _0089_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1346.7-1346.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1341.8-1341.38" *)
   wire _0090_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.8-1350.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1342.30-1342.59" *)
   wire _0091_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1351.30-1351.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1346.7-1347.24" *)
   wire _0092_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1355.7-1356.24" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.9-1350.39" *)
   wire _0093_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1359.9-1359.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1356.7-1356.35" *)
   wire _0094_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1365.7-1365.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1358.7-1358.36" *)
   wire _0095_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1367.7-1367.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1360.7-1360.58" *)
   wire _0096_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1369.7-1369.58" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1362.7-1362.31" *)
   wire _0097_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1371.7-1371.31" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1372.11-1372.57" *)
   wire _0098_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.11-1381.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1379.9-1379.35" *)
   wire _0099_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1388.9-1388.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1379.39-1379.65" *)
   wire _0100_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1388.39-1388.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1392.7-1392.37" *)
   wire _0101_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1401.7-1401.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1393.35" *)
   wire _0102_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1402.7-1402.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1394.7-1394.35" *)
   wire _0103_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1403.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1399.7-1399.37" *)
   wire _0104_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1408.7-1408.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1400.7-1400.35" *)
   wire _0105_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1409.7-1409.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1401.7-1401.35" *)
   wire _0106_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1410.7-1410.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1406.7-1406.37" *)
   wire _0107_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1415.7-1415.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1407.7-1407.35" *)
   wire _0108_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1416.7-1416.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1512.3-1512.28" *)
   wire _0109_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1521.3-1521.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1513.5-1513.34" *)
   wire _0110_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1522.5-1522.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1513.3-1521.7" *)
   wire _0111_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1522.3-1530.7" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1515.8-1515.38" *)
   wire _0112_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1524.8-1524.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1517.11-1517.41" *)
   wire _0113_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.11-1526.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1518.4-1518.32" *)
   wire _0114_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1527.4-1527.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.9-1526.38" *)
   wire _0115_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1535.9-1535.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1528.5-1528.35" *)
   wire _0116_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.5-1537.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1530.6-1530.36" *)
   wire _0117_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1539.6-1539.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1531.6-1531.35" *)
   wire _0118_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1540.6-1540.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.7-1537.37" *)
   wire _0119_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1546.7-1546.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1543.41" *)
   wire _0120_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1552.7-1552.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1548.7-1548.37" *)
   wire _0121_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1557.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1554.41" *)
   wire _0122_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1563.7-1563.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1560.7-1560.37" *)
   wire _0123_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1569.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1566.41" *)
   wire _0124_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1575.7-1575.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1572.7-1572.37" *)
   wire _0125_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1581.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1578.41" *)
   wire _0126_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1587.7-1587.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1584.7-1584.37" *)
   wire _0127_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1593.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1590.41" *)
   wire _0128_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1599.7-1599.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1596.7-1596.37" *)
   wire _0129_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1605.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1602.41" *)
   wire _0130_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1611.7-1611.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1608.7-1608.37" *)
   wire _0131_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1617.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1614.41" *)
   wire _0132_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1623.7-1623.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1620.7-1620.37" *)
   wire _0133_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1629.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1626.41" *)
   wire _0134_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1635.7-1635.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1632.7-1632.37" *)
   wire _0135_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1641.7-1641.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1638.41" *)
   wire _0136_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1647.7-1647.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1644.7-1644.37" *)
   wire _0137_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1653.7-1653.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1645.8-1645.57" *)
   wire _0138_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1654.8-1654.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1652.7-1652.37" *)
   wire _0139_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1661.7-1661.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1654.8-1654.57" *)
   wire _0140_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.8-1663.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1660.7-1660.37" *)
   wire _0141_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1669.7-1669.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1661.7-1661.56" *)
   wire _0142_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1670.7-1670.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.7-1666.37" *)
   wire _0143_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1675.7-1675.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1668.7-1668.56" *)
   wire _0144_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1677.7-1677.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1673.7-1673.37" *)
   wire _0145_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1682.7-1682.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1675.7-1675.56" *)
   wire _0146_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1684.7-1684.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1680.7-1680.37" *)
   wire _0147_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1689.7-1689.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1682.7-1682.56" *)
   wire _0148_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1691.7-1691.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1687.7-1687.37" *)
   wire _0149_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1696.7-1696.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1689.7-1689.56" *)
   wire _0150_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1698.7-1698.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1694.7-1694.37" *)
   wire _0151_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1703.7-1703.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1696.7-1696.56" *)
   wire _0152_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1705.7-1705.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1701.7-1701.37" *)
   wire _0153_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1710.7-1710.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1703.7-1703.56" *)
   wire _0154_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1712.7-1712.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1708.7-1708.37" *)
   wire _0155_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1717.7-1717.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1710.7-1710.56" *)
   wire _0156_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1719.7-1719.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1715.7-1715.37" *)
   wire _0157_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1724.7-1724.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1717.7-1717.56" *)
   wire _0158_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1726.7-1726.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1722.7-1722.37" *)
   wire _0159_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1731.7-1731.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1724.7-1724.57" *)
   wire _0160_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1733.7-1733.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1729.7-1729.37" *)
   wire _0161_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1738.7-1738.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1731.7-1731.57" *)
   wire _0162_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1740.7-1740.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1736.7-1736.37" *)
   wire _0163_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1745.7-1745.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1738.7-1738.57" *)
   wire _0164_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1747.7-1747.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1743.7-1743.37" *)
   wire _0165_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1752.7-1752.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1745.7-1745.57" *)
   wire _0166_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1754.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1750.7-1750.37" *)
   wire _0167_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1759.7-1759.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1752.7-1752.57" *)
   wire _0168_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1761.7-1761.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1757.7-1757.37" *)
   wire _0169_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1766.7-1766.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1818.7-1818.37" *)
   wire _0170_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1827.7-1827.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1819.7-1819.35" *)
   wire _0171_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1828.7-1828.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.7-1824.37" *)
   wire _0172_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1833.7-1833.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1825.7-1825.35" *)
   wire _0173_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1834.7-1834.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1826.7-1826.34" *)
   wire _0174_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1835.7-1835.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1831.7-1831.37" *)
   wire _0175_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1840.7-1840.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1832.7-1832.35" *)
   wire _0176_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1841.7-1841.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1833.7-1833.34" *)
   wire _0177_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1842.7-1842.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1838.7-1838.37" *)
   wire _0178_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1847.7-1847.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1839.7-1839.35" *)
   wire _0179_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1848.7-1848.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1840.7-1840.34" *)
   wire _0180_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1849.7-1849.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1845.7-1845.37" *)
   wire _0181_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1854.7-1854.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1846.7-1846.35" *)
   wire _0182_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1855.7-1855.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1847.7-1847.34" *)
   wire _0183_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1856.7-1856.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1852.7-1852.37" *)
   wire _0184_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1861.7-1861.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1853.7-1853.35" *)
   wire _0185_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1862.7-1862.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1854.7-1854.34" *)
   wire _0186_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1863.7-1863.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1859.7-1859.37" *)
   wire _0187_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1868.7-1868.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1860.7-1860.35" *)
   wire _0188_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1869.7-1869.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1861.7-1861.34" *)
   wire _0189_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1870.7-1870.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1866.7-1866.37" *)
   wire _0190_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1875.7-1875.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1867.7-1867.35" *)
   wire _0191_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1876.7-1876.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1868.7-1868.34" *)
   wire _0192_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1877.7-1877.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1873.7-1873.37" *)
   wire _0193_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1882.7-1882.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1874.7-1874.35" *)
   wire _0194_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1883.7-1883.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1875.7-1875.34" *)
   wire _0195_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1884.7-1884.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1880.7-1880.37" *)
   wire _0196_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1889.7-1889.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1881.7-1881.35" *)
   wire _0197_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1890.7-1890.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1882.7-1882.34" *)
   wire _0198_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1891.7-1891.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1887.7-1887.37" *)
   wire _0199_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1896.7-1896.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1888.7-1888.35" *)
   wire _0200_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1897.7-1897.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1889.7-1889.34" *)
   wire _0201_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1898.7-1898.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1894.7-1894.37" *)
   wire _0202_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1903.7-1903.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1895.7-1895.35" *)
   wire _0203_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1904.7-1904.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1896.7-1896.35" *)
   wire _0204_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1905.7-1905.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1901.7-1901.37" *)
   wire _0205_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1910.7-1910.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1902.7-1902.35" *)
   wire _0206_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1911.7-1911.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1903.7-1903.35" *)
   wire _0207_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1912.7-1912.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1908.7-1908.37" *)
   wire _0208_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1917.7-1917.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1909.7-1909.35" *)
   wire _0209_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1918.7-1918.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1910.7-1910.35" *)
   wire _0210_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1919.7-1919.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1915.7-1915.37" *)
   wire _0211_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1924.7-1924.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1916.7-1916.35" *)
   wire _0212_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1925.7-1925.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1917.7-1917.35" *)
   wire _0213_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1926.7-1926.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1922.7-1922.37" *)
   wire _0214_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1931.7-1931.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1923.7-1923.35" *)
   wire _0215_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1932.7-1932.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1924.7-1924.35" *)
   wire _0216_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1933.7-1933.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1929.7-1929.37" *)
   wire _0217_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1938.7-1938.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1930.7-1930.35" *)
   wire _0218_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1939.7-1939.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1931.7-1931.35" *)
   wire _0219_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1940.7-1940.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1936.7-1936.37" *)
   wire _0220_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1945.7-1945.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1937.7-1937.35" *)
   wire _0221_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1946.7-1946.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1938.7-1938.35" *)
   wire _0222_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1947.7-1947.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1943.7-1943.37" *)
   wire _0223_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1952.7-1952.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1944.7-1944.35" *)
   wire _0224_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1953.7-1953.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1945.7-1945.35" *)
   wire _0225_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1954.7-1954.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1950.7-1950.37" *)
   wire _0226_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1959.7-1959.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1951.7-1951.35" *)
   wire _0227_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1960.7-1960.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1952.7-1952.35" *)
   wire _0228_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1961.7-1961.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1957.7-1957.37" *)
   wire _0229_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1966.7-1966.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1958.7-1958.35" *)
   wire _0230_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1967.7-1967.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1959.7-1959.35" *)
   wire _0231_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1968.7-1968.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1964.7-1964.37" *)
   wire _0232_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1973.7-1973.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1965.7-1965.35" *)
   wire _0233_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1974.7-1974.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1966.7-1966.35" *)
   wire _0234_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1975.7-1975.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1971.7-1971.37" *)
   wire _0235_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1980.7-1980.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1972.7-1972.35" *)
   wire _0236_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1981.7-1981.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1973.7-1973.35" *)
   wire _0237_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1982.7-1982.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1978.7-1978.37" *)
   wire _0238_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1987.7-1987.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1979.7-1979.35" *)
   wire _0239_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1988.7-1988.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1980.7-1980.35" *)
   wire _0240_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1989.7-1989.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1985.7-1985.37" *)
   wire _0241_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1994.7-1994.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1986.7-1986.35" *)
   wire _0242_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1995.7-1995.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1987.7-1987.35" *)
   wire _0243_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1996.7-1996.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1992.7-1992.37" *)
   wire _0244_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2001.7-2001.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1993.7-1993.35" *)
   wire _0245_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2002.7-2002.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1994.7-1994.35" *)
   wire _0246_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2003.7-2003.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1999.7-1999.37" *)
   wire _0247_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2008.7-2008.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2000.7-2000.35" *)
   wire _0248_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2009.7-2009.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2001.7-2001.35" *)
   wire _0249_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2010.7-2010.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2006.7-2006.37" *)
   wire _0250_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2015.7-2015.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2007.7-2007.35" *)
   wire _0251_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2016.7-2016.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2008.7-2008.35" *)
   wire _0252_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2017.7-2017.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2013.7-2013.37" *)
   wire _0253_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2022.7-2022.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2014.7-2014.35" *)
   wire _0254_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2023.7-2023.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2015.7-2015.35" *)
   wire _0255_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.7-2024.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2020.7-2020.37" *)
   wire _0256_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2029.7-2029.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2021.7-2021.35" *)
   wire _0257_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2030.7-2030.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2022.7-2022.35" *)
   wire _0258_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2031.7-2031.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2029.8-2029.54" *)
   wire _0259_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.8-2038.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2030.8-2030.53" *)
   wire _0260_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2039.8-2039.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2031.8-2031.53" *)
   wire _0261_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2040.8-2040.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2032.8-2032.54" *)
   wire _0262_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2041.8-2041.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2033.54" *)
   wire _0263_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2042.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2034.9-2034.53" *)
   wire _0264_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2043.9-2043.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2035.9-2035.54" *)
   wire _0265_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2044.9-2044.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2043.8-2043.54" *)
   wire _0266_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2052.8-2052.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2044.8-2044.53" *)
   wire _0267_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.8-2053.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2045.8-2045.53" *)
   wire _0268_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2054.8-2054.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2046.8-2046.54" *)
   wire _0269_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2055.8-2055.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2047.54" *)
   wire _0270_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2056.8-2056.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2049.9-2049.53" *)
   wire _0271_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2058.9-2058.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2050.9-2050.54" *)
   wire _0272_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2059.9-2059.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2099.7-2099.37" *)
   wire _0273_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2108.7-2108.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2105.7-2105.37" *)
   wire _0274_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2114.7-2114.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2106.7-2106.36" *)
   wire _0275_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2115.7-2115.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2107.7-2107.37" *)
   wire _0276_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2116.7-2116.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2108.7-2108.37" *)
   wire _0277_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2117.7-2117.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2109.29-2109.57" *)
   wire _0278_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.29-2118.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2115.9-2115.38" *)
   wire _0279_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2124.9-2124.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2117.5-2117.35" *)
   wire _0280_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2126.5-2126.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2119.7-2119.56" *)
   wire _0281_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2128.7-2128.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2128.5-2128.34" *)
   wire _0282_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2137.5-2137.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.8-2130.38" *)
   wire _0283_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2139.8-2139.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.11-2132.41" *)
   wire _0284_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2141.11-2141.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2133.4-2133.32" *)
   wire _0285_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2142.4-2142.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2141.7-2141.47" *)
   wire _0286_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2150.7-2150.47" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2144.9-2144.38" *)
   wire _0287_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2153.9-2153.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2146.5-2146.35" *)
   wire _0288_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2155.5-2155.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2147.6-2147.55" *)
   wire _0289_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2156.6-2156.55" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2150.6-2150.36" *)
   wire _0290_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2159.6-2159.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2151.7-2151.35" *)
   wire _0291_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2160.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2152.7-2152.36" *)
   wire _0292_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2161.7-2161.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2154.7-2154.37" *)
   wire _0293_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2163.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2156.8-2156.57" *)
   wire _0294_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2165.8-2165.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2160.37" *)
   wire _0295_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2169.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2162.8-2162.57" *)
   wire _0296_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2171.8-2171.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2166.7-2166.37" *)
   wire _0297_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2175.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2168.8-2168.57" *)
   wire _0298_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2177.8-2177.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2172.7-2172.37" *)
   wire _0299_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2181.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2174.8-2174.57" *)
   wire _0300_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2183.8-2183.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2178.7-2178.37" *)
   wire _0301_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2187.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2180.8-2180.57" *)
   wire _0302_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2189.8-2189.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2184.7-2184.37" *)
   wire _0303_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2193.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2186.8-2186.57" *)
   wire _0304_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2195.8-2195.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2190.7-2190.37" *)
   wire _0305_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2199.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2192.8-2192.57" *)
   wire _0306_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2201.8-2201.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2196.7-2196.37" *)
   wire _0307_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2205.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2198.8-2198.57" *)
   wire _0308_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2207.8-2207.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2240.8-2240.64" *)
   wire _0309_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2249.8-2249.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2242.11-2242.38" *)
   wire _0310_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2251.11-2251.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2247.8-2248.41" *)
   wire _0311_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2256.8-2257.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2250.11-2251.8" *)
   wire _0312_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2259.11-2260.8" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2264.11-2264.41" *)
   wire _0313_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2273.11-2273.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2269.11-2269.40" *)
   wire _0314_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2278.11-2278.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2492.7-2492.56" *)
   wire _0315_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2501.7-2501.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2573.7-2573.53" *)
   wire _0316_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2582.7-2582.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2575.10-2575.56" *)
   wire _0317_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2584.10-2584.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2581.6-2581.52" *)
   wire _0318_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2590.6-2590.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2582.6-2582.50" *)
   wire _0319_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2591.6-2591.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2669.7-2669.37" *)
   wire _0320_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2678.7-2678.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2671.10-2671.40" *)
   wire _0321_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.10-2680.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2676.6-2676.36" *)
   wire _0322_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2685.6-2685.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2677.6-2677.34" *)
   wire _0323_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2686.6-2686.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2693.9-2693.22" *)
   wire _0324_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2702.9-2702.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:581.9-581.35" *)
   wire _0325_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:586.9-586.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:583.9-583.35" *)
   wire _0326_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:588.9-588.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:717.7-717.40" *)
   wire _0327_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:722.7-722.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:736.11-736.41" *)
   wire _0328_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:741.11-741.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:781.42-781.62" *)
   wire _0329_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:786.42-786.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.42-792.68" *)
   wire _0330_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:797.42-797.68" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:793.7-793.31" *)
   wire _0331_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:798.7-798.31" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:796.7-796.40" *)
   wire _0332_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:801.7-801.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:829.7-829.40" *)
   wire _0333_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:834.7-834.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:831.7-831.40" *)
   wire _0334_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:836.7-836.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:900.6-900.35" *)
   wire _0335_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:905.6-905.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:902.9-902.39" *)
   wire _0336_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:907.9-907.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:904.12-904.42" *)
   wire _0337_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:909.12-909.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:907.8-907.38" *)
   wire _0338_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:912.8-912.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:908.8-908.36" *)
   wire _0339_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:913.8-913.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:910.11-910.41" *)
   wire _0340_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:915.11-915.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:911.11-911.40" *)
   wire _0341_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:916.11-916.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:917.6-917.36" *)
   wire _0342_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:922.6-922.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:918.6-918.35" *)
   wire _0343_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:923.6-923.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:940.8-940.34" *)
   wire _0344_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.8-945.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:940.38-940.64" *)
   wire _0345_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.38-945.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:941.8-941.34" *)
   wire _0346_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:946.8-946.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:944.9-944.35" *)
   wire _0347_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:949.9-949.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:968.9-968.35" *)
   wire _0348_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:973.9-973.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:688.7-688.47" *)
   wire _0349_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:693.7-693.47" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1023.7-1025.52" *)
   wire _0350_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1003.7-1004.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1023.7-1026.64" *)
   wire _0351_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1028.7-1030.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1031.7-1033.52" *)
   wire _0352_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1028.7-1031.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1031.7-1034.65" *)
   wire _0353_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1038.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1031.7-1035.65" *)
   wire _0354_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1039.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1031.7-1037.66" *)
   wire _0355_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1040.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1042.7-1044.52" *)
   wire _0356_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1042.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1042.7-1045.65" *)
   wire _0357_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1047.7-1049.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1042.7-1046.64" *)
   wire _0358_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1047.7-1050.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1051.7-1052.37" *)
   wire _0359_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1047.7-1051.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1122.4-1123.35" *)
   wire _0360_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1056.7-1057.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1127.7-1129.39" *)
   wire _0361_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1131.4-1132.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1131.4-1133.36" *)
   wire _0362_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1136.7-1138.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1135.36" *)
   wire _0363_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1140.4-1142.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1136.38" *)
   wire _0364_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1144.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1137.38" *)
   wire _0365_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1145.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1138.38" *)
   wire _0366_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1146.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1139.38" *)
   wire _0367_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1147.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1140.43" *)
   wire _0368_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1148.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1143.58" *)
   wire _0369_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1149.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1142.3-1143.57" *)
   wire _0370_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1152.58" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1145.7-1146.65" *)
   wire _0371_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1151.3-1152.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1158.9-1159.67" *)
   wire _0372_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1154.7-1155.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1169.7-1172.67" *)
   wire _0373_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1167.9-1168.67" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1171.8-1172.66" *)
   wire _0374_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1178.7-1181.67" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1174.7-1174.60" *)
   wire _0375_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1180.8-1181.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1174.7-1175.40" *)
   wire _0376_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.7-1183.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1176.7-1176.67" *)
   wire _0377_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.7-1184.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1176.7-1177.40" *)
   wire _0378_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1185.7-1185.67" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.5-1183.56" *)
   wire _0379_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1185.7-1186.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1185.6-1186.35" *)
   wire _0380_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.5-1192.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1185.6-1187.22" *)
   wire _0381_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.6-1195.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.8-1192.59" *)
   wire _0382_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.6-1196.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.11-1195.32" *)
   wire _0383_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.8-1201.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1197.7-1198.36" *)
   wire _0384_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1203.11-1204.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1202.8-1203.52" *)
   wire _0385_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.7-1207.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.11-1207.50" *)
   wire _0386_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1212.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.11-1208.49" *)
   wire _0387_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1216.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.11-1209.49" *)
   wire _0388_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1217.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.11-1210.50" *)
   wire _0389_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1218.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.11-1214.51" *)
   wire _0390_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1219.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1212.5-1213.49" *)
   wire _0391_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1223.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1212.5-1214.50" *)
   wire _0392_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1221.5-1222.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1219.8-1220.52" *)
   wire _0393_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1221.5-1223.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.11-1224.50" *)
   wire _0394_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1229.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.11-1225.49" *)
   wire _0395_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1233.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.11-1226.49" *)
   wire _0396_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1234.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.11-1227.50" *)
   wire _0397_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1235.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.11-1231.51" *)
   wire _0398_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1236.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1229.5-1230.49" *)
   wire _0399_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1240.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1229.5-1231.50" *)
   wire _0400_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1238.5-1239.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1236.8-1237.52" *)
   wire _0401_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1238.5-1240.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.11-1241.50" *)
   wire _0402_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1246.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.11-1242.49" *)
   wire _0403_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1250.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.11-1243.49" *)
   wire _0404_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1251.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.11-1244.50" *)
   wire _0405_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1252.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.11-1248.51" *)
   wire _0406_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1253.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1246.5-1247.49" *)
   wire _0407_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1257.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1246.5-1248.50" *)
   wire _0408_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1255.5-1256.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1253.8-1254.52" *)
   wire _0409_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1255.5-1257.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1260.8-1261.52" *)
   wire _0410_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1263.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1267.8-1268.52" *)
   wire _0411_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1270.52" *)
-  wire _0412_;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1277.52" *)
+  wire _0412_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1283.8-1284.52" *)
   wire _0413_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1286.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1287.11-1288.49" *)
   wire _0414_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1293.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1287.11-1289.49" *)
   wire _0415_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1297.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1287.11-1290.50" *)
   wire _0416_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1298.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1287.11-1294.51" *)
   wire _0417_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1299.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.5-1293.49" *)
   wire _0418_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1303.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.5-1294.50" *)
   wire _0419_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1301.5-1302.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.7-1296.53" *)
   wire _0420_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1301.5-1303.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.7-1297.52" *)
   wire _0421_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1305.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.7-1298.52" *)
   wire _0422_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1306.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.7-1299.53" *)
   wire _0423_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1307.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.7-1303.54" *)
   wire _0424_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1308.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1301.8-1302.52" *)
   wire _0425_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1312.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1301.8-1303.53" *)
   wire _0426_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1310.8-1311.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1321.8-1321.59" *)
   wire _0427_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1310.8-1312.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1327.11-1328.32" *)
   wire _0428_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1330.8-1330.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.7-1337.35" *)
   wire _0429_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.11-1337.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1341.8-1342.60" *)
   wire _0430_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1345.7-1346.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.9-1350.59" *)
   wire _0431_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.8-1351.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1378.8-1379.66" *)
   wire _0432_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1359.9-1359.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1384.7-1385.65" *)
   wire _0433_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1387.8-1388.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1384.7-1386.65" *)
   wire _0434_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1394.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1384.7-1387.65" *)
   wire _0435_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1395.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1389.7-1392.37" *)
   wire _0436_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1396.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1389.7-1393.35" *)
   wire _0437_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.7-1401.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1389.7-1394.35" *)
   wire _0438_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.7-1402.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.8-1391.66" *)
   wire _0439_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.7-1403.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1396.7-1399.37" *)
   wire _0440_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1399.8-1400.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1396.7-1400.35" *)
   wire _0441_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.7-1408.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1396.7-1401.35" *)
   wire _0442_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.7-1409.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1397.8-1398.66" *)
   wire _0443_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.7-1410.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1406.37" *)
   wire _0444_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1406.8-1407.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1407.35" *)
   wire _0445_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1415.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1408.34" *)
   wire _0446_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1416.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1409.34" *)
   wire _0447_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1417.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1410.34" *)
   wire _0448_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1418.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1411.34" *)
   wire _0449_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1419.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1412.34" *)
   wire _0450_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1420.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1413.34" *)
   wire _0451_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1421.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1414.34" *)
   wire _0452_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1422.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1415.34" *)
   wire _0453_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1423.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1416.34" *)
   wire _0454_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1424.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1417.34" *)
   wire _0455_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1425.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1418.35" *)
   wire _0456_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1426.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1419.35" *)
   wire _0457_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1427.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1420.35" *)
   wire _0458_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1428.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1421.35" *)
   wire _0459_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1429.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1422.35" *)
   wire _0460_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1430.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1423.35" *)
   wire _0461_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1431.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1424.35" *)
   wire _0462_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1432.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1425.35" *)
   wire _0463_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1433.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1426.35" *)
   wire _0464_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1434.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1427.35" *)
   wire _0465_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1435.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1428.35" *)
   wire _0466_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1436.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1429.35" *)
   wire _0467_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1437.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1430.35" *)
   wire _0468_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1438.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1431.35" *)
   wire _0469_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1439.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1432.35" *)
   wire _0470_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1440.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1433.35" *)
   wire _0471_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1441.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1434.35" *)
   wire _0472_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1442.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1435.35" *)
   wire _0473_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1443.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1436.35" *)
   wire _0474_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1444.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1437.35" *)
   wire _0475_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1445.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1438.35" *)
   wire _0476_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1446.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1404.8-1405.66" *)
   wire _0477_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1447.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1440.7-1443.22" *)
   wire _0478_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1413.8-1414.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1441.8-1442.66" *)
   wire _0479_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1449.7-1452.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1445.7-1448.22" *)
   wire _0480_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1450.8-1451.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1445.7-1449.65" *)
   wire _0481_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.7-1457.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1446.8-1447.66" *)
   wire _0482_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.7-1458.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1451.7-1454.22" *)
   wire _0483_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1455.8-1456.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1451.7-1455.65" *)
   wire _0484_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.7-1463.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1451.7-1456.26" *)
   wire _0485_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.7-1464.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1452.8-1453.66" *)
   wire _0486_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.7-1465.26" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1458.7-1461.22" *)
   wire _0487_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1461.8-1462.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1458.7-1462.65" *)
   wire _0488_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.7-1470.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1458.7-1463.27" *)
   wire _0489_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.7-1471.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1459.8-1460.66" *)
   wire _0490_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.7-1472.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1465.7-1468.22" *)
   wire _0491_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1468.8-1469.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1465.7-1469.65" *)
   wire _0492_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.7-1477.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1465.7-1470.26" *)
   wire _0493_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.7-1478.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1466.8-1467.66" *)
   wire _0494_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.7-1479.26" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1472.7-1475.22" *)
   wire _0495_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1475.8-1476.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1472.7-1476.65" *)
   wire _0496_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.7-1484.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1472.7-1477.27" *)
   wire _0497_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.7-1485.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1473.8-1474.66" *)
   wire _0498_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.7-1486.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1479.7-1482.22" *)
   wire _0499_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1482.8-1483.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1479.7-1483.65" *)
   wire _0500_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.7-1491.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1479.7-1484.26" *)
   wire _0501_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.7-1492.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1480.8-1481.66" *)
   wire _0502_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.7-1493.26" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1486.7-1489.22" *)
   wire _0503_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1489.8-1490.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1486.7-1490.65" *)
   wire _0504_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.7-1498.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1486.7-1491.27" *)
   wire _0505_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.7-1499.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1487.8-1488.66" *)
   wire _0506_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.7-1500.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1493.7-1496.22" *)
   wire _0507_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1496.8-1497.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1493.7-1497.65" *)
   wire _0508_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.7-1505.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1493.7-1498.26" *)
   wire _0509_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.7-1506.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1494.8-1495.66" *)
   wire _0510_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.7-1507.26" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1500.7-1503.22" *)
   wire _0511_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1503.8-1504.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1500.7-1504.65" *)
   wire _0512_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.7-1512.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1500.7-1505.27" *)
   wire _0513_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.7-1513.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1501.8-1502.66" *)
   wire _0514_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.7-1514.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1507.7-1510.65" *)
   wire _0515_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1510.8-1511.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1507.7-1521.8" *)
   wire _0516_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.7-1519.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1508.8-1509.66" *)
   wire _0517_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.7-1530.8" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1517.11-1518.32" *)
   wire _0518_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1517.8-1518.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1523.7-1532.25" *)
   wire _0519_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.11-1527.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1524.8-1525.66" *)
   wire _0520_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1532.7-1541.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1528.5-1528.56" *)
   wire _0521_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1533.8-1534.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1530.6-1531.35" *)
   wire _0522_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.5-1537.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1530.6-1532.23" *)
   wire _0523_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1539.6-1540.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.7-1537.37" *)
   wire _0524_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1539.6-1541.23" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.7-1538.34" *)
   wire _0525_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1546.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.7-1539.36" *)
   wire _0526_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1547.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.7-1540.36" *)
   wire _0527_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1548.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.7-1541.36" *)
   wire _0528_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1549.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.7-1542.36" *)
   wire _0529_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1550.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.7-1543.41" *)
   wire _0530_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1551.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1535.8-1536.66" *)
   wire _0531_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1552.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1548.37" *)
   wire _0532_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1544.8-1545.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1549.34" *)
   wire _0533_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1557.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1550.36" *)
   wire _0534_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1558.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1551.36" *)
   wire _0535_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1559.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1552.36" *)
   wire _0536_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1560.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1553.36" *)
   wire _0537_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1561.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1554.41" *)
   wire _0538_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1562.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1555.37" *)
   wire _0539_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1563.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1546.8-1547.66" *)
   wire _0540_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1564.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1560.37" *)
   wire _0541_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1555.8-1556.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1561.34" *)
   wire _0542_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1569.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1562.36" *)
   wire _0543_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1570.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1563.36" *)
   wire _0544_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1571.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1564.36" *)
   wire _0545_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1572.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1565.36" *)
   wire _0546_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1573.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1566.41" *)
   wire _0547_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1574.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1567.38" *)
   wire _0548_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1575.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1558.8-1559.66" *)
   wire _0549_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1576.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1572.37" *)
   wire _0550_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1567.8-1568.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1573.34" *)
   wire _0551_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1581.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1574.36" *)
   wire _0552_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1582.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1575.36" *)
   wire _0553_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1583.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1576.36" *)
   wire _0554_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1584.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1577.36" *)
   wire _0555_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1585.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1578.41" *)
   wire _0556_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1586.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1579.37" *)
   wire _0557_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1587.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1570.8-1571.66" *)
   wire _0558_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1588.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1584.37" *)
   wire _0559_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1579.8-1580.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1585.34" *)
   wire _0560_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1593.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1586.36" *)
   wire _0561_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1594.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1587.36" *)
   wire _0562_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1595.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1588.36" *)
   wire _0563_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1596.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1589.36" *)
   wire _0564_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1597.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1590.41" *)
   wire _0565_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1598.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1591.38" *)
   wire _0566_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1599.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1582.8-1583.66" *)
   wire _0567_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1600.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1596.37" *)
   wire _0568_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1591.8-1592.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1597.34" *)
   wire _0569_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1605.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1598.36" *)
   wire _0570_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1606.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1599.36" *)
   wire _0571_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1607.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1600.36" *)
   wire _0572_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1608.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1601.36" *)
   wire _0573_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1609.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1602.41" *)
   wire _0574_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1610.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1603.37" *)
   wire _0575_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1611.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1594.8-1595.66" *)
   wire _0576_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1612.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1608.37" *)
   wire _0577_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1603.8-1604.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1609.34" *)
   wire _0578_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1617.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1610.36" *)
   wire _0579_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1618.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1611.36" *)
   wire _0580_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1619.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1612.36" *)
   wire _0581_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1620.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1613.36" *)
   wire _0582_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1621.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1614.41" *)
   wire _0583_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1622.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1615.38" *)
   wire _0584_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1623.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1606.8-1607.66" *)
   wire _0585_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1624.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1620.37" *)
   wire _0586_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1615.8-1616.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1621.34" *)
   wire _0587_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1629.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1622.36" *)
   wire _0588_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1630.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1623.36" *)
   wire _0589_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1631.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1624.36" *)
   wire _0590_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1632.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1625.36" *)
   wire _0591_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1633.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1626.41" *)
   wire _0592_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1634.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1627.37" *)
   wire _0593_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1635.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1618.8-1619.66" *)
   wire _0594_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1636.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1632.37" *)
   wire _0595_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1627.8-1628.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1633.34" *)
   wire _0596_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1641.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1634.36" *)
   wire _0597_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1642.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1635.36" *)
   wire _0598_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1643.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1636.36" *)
   wire _0599_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1644.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1637.36" *)
   wire _0600_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1645.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1638.41" *)
   wire _0601_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1646.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1639.38" *)
   wire _0602_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1647.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1630.8-1631.66" *)
   wire _0603_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1648.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1641.7-1644.37" *)
   wire _0604_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1639.8-1640.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1641.7-1647.63" *)
   wire _0605_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.7-1653.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1642.8-1643.66" *)
   wire _0606_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.7-1656.63" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1646.8-1647.62" *)
   wire _0607_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1651.8-1652.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1649.7-1652.37" *)
   wire _0608_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1655.8-1656.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1649.7-1653.56" *)
   wire _0609_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.7-1661.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1649.7-1655.66" *)
   wire _0610_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.7-1662.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.8-1651.66" *)
   wire _0611_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.7-1664.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1657.7-1660.37" *)
   wire _0612_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1659.8-1660.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1657.7-1661.56" *)
   wire _0613_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.7-1669.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.8-1659.66" *)
   wire _0614_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.7-1670.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.7-1666.37" *)
   wire _0615_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1667.8-1668.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.7-1667.64" *)
   wire _0616_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.7-1675.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.7-1668.56" *)
   wire _0617_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.7-1676.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1664.8-1665.66" *)
   wire _0618_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.7-1677.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1670.7-1673.37" *)
   wire _0619_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1673.8-1674.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1670.7-1674.64" *)
   wire _0620_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.7-1682.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1670.7-1675.56" *)
   wire _0621_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.7-1683.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1671.8-1672.66" *)
   wire _0622_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.7-1684.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1677.7-1680.37" *)
   wire _0623_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1680.8-1681.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1677.7-1681.64" *)
   wire _0624_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.7-1689.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1677.7-1682.56" *)
   wire _0625_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.7-1690.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1678.8-1679.66" *)
   wire _0626_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.7-1691.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1684.7-1687.37" *)
   wire _0627_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1687.8-1688.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1684.7-1688.64" *)
   wire _0628_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.7-1696.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1684.7-1689.56" *)
   wire _0629_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.7-1697.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1685.8-1686.66" *)
   wire _0630_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.7-1698.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1691.7-1694.37" *)
   wire _0631_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1694.8-1695.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1691.7-1695.64" *)
   wire _0632_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.7-1703.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1691.7-1696.56" *)
   wire _0633_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.7-1704.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1692.8-1693.66" *)
   wire _0634_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.7-1705.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1698.7-1701.37" *)
   wire _0635_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1701.8-1702.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1698.7-1702.64" *)
   wire _0636_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.7-1710.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1698.7-1703.56" *)
   wire _0637_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.7-1711.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1699.8-1700.66" *)
   wire _0638_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.7-1712.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1705.7-1708.37" *)
   wire _0639_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1708.8-1709.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1705.7-1709.64" *)
   wire _0640_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.7-1717.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1705.7-1710.56" *)
   wire _0641_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.7-1718.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1706.8-1707.66" *)
   wire _0642_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.7-1719.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1712.7-1717.56" *)
   wire _0643_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1715.8-1716.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1712.7-1715.37" *)
   wire _0644_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.7-1726.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1712.7-1716.64" *)
   wire _0645_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.7-1724.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1713.8-1714.66" *)
   wire _0646_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.7-1725.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1719.7-1722.37" *)
   wire _0647_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1722.8-1723.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1719.7-1723.64" *)
   wire _0648_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.7-1731.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1719.7-1724.57" *)
   wire _0649_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.7-1732.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1720.8-1721.66" *)
   wire _0650_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.7-1733.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1726.7-1729.37" *)
   wire _0651_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1729.8-1730.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1726.7-1730.64" *)
   wire _0652_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.7-1738.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1726.7-1731.57" *)
   wire _0653_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.7-1739.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1727.8-1728.66" *)
   wire _0654_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.7-1740.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1733.7-1736.37" *)
   wire _0655_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1736.8-1737.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1733.7-1737.64" *)
   wire _0656_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.7-1745.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1733.7-1738.57" *)
   wire _0657_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.7-1746.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1734.8-1735.66" *)
   wire _0658_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.7-1747.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1740.7-1743.37" *)
   wire _0659_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1743.8-1744.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1740.7-1744.64" *)
   wire _0660_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.7-1752.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1740.7-1745.57" *)
   wire _0661_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.7-1753.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1741.8-1742.66" *)
   wire _0662_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.7-1754.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1747.7-1750.37" *)
   wire _0663_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1750.8-1751.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1747.7-1751.64" *)
   wire _0664_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.7-1759.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1747.7-1752.57" *)
   wire _0665_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.7-1760.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1748.8-1749.66" *)
   wire _0666_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.7-1761.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1757.37" *)
   wire _0667_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1757.8-1758.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1758.56" *)
   wire _0668_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1766.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1759.64" *)
   wire _0669_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1767.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1760.56" *)
   wire _0670_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1768.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1761.56" *)
   wire _0671_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1769.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1762.56" *)
   wire _0672_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1770.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1763.56" *)
   wire _0673_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1771.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1764.56" *)
   wire _0674_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1772.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1765.56" *)
   wire _0675_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1773.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1766.56" *)
   wire _0676_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1774.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1767.56" *)
   wire _0677_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1775.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1768.56" *)
   wire _0678_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1776.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1769.57" *)
   wire _0679_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1777.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1770.57" *)
   wire _0680_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1778.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1771.57" *)
   wire _0681_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1779.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1772.57" *)
   wire _0682_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1780.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1773.57" *)
   wire _0683_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1781.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1755.8-1756.66" *)
   wire _0684_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1782.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1775.7-1778.64" *)
   wire _0685_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1764.8-1765.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1776.8-1777.66" *)
   wire _0686_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1784.7-1787.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1780.7-1783.64" *)
   wire _0687_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1785.8-1786.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1781.8-1782.66" *)
   wire _0688_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1789.7-1792.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1785.7-1788.64" *)
   wire _0689_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1790.8-1791.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1786.8-1787.66" *)
   wire _0690_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1794.7-1797.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1790.7-1793.64" *)
   wire _0691_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1795.8-1796.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1791.8-1792.66" *)
   wire _0692_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1799.7-1802.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1795.7-1798.64" *)
   wire _0693_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1800.8-1801.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1796.8-1797.66" *)
   wire _0694_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1804.7-1807.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1800.7-1803.64" *)
   wire _0695_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1805.8-1806.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1801.8-1802.66" *)
   wire _0696_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1809.7-1812.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1805.7-1808.64" *)
   wire _0697_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1810.8-1811.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1806.8-1807.66" *)
   wire _0698_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1814.7-1817.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1810.7-1813.64" *)
   wire _0699_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.8-1816.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1811.8-1812.66" *)
   wire _0700_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1819.7-1822.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.7-1818.37" *)
   wire _0701_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1820.8-1821.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.7-1819.35" *)
   wire _0702_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.7-1827.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1816.8-1817.66" *)
   wire _0703_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.7-1828.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1821.7-1824.37" *)
   wire _0704_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1825.8-1826.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1821.7-1825.35" *)
   wire _0705_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.7-1833.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1821.7-1826.34" *)
   wire _0706_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.7-1834.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1822.8-1823.66" *)
   wire _0707_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.7-1835.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1828.7-1831.37" *)
   wire _0708_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1831.8-1832.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1828.7-1832.35" *)
   wire _0709_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.7-1840.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1828.7-1833.34" *)
   wire _0710_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.7-1841.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1829.8-1830.66" *)
   wire _0711_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.7-1842.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1835.7-1838.37" *)
   wire _0712_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1838.8-1839.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1835.7-1839.35" *)
   wire _0713_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.7-1847.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1835.7-1840.34" *)
   wire _0714_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.7-1848.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1836.8-1837.66" *)
   wire _0715_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.7-1849.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1842.7-1845.37" *)
   wire _0716_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1845.8-1846.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1842.7-1846.35" *)
   wire _0717_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.7-1854.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1842.7-1847.34" *)
   wire _0718_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.7-1855.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1843.8-1844.66" *)
   wire _0719_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.7-1856.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1849.7-1852.37" *)
   wire _0720_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1852.8-1853.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1849.7-1853.35" *)
   wire _0721_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.7-1861.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1849.7-1854.34" *)
   wire _0722_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.7-1862.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1850.8-1851.66" *)
   wire _0723_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.7-1863.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1856.7-1859.37" *)
   wire _0724_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1859.8-1860.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1856.7-1860.35" *)
   wire _0725_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.7-1868.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1856.7-1861.34" *)
   wire _0726_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.7-1869.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1857.8-1858.66" *)
   wire _0727_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.7-1870.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1863.7-1866.37" *)
   wire _0728_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1866.8-1867.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1863.7-1867.35" *)
   wire _0729_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.7-1875.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1863.7-1868.34" *)
   wire _0730_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.7-1876.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1864.8-1865.66" *)
   wire _0731_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.7-1877.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1870.7-1873.37" *)
   wire _0732_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1873.8-1874.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1870.7-1874.35" *)
   wire _0733_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.7-1882.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1870.7-1875.34" *)
   wire _0734_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.7-1883.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1871.8-1872.66" *)
   wire _0735_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.7-1884.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1877.7-1880.37" *)
   wire _0736_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1880.8-1881.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1877.7-1881.35" *)
   wire _0737_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.7-1889.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1877.7-1882.34" *)
   wire _0738_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.7-1890.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1878.8-1879.66" *)
   wire _0739_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.7-1891.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1884.7-1887.37" *)
   wire _0740_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1887.8-1888.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1884.7-1888.35" *)
   wire _0741_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.7-1896.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1884.7-1889.34" *)
   wire _0742_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.7-1897.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1885.8-1886.66" *)
   wire _0743_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.7-1898.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1891.7-1894.37" *)
   wire _0744_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1894.8-1895.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1891.7-1895.35" *)
   wire _0745_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.7-1903.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1891.7-1896.35" *)
   wire _0746_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.7-1904.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1892.8-1893.66" *)
   wire _0747_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.7-1905.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1898.7-1901.37" *)
   wire _0748_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1901.8-1902.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1898.7-1902.35" *)
   wire _0749_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.7-1910.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1898.7-1903.35" *)
   wire _0750_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.7-1911.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1899.8-1900.66" *)
   wire _0751_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.7-1912.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1905.7-1908.37" *)
   wire _0752_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1908.8-1909.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1905.7-1909.35" *)
   wire _0753_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.7-1917.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1905.7-1910.35" *)
   wire _0754_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.7-1918.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1906.8-1907.66" *)
   wire _0755_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.7-1919.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1912.7-1915.37" *)
   wire _0756_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1915.8-1916.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1912.7-1916.35" *)
   wire _0757_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.7-1924.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1912.7-1917.35" *)
   wire _0758_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.7-1925.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1913.8-1914.66" *)
   wire _0759_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.7-1926.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1919.7-1922.37" *)
   wire _0760_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1922.8-1923.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1919.7-1923.35" *)
   wire _0761_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.7-1931.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1919.7-1924.35" *)
   wire _0762_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.7-1932.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1920.8-1921.66" *)
   wire _0763_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.7-1933.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1926.7-1929.37" *)
   wire _0764_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1929.8-1930.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1926.7-1930.35" *)
   wire _0765_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.7-1938.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1926.7-1931.35" *)
   wire _0766_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.7-1939.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1927.8-1928.66" *)
   wire _0767_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.7-1940.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1933.7-1936.37" *)
   wire _0768_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1936.8-1937.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1933.7-1937.35" *)
   wire _0769_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.7-1945.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1933.7-1938.35" *)
   wire _0770_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.7-1946.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1934.8-1935.66" *)
   wire _0771_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.7-1947.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1940.7-1943.37" *)
   wire _0772_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1943.8-1944.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1940.7-1944.35" *)
   wire _0773_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.7-1952.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1940.7-1945.35" *)
   wire _0774_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.7-1953.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1941.8-1942.66" *)
   wire _0775_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.7-1954.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1947.7-1950.37" *)
   wire _0776_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1950.8-1951.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1947.7-1951.35" *)
   wire _0777_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.7-1959.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1947.7-1952.35" *)
   wire _0778_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.7-1960.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1948.8-1949.66" *)
   wire _0779_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.7-1961.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1954.7-1957.37" *)
   wire _0780_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1957.8-1958.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1954.7-1958.35" *)
   wire _0781_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.7-1966.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1954.7-1959.35" *)
   wire _0782_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.7-1967.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1955.8-1956.66" *)
   wire _0783_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.7-1968.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1961.7-1964.37" *)
   wire _0784_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1964.8-1965.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1961.7-1965.35" *)
   wire _0785_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.7-1973.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1961.7-1966.35" *)
   wire _0786_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.7-1974.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1962.8-1963.66" *)
   wire _0787_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.7-1975.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1968.7-1971.37" *)
   wire _0788_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1971.8-1972.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1968.7-1972.35" *)
   wire _0789_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.7-1980.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1968.7-1973.35" *)
   wire _0790_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.7-1981.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1969.8-1970.66" *)
   wire _0791_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.7-1982.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1975.7-1978.37" *)
   wire _0792_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1978.8-1979.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1975.7-1979.35" *)
   wire _0793_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.7-1987.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1975.7-1980.35" *)
   wire _0794_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.7-1988.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1976.8-1977.66" *)
   wire _0795_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.7-1989.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1982.7-1985.37" *)
   wire _0796_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1985.8-1986.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1982.7-1986.35" *)
   wire _0797_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.7-1994.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1982.7-1987.35" *)
   wire _0798_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.7-1995.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1983.8-1984.66" *)
   wire _0799_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.7-1996.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1989.7-1992.37" *)
   wire _0800_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1992.8-1993.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1989.7-1993.35" *)
   wire _0801_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.7-2001.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1989.7-1994.35" *)
   wire _0802_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.7-2002.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1990.8-1991.66" *)
   wire _0803_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.7-2003.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1996.7-1999.37" *)
   wire _0804_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1999.8-2000.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1996.7-2000.35" *)
   wire _0805_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.7-2008.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1996.7-2001.35" *)
   wire _0806_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.7-2009.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1997.8-1998.66" *)
   wire _0807_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.7-2010.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2003.7-2006.37" *)
   wire _0808_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2006.8-2007.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2003.7-2007.35" *)
   wire _0809_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.7-2015.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2003.7-2008.35" *)
   wire _0810_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.7-2016.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2004.8-2005.66" *)
   wire _0811_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.7-2017.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2010.7-2013.37" *)
   wire _0812_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2013.8-2014.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2010.7-2014.35" *)
   wire _0813_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.7-2022.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2010.7-2015.35" *)
   wire _0814_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.7-2023.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2011.8-2012.66" *)
   wire _0815_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.7-2024.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2017.7-2020.37" *)
   wire _0816_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2020.8-2021.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2017.7-2021.35" *)
   wire _0817_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.7-2029.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2017.7-2022.35" *)
   wire _0818_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.7-2030.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2018.8-2019.66" *)
   wire _0819_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.7-2031.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.8-2025.52" *)
   wire _0820_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2027.8-2028.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.7-2035.56" *)
   wire _0821_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2034.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.7-2036.39" *)
   wire _0822_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.7-2044.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2035.55" *)
   wire _0823_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.7-2045.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.8-2039.52" *)
   wire _0824_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2044.55" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.7-2050.56" *)
   wire _0825_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2048.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.7-2051.39" *)
   wire _0826_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.7-2059.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2050.55" *)
   wire _0827_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.7-2060.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.8-2054.52" *)
   wire _0828_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2056.8-2059.55" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.7-2057.52" *)
   wire _0829_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2063.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.7-2058.52" *)
   wire _0830_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2066.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.7-2059.53" *)
   wire _0831_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2067.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.7-2063.54" *)
   wire _0832_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2068.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2061.8-2062.52" *)
   wire _0833_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2072.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2061.8-2063.53" *)
   wire _0834_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2070.8-2071.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2095.7-2097.66" *)
   wire _0835_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2070.8-2072.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2103.66" *)
   wire _0836_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2104.7-2106.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2108.7-2109.58" *)
   wire _0837_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2112.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2111.7-2113.66" *)
   wire _0838_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2117.7-2118.58" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2114.7-2125.27" *)
   wire _0839_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2122.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.6-2120.65" *)
   wire _0840_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2123.7-2134.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2121.6-2125.25" *)
   wire _0841_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2127.6-2129.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.11-2133.32" *)
   wire _0842_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.6-2134.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2148.6-2149.60" *)
   wire _0843_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2141.11-2142.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2150.6-2152.57" *)
   wire _0844_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2157.6-2158.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2152.7-2152.56" *)
   wire _0845_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2159.6-2161.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2154.7-2155.56" *)
   wire _0846_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2161.7-2161.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2154.7-2157.66" *)
   wire _0847_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2164.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2154.7-2158.37" *)
   wire _0848_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2166.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2161.56" *)
   wire _0849_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2167.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2163.66" *)
   wire _0850_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2170.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2164.38" *)
   wire _0851_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2172.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2166.7-2167.56" *)
   wire _0852_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2173.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2166.7-2169.66" *)
   wire _0853_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2176.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2166.7-2170.37" *)
   wire _0854_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2178.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2172.7-2173.56" *)
   wire _0855_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2179.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2172.7-2175.66" *)
   wire _0856_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2182.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2172.7-2176.38" *)
   wire _0857_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2184.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2178.7-2179.56" *)
   wire _0858_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2185.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2178.7-2181.66" *)
   wire _0859_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2188.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2178.7-2182.37" *)
   wire _0860_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2190.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2184.7-2185.56" *)
   wire _0861_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2191.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2184.7-2187.66" *)
   wire _0862_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2194.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2184.7-2188.38" *)
   wire _0863_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2196.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2190.7-2191.56" *)
   wire _0864_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2197.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2190.7-2193.66" *)
   wire _0865_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2200.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2190.7-2194.37" *)
   wire _0866_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2202.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2196.7-2197.56" *)
   wire _0867_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2203.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2196.7-2199.66" *)
   wire _0868_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2206.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2196.7-2200.38" *)
   wire _0869_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2208.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2210.7-2212.65" *)
   wire _0870_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2209.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2210.7-2213.65" *)
   wire _0871_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.7-2221.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2210.7-2214.64" *)
   wire _0872_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.7-2222.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2216.7-2218.65" *)
   wire _0873_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.7-2223.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2216.7-2219.65" *)
   wire _0874_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.7-2227.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2220.7-2221.52" *)
   wire _0875_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.7-2228.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2220.7-2222.52" *)
   wire _0876_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2230.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2220.7-2223.53" *)
   wire _0877_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2231.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2220.7-2227.54" *)
   wire _0878_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2232.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.8-2226.52" *)
   wire _0879_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2236.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.8-2227.53" *)
   wire _0880_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2234.8-2235.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2239.8-2240.64" *)
   wire _0881_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2234.8-2236.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2246.8-2248.41" *)
   wire _0882_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2248.8-2249.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2282.8-2283.52" *)
   wire _0883_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2255.8-2257.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.11-2287.50" *)
   wire _0884_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2292.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.11-2288.49" *)
   wire _0885_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2296.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.11-2289.49" *)
   wire _0886_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2297.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.11-2290.50" *)
   wire _0887_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2298.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.11-2294.51" *)
   wire _0888_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2299.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2292.5-2293.49" *)
   wire _0889_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2303.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2292.5-2294.50" *)
   wire _0890_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2301.5-2302.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2314.8-2315.39" *)
   wire _0891_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2301.5-2303.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2342.8-2345.40" *)
   wire _0892_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2323.8-2324.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2348.8-2351.41" *)
   wire _0893_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2351.8-2354.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2354.8-2359.40" *)
   wire _0894_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.8-2360.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2361.6-2363.38" *)
   wire _0895_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.8-2368.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2361.6-2365.39" *)
   wire _0896_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2370.6-2372.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2414.8-2415.39" *)
   wire _0897_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2370.6-2374.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2446.8-2447.38" *)
   wire _0898_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2423.8-2424.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2448.8-2449.39" *)
   wire _0899_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2455.8-2456.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2452.8-2453.39" *)
   wire _0900_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2457.8-2458.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2454.8-2455.38" *)
   wire _0901_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2461.8-2462.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2458.8-2459.38" *)
   wire _0902_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2463.8-2464.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2458.8-2460.39" *)
   wire _0903_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2467.8-2468.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2461.8-2462.39" *)
   wire _0904_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2467.8-2469.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2461.8-2463.39" *)
   wire _0905_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2470.8-2471.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2466.6-2467.37" *)
   wire _0906_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2470.8-2472.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2468.6-2469.36" *)
   wire _0907_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2475.6-2476.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2575.10-2576.43" *)
   wire _0908_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2477.6-2478.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2581.6-2582.50" *)
   wire _0909_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2584.10-2585.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2598.8-2599.39" *)
   wire _0910_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2590.6-2591.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2630.8-2631.38" *)
   wire _0911_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2607.8-2608.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2632.8-2633.39" *)
   wire _0912_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2639.8-2640.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2636.8-2637.39" *)
   wire _0913_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2641.8-2642.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2638.8-2639.38" *)
   wire _0914_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2645.8-2646.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2642.8-2643.38" *)
   wire _0915_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2647.8-2648.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2642.8-2644.39" *)
   wire _0916_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2651.8-2652.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2645.8-2646.39" *)
   wire _0917_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2651.8-2653.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2645.8-2647.39" *)
   wire _0918_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2654.8-2655.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2650.6-2651.37" *)
   wire _0919_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2654.8-2656.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2652.6-2653.36" *)
   wire _0920_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2659.6-2660.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2671.10-2671.61" *)
   wire _0921_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2661.6-2662.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2676.6-2677.34" *)
   wire _0922_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.10-2680.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:586.7-588.63" *)
   wire _0923_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2685.6-2686.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:586.7-586.48" *)
   wire _0924_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.7-593.63" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:586.7-587.63" *)
   wire _0925_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.7-591.48" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:592.7-594.40" *)
   wire _0926_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.7-592.63" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:600.7-600.57" *)
   wire _0927_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:597.7-599.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:600.7-601.44" *)
   wire _0928_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.7-605.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.7-606.48" *)
   wire _0929_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.7-606.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.7-607.28" *)
   wire _0930_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:610.7-611.48" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:611.7-612.44" *)
   wire _0931_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:610.7-612.28" *)
-  wire _0932_;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:616.7-617.44" *)
+  wire _0932_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:644.7-645.44" *)
   wire _0933_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:621.7-622.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:644.7-646.44" *)
   wire _0934_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:649.7-650.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:685.7-685.66" *)
   wire _0935_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:649.7-651.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:685.7-687.40" *)
   wire _0936_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-690.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:685.7-688.47" *)
   wire _0937_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-692.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:685.7-689.35" *)
   wire _0938_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-693.47" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:693.7-693.59" *)
   wire _0939_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-694.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:693.7-694.63" *)
   wire _0940_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-698.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:693.7-695.28" *)
   wire _0941_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-699.63" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:693.7-696.64" *)
   wire _0942_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-700.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:700.7-700.56" *)
   wire _0943_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-701.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:700.7-701.64" *)
   wire _0944_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.7-705.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.7-705.63" *)
   wire _0945_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.7-706.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.7-706.36" *)
   wire _0946_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-710.63" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-710.67" *)
   wire _0947_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-711.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-711.40" *)
   wire _0948_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:715.7-715.67" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:713.7-713.60" *)
   wire _0949_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:715.7-716.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:713.7-714.40" *)
   wire _0950_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:718.7-718.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:716.7-716.67" *)
   wire _0951_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:718.7-719.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:716.7-717.40" *)
   wire _0952_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:721.7-721.67" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:753.7-757.66" *)
   wire _0953_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:721.7-722.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:754.8-755.52" *)
   wire _0954_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:758.7-762.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:776.7-777.29" *)
   wire _0955_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-760.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:787.7-787.67" *)
   wire _0956_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:781.7-782.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:787.7-788.40" *)
   wire _0957_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-792.67" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:789.7-789.60" *)
   wire _0958_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-793.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:789.7-790.40" *)
   wire _0959_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:794.7-794.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-793.31" *)
   wire _0960_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:794.7-795.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-792.68" *)
   wire _0961_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:797.7-798.31" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:795.7-795.63" *)
   wire _0962_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:797.7-797.68" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:795.7-796.40" *)
   wire _0963_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.7-800.63" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.8-800.51" *)
   wire _0964_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.7-801.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.8-801.28" *)
   wire _0965_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.8-805.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:810.7-810.61" *)
   wire _0966_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.8-806.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:812.7-813.65" *)
   wire _0967_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:815.7-815.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:821.7-822.50" *)
   wire _0968_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:817.7-818.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:828.7-828.67" *)
   wire _0969_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:826.7-827.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:828.7-829.40" *)
   wire _0970_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:833.7-833.67" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:830.7-830.60" *)
   wire _0971_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:833.7-834.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:830.7-831.40" *)
   wire _0972_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:835.7-835.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:841.7-842.49" *)
   wire _0973_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:835.7-836.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:867.7-870.67" *)
   wire _0974_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:846.7-847.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:869.8-870.66" *)
   wire _0975_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:872.7-875.67" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:904.12-905.22" *)
   wire _0976_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:874.8-875.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:907.8-908.36" *)
   wire _0977_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:909.12-910.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:910.11-911.40" *)
   wire _0978_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:912.8-913.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:917.6-918.35" *)
   wire _0979_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:915.11-916.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:919.9-919.45" *)
   wire _0980_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:922.6-923.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:921.12-921.49" *)
   wire _0981_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:924.9-924.45" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:923.8-923.45" *)
   wire _0982_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:926.12-926.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:939.7-941.35" *)
   wire _0983_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:928.8-928.45" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:957.7-958.53" *)
   wire _0984_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:944.7-946.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:976.7-977.39" *)
   wire _0985_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:962.7-963.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:976.7-978.40" *)
   wire _0986_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:981.7-982.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:976.7-979.44" *)
   wire _0987_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:981.7-983.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:985.7-986.39" *)
   wire _0988_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:981.7-984.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:985.7-987.40" *)
   wire _0989_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:990.7-991.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:985.7-988.44" *)
   wire _0990_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:990.7-992.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:998.7-999.53" *)
   wire _0991_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:990.7-993.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1034.7-1034.65" *)
   wire _0992_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1004.7-1004.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1035.7-1035.65" *)
   wire _0993_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1039.7-1039.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1045.7-1045.65" *)
   wire _0994_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1040.7-1040.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1170.8-1170.38" *)
   wire _0995_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1050.7-1050.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1171.8-1171.66" *)
   wire _0996_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1179.8-1179.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1172.8-1172.66" *)
   wire _0997_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1180.8-1180.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.39-1183.56" *)
   wire _0998_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1181.8-1181.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.42-1192.59" *)
   wire _0999_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.39-1192.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1202.8-1202.51" *)
   wire _1000_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.42-1201.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1203.8-1203.52" *)
   wire _1001_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1211.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1219.8-1219.51" *)
   wire _1002_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1212.8-1212.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1220.8-1220.52" *)
   wire _1003_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1228.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1236.8-1236.51" *)
   wire _1004_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1229.8-1229.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1237.8-1237.52" *)
   wire _1005_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1245.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1253.8-1253.51" *)
   wire _1006_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1246.8-1246.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1254.8-1254.52" *)
   wire _1007_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1262.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1260.8-1260.51" *)
   wire _1008_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1263.8-1263.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1261.8-1261.52" *)
   wire _1009_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1269.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1267.8-1267.51" *)
   wire _1010_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1270.8-1270.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1268.8-1268.52" *)
   wire _1011_;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1276.51" *)
   wire _1012_;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1277.8-1277.52" *)
   wire _1013_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1285.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1283.8-1283.51" *)
   wire _1014_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1286.8-1286.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1284.8-1284.52" *)
   wire _1015_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1292.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1321.42-1321.59" *)
   wire _1016_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1293.8-1293.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1342.9-1342.26" *)
   wire _1017_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1330.42-1330.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1380.9-1380.44" *)
   wire _1018_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1351.9-1351.26" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.9-1381.26" *)
   wire _1019_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1389.9-1389.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1382.9-1382.44" *)
   wire _1020_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.9-1390.26" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1384.7-1384.65" *)
   wire _1021_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1391.9-1391.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1385.7-1385.65" *)
   wire _1022_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1393.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1386.7-1386.65" *)
   wire _1023_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1394.7-1394.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1387.7-1387.65" *)
   wire _1024_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1395.7-1395.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1389.8-1389.38" *)
   wire _1025_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1396.7-1396.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.8-1390.66" *)
   wire _1026_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.8-1398.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1391.8-1391.66" *)
   wire _1027_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1399.8-1399.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1396.8-1396.38" *)
   wire _1028_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1400.8-1400.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1397.8-1397.66" *)
   wire _1029_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.8-1405.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.8-1398.66" *)
   wire _1030_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1406.8-1406.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.8-1403.38" *)
   wire _1031_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1407.8-1407.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1404.8-1404.66" *)
   wire _1032_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.8-1412.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.8-1405.66" *)
   wire _1033_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1413.8-1413.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1440.8-1440.38" *)
   wire _1034_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1414.8-1414.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1441.8-1441.66" *)
   wire _1035_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1449.8-1449.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1442.8-1442.66" *)
   wire _1036_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1450.8-1450.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1445.8-1445.38" *)
   wire _1037_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1451.8-1451.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1446.8-1446.66" *)
   wire _1038_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.8-1454.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1447.8-1447.66" *)
   wire _1039_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1455.8-1455.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1451.8-1451.38" *)
   wire _1040_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1456.8-1456.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1452.8-1452.66" *)
   wire _1041_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.8-1460.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1453.8-1453.66" *)
   wire _1042_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1461.8-1461.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1458.8-1458.38" *)
   wire _1043_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1462.8-1462.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1459.8-1459.66" *)
   wire _1044_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.8-1467.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.8-1460.66" *)
   wire _1045_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1468.8-1468.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1463.7-1463.27" *)
   wire _1046_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1469.8-1469.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1465.8-1465.38" *)
   wire _1047_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1472.7-1472.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1466.8-1466.66" *)
   wire _1048_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.8-1474.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.8-1467.66" *)
   wire _1049_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1475.8-1475.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1472.8-1472.38" *)
   wire _1050_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1476.8-1476.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1473.8-1473.66" *)
   wire _1051_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.8-1481.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.8-1474.66" *)
   wire _1052_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1482.8-1482.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1477.7-1477.27" *)
   wire _1053_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1483.8-1483.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1479.8-1479.38" *)
   wire _1054_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1486.7-1486.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1480.8-1480.66" *)
   wire _1055_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.8-1488.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.8-1481.66" *)
   wire _1056_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1489.8-1489.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1486.8-1486.38" *)
   wire _1057_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1490.8-1490.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1487.8-1487.66" *)
   wire _1058_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.8-1495.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.8-1488.66" *)
   wire _1059_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1496.8-1496.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1491.7-1491.27" *)
   wire _1060_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1497.8-1497.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1493.8-1493.38" *)
   wire _1061_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1500.7-1500.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1494.8-1494.66" *)
   wire _1062_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.8-1502.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.8-1495.66" *)
   wire _1063_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1503.8-1503.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1500.8-1500.38" *)
   wire _1064_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1504.8-1504.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1501.8-1501.66" *)
   wire _1065_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.8-1509.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.8-1502.66" *)
   wire _1066_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1510.8-1510.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1505.7-1505.27" *)
   wire _1067_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1511.8-1511.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1507.8-1507.38" *)
   wire _1068_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1514.7-1514.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1508.8-1508.66" *)
   wire _1069_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.8-1516.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.8-1509.66" *)
   wire _1070_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1517.8-1517.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1523.8-1523.38" *)
   wire _1071_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1518.8-1518.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1524.8-1524.66" *)
   wire _1072_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1532.8-1532.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1525.8-1525.66" *)
   wire _1073_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1533.8-1533.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1527.3-1527.20" *)
   wire _1074_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.8-1534.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1528.39-1528.56" *)
   wire _1075_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1536.3-1536.20" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1529.6-1529.23" *)
   wire _1076_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.39-1537.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1532.6-1532.23" *)
   wire _1077_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1538.6-1538.23" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.8-1534.38" *)
   wire _1078_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1541.6-1541.23" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1535.8-1535.66" *)
   wire _1079_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.8-1543.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1536.8-1536.66" *)
   wire _1080_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1544.8-1544.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.8-1545.38" *)
   wire _1081_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.8-1545.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1546.8-1546.66" *)
   wire _1082_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.8-1554.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1547.8-1547.66" *)
   wire _1083_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1555.8-1555.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.8-1557.38" *)
   wire _1084_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1556.8-1556.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1558.8-1558.66" *)
   wire _1085_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.8-1566.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1559.8-1559.66" *)
   wire _1086_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1567.8-1567.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1567.7-1567.38" *)
   wire _1087_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1568.8-1568.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.8-1569.38" *)
   wire _1088_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1576.7-1576.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1570.8-1570.66" *)
   wire _1089_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.8-1578.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1571.8-1571.66" *)
   wire _1090_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1579.8-1579.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.8-1581.38" *)
   wire _1091_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1580.8-1580.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1582.8-1582.66" *)
   wire _1092_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.8-1590.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1583.8-1583.66" *)
   wire _1093_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1591.8-1591.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1591.7-1591.38" *)
   wire _1094_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1592.8-1592.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.8-1593.38" *)
   wire _1095_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1600.7-1600.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1594.8-1594.66" *)
   wire _1096_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.8-1602.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1595.8-1595.66" *)
   wire _1097_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1603.8-1603.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.8-1605.38" *)
   wire _1098_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1604.8-1604.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1606.8-1606.66" *)
   wire _1099_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.8-1614.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1607.8-1607.66" *)
   wire _1100_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1615.8-1615.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1615.7-1615.38" *)
   wire _1101_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1616.8-1616.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.8-1617.38" *)
   wire _1102_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1624.7-1624.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1618.8-1618.66" *)
   wire _1103_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.8-1626.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1619.8-1619.66" *)
   wire _1104_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1627.8-1627.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.8-1629.38" *)
   wire _1105_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1628.8-1628.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1630.8-1630.66" *)
   wire _1106_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.8-1638.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1631.8-1631.66" *)
   wire _1107_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1639.8-1639.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1639.7-1639.38" *)
   wire _1108_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1640.8-1640.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1641.8-1641.38" *)
   wire _1109_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1648.7-1648.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1642.8-1642.66" *)
   wire _1110_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.8-1650.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1643.8-1643.66" *)
   wire _1111_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1651.8-1651.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1649.8-1649.38" *)
   wire _1112_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1652.8-1652.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.8-1650.66" *)
   wire _1113_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.8-1658.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1651.8-1651.66" *)
   wire _1114_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1659.8-1659.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1657.8-1657.38" *)
   wire _1115_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1660.8-1660.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.8-1658.66" *)
   wire _1116_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.8-1666.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1659.8-1659.66" *)
   wire _1117_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1667.8-1667.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.8-1663.38" *)
   wire _1118_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1668.8-1668.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1664.8-1664.66" *)
   wire _1119_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.8-1672.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1665.8-1665.66" *)
   wire _1120_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1673.8-1673.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1670.8-1670.38" *)
   wire _1121_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1674.8-1674.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1671.8-1671.66" *)
   wire _1122_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.8-1679.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.8-1672.66" *)
   wire _1123_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1680.8-1680.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1677.8-1677.38" *)
   wire _1124_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1681.8-1681.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1678.8-1678.66" *)
   wire _1125_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.8-1686.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.8-1679.66" *)
   wire _1126_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1687.8-1687.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1684.8-1684.38" *)
   wire _1127_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1688.8-1688.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1685.8-1685.66" *)
   wire _1128_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.8-1693.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.8-1686.66" *)
   wire _1129_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1694.8-1694.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1691.8-1691.38" *)
   wire _1130_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1695.8-1695.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1692.8-1692.66" *)
   wire _1131_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.8-1700.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.8-1693.66" *)
   wire _1132_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1701.8-1701.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1698.8-1698.38" *)
   wire _1133_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1702.8-1702.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1699.8-1699.66" *)
   wire _1134_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.8-1707.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.8-1700.66" *)
   wire _1135_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1708.8-1708.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1705.8-1705.38" *)
   wire _1136_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1709.8-1709.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1706.8-1706.66" *)
   wire _1137_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.8-1714.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.8-1707.66" *)
   wire _1138_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1715.8-1715.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1712.8-1712.38" *)
   wire _1139_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1716.8-1716.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1713.8-1713.66" *)
   wire _1140_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.8-1721.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.8-1714.66" *)
   wire _1141_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1722.8-1722.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1719.8-1719.38" *)
   wire _1142_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1723.8-1723.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1720.8-1720.66" *)
   wire _1143_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.8-1728.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.8-1721.66" *)
   wire _1144_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1729.8-1729.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1726.8-1726.38" *)
   wire _1145_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1730.8-1730.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1727.8-1727.66" *)
   wire _1146_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.8-1735.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.8-1728.66" *)
   wire _1147_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1736.8-1736.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1733.8-1733.38" *)
   wire _1148_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1737.8-1737.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1734.8-1734.66" *)
   wire _1149_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.8-1742.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.8-1735.66" *)
   wire _1150_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1743.8-1743.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1740.8-1740.38" *)
   wire _1151_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1744.8-1744.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1741.8-1741.66" *)
   wire _1152_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.8-1749.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.8-1742.66" *)
   wire _1153_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1750.8-1750.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1747.8-1747.38" *)
   wire _1154_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1751.8-1751.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1748.8-1748.66" *)
   wire _1155_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.8-1756.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.8-1749.66" *)
   wire _1156_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1757.8-1757.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.8-1754.38" *)
   wire _1157_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1758.8-1758.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1755.8-1755.66" *)
   wire _1158_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.8-1763.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.8-1756.66" *)
   wire _1159_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1764.8-1764.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1775.8-1775.38" *)
   wire _1160_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1765.8-1765.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1776.8-1776.66" *)
   wire _1161_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1784.8-1784.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1777.8-1777.66" *)
   wire _1162_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1785.8-1785.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1780.8-1780.38" *)
   wire _1163_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1786.8-1786.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1781.8-1781.66" *)
   wire _1164_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1789.8-1789.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1782.8-1782.66" *)
   wire _1165_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1790.8-1790.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1785.8-1785.38" *)
   wire _1166_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1791.8-1791.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1786.8-1786.66" *)
   wire _1167_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1794.8-1794.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1787.8-1787.66" *)
   wire _1168_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1795.8-1795.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1790.8-1790.38" *)
   wire _1169_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1796.8-1796.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1791.8-1791.66" *)
   wire _1170_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1799.8-1799.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1792.8-1792.66" *)
   wire _1171_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1800.8-1800.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1795.8-1795.38" *)
   wire _1172_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1801.8-1801.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1796.8-1796.66" *)
   wire _1173_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1804.8-1804.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1797.8-1797.66" *)
   wire _1174_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1805.8-1805.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1800.8-1800.38" *)
   wire _1175_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1806.8-1806.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1801.8-1801.66" *)
   wire _1176_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1809.8-1809.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1802.8-1802.66" *)
   wire _1177_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1810.8-1810.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1805.8-1805.38" *)
   wire _1178_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1811.8-1811.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1806.8-1806.66" *)
   wire _1179_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1814.8-1814.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1807.8-1807.66" *)
   wire _1180_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.8-1815.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1810.8-1810.38" *)
   wire _1181_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1816.8-1816.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1811.8-1811.66" *)
   wire _1182_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1819.8-1819.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1812.8-1812.66" *)
   wire _1183_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1820.8-1820.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.8-1815.38" *)
   wire _1184_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1821.8-1821.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1816.8-1816.66" *)
   wire _1185_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.8-1824.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1817.8-1817.66" *)
   wire _1186_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1825.8-1825.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1821.8-1821.38" *)
   wire _1187_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1826.8-1826.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1822.8-1822.66" *)
   wire _1188_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.8-1830.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1823.8-1823.66" *)
   wire _1189_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1831.8-1831.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1828.8-1828.38" *)
   wire _1190_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1832.8-1832.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1829.8-1829.66" *)
   wire _1191_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.8-1837.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.8-1830.66" *)
   wire _1192_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1838.8-1838.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1835.8-1835.38" *)
   wire _1193_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1839.8-1839.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1836.8-1836.66" *)
   wire _1194_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.8-1844.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.8-1837.66" *)
   wire _1195_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1845.8-1845.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1842.8-1842.38" *)
   wire _1196_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1846.8-1846.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1843.8-1843.66" *)
   wire _1197_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.8-1851.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.8-1844.66" *)
   wire _1198_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1852.8-1852.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1849.8-1849.38" *)
   wire _1199_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1853.8-1853.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1850.8-1850.66" *)
   wire _1200_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.8-1858.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.8-1851.66" *)
   wire _1201_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1859.8-1859.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1856.8-1856.38" *)
   wire _1202_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1860.8-1860.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1857.8-1857.66" *)
   wire _1203_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.8-1865.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.8-1858.66" *)
   wire _1204_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1866.8-1866.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1863.8-1863.38" *)
   wire _1205_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1867.8-1867.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1864.8-1864.66" *)
   wire _1206_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.8-1872.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.8-1865.66" *)
   wire _1207_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1873.8-1873.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1870.8-1870.38" *)
   wire _1208_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1874.8-1874.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1871.8-1871.66" *)
   wire _1209_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.8-1879.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.8-1872.66" *)
   wire _1210_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1880.8-1880.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1877.8-1877.38" *)
   wire _1211_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1881.8-1881.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1878.8-1878.66" *)
   wire _1212_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.8-1886.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.8-1879.66" *)
   wire _1213_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1887.8-1887.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1884.8-1884.38" *)
   wire _1214_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1888.8-1888.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1885.8-1885.66" *)
   wire _1215_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.8-1893.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.8-1886.66" *)
   wire _1216_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1894.8-1894.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1891.8-1891.38" *)
   wire _1217_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1895.8-1895.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1892.8-1892.66" *)
   wire _1218_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.8-1900.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.8-1893.66" *)
   wire _1219_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1901.8-1901.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1898.8-1898.38" *)
   wire _1220_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1902.8-1902.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1899.8-1899.66" *)
   wire _1221_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.8-1907.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.8-1900.66" *)
   wire _1222_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1908.8-1908.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1905.8-1905.38" *)
   wire _1223_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1909.8-1909.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1906.8-1906.66" *)
   wire _1224_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.8-1914.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.8-1907.66" *)
   wire _1225_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1915.8-1915.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1912.8-1912.38" *)
   wire _1226_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1916.8-1916.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1913.8-1913.66" *)
   wire _1227_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.8-1921.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.8-1914.66" *)
   wire _1228_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1922.8-1922.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1919.8-1919.38" *)
   wire _1229_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1923.8-1923.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1920.8-1920.66" *)
   wire _1230_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.8-1928.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.8-1921.66" *)
   wire _1231_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1929.8-1929.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1926.8-1926.38" *)
   wire _1232_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1930.8-1930.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1927.8-1927.66" *)
   wire _1233_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.8-1935.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.8-1928.66" *)
   wire _1234_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1936.8-1936.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1933.8-1933.38" *)
   wire _1235_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1937.8-1937.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1934.8-1934.66" *)
   wire _1236_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.8-1942.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.8-1935.66" *)
   wire _1237_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1943.8-1943.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1940.8-1940.38" *)
   wire _1238_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1944.8-1944.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1941.8-1941.66" *)
   wire _1239_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.8-1949.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.8-1942.66" *)
   wire _1240_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1950.8-1950.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1947.8-1947.38" *)
   wire _1241_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1951.8-1951.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1948.8-1948.66" *)
   wire _1242_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.8-1956.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.8-1949.66" *)
   wire _1243_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1957.8-1957.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1954.8-1954.38" *)
   wire _1244_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1958.8-1958.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1955.8-1955.66" *)
   wire _1245_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.8-1963.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.8-1956.66" *)
   wire _1246_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1964.8-1964.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1961.8-1961.38" *)
   wire _1247_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1965.8-1965.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1962.8-1962.66" *)
   wire _1248_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.8-1970.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.8-1963.66" *)
   wire _1249_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1971.8-1971.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1968.8-1968.38" *)
   wire _1250_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1972.8-1972.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1969.8-1969.66" *)
   wire _1251_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.8-1977.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.8-1970.66" *)
   wire _1252_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1978.8-1978.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1975.8-1975.38" *)
   wire _1253_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1979.8-1979.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1976.8-1976.66" *)
   wire _1254_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.8-1984.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.8-1977.66" *)
   wire _1255_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1985.8-1985.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1982.8-1982.38" *)
   wire _1256_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1986.8-1986.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1983.8-1983.66" *)
   wire _1257_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.8-1991.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.8-1984.66" *)
   wire _1258_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1992.8-1992.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1989.8-1989.38" *)
   wire _1259_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1993.8-1993.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1990.8-1990.66" *)
   wire _1260_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.8-1998.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.8-1991.66" *)
   wire _1261_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1999.8-1999.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1996.8-1996.38" *)
   wire _1262_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2000.8-2000.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1997.8-1997.66" *)
   wire _1263_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.8-2005.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.8-1998.66" *)
   wire _1264_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2006.8-2006.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2003.8-2003.38" *)
   wire _1265_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2007.8-2007.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2004.8-2004.66" *)
   wire _1266_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.8-2012.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.8-2005.66" *)
   wire _1267_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2013.8-2013.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2010.8-2010.38" *)
   wire _1268_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2014.8-2014.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2011.8-2011.66" *)
   wire _1269_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.8-2019.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.8-2012.66" *)
   wire _1270_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2020.8-2020.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2017.8-2017.38" *)
   wire _1271_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2021.8-2021.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2018.8-2018.66" *)
   wire _1272_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.8-2026.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.8-2019.66" *)
   wire _1273_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2027.8-2027.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.8-2024.51" *)
   wire _1274_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2028.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2025.8-2025.52" *)
   wire _1275_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2033.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2028.41" *)
   wire _1276_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2034.8-2034.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.8-2038.51" *)
   wire _1277_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2037.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2039.8-2039.52" *)
   wire _1278_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2047.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2042.41" *)
   wire _1279_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2048.8-2048.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2048.9-2048.42" *)
   wire _1280_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2051.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.8-2053.51" *)
   wire _1281_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2057.9-2057.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2054.8-2054.52" *)
   wire _1282_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2062.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2098.7-2098.24" *)
   wire _1283_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2063.8-2063.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2104.7-2104.24" *)
   wire _1284_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2107.7-2107.24" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2109.8-2109.25" *)
   wire _1285_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2113.7-2113.24" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2114.7-2114.23" *)
   wire _1286_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.8-2118.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2116.3-2116.20" *)
   wire _1287_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2123.7-2123.23" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2125.7-2125.24" *)
   wire _1288_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2125.3-2125.20" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2137.7-2137.39" *)
   wire _1289_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2134.7-2134.24" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2164.7-2164.38" *)
   wire _1290_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2146.7-2146.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2176.7-2176.38" *)
   wire _1291_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2173.7-2173.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2188.7-2188.38" *)
   wire _1292_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2185.7-2185.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2200.7-2200.38" *)
   wire _1293_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2197.7-2197.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2212.7-2212.65" *)
   wire _1294_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2209.7-2209.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2213.7-2213.65" *)
   wire _1295_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2221.7-2221.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2218.7-2218.65" *)
   wire _1296_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2222.7-2222.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.7-2219.65" *)
   wire _1297_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2227.7-2227.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2282.8-2282.51" *)
   wire _1298_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2228.7-2228.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2283.8-2283.52" *)
   wire _1299_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2291.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2310.8-2310.39" *)
   wire _1300_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2292.8-2292.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2315.8-2315.39" *)
   wire _1301_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2319.8-2319.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2321.8-2321.39" *)
   wire _1302_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2324.8-2324.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2327.8-2327.39" *)
   wire _1303_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2330.8-2330.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2333.8-2333.39" *)
   wire _1304_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2336.8-2336.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2339.8-2339.39" *)
   wire _1305_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2342.8-2342.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2342.9-2342.40" *)
   wire _1306_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2348.8-2348.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2343.9-2343.40" *)
   wire _1307_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2351.9-2351.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2348.9-2348.40" *)
   wire _1308_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2352.9-2352.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2351.9-2351.40" *)
   wire _1309_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.9-2357.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2354.9-2354.40" *)
   wire _1310_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2360.9-2360.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2355.9-2355.40" *)
   wire _1311_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.9-2363.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2361.6-2361.37" *)
   wire _1312_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2364.9-2364.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2362.7-2362.38" *)
   wire _1313_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2370.6-2370.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2365.7-2365.38" *)
   wire _1314_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2371.7-2371.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2415.8-2415.39" *)
   wire _1315_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2374.7-2374.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2418.8-2418.39" *)
   wire _1316_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2424.8-2424.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2422.8-2422.39" *)
   wire _1317_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2427.8-2427.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2428.8-2428.39" *)
   wire _1318_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2431.8-2431.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2434.8-2434.39" *)
   wire _1319_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2437.8-2437.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2440.8-2440.39" *)
   wire _1320_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2443.8-2443.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2448.8-2448.39" *)
   wire _1321_;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2449.8-2449.39" *)
   wire _1322_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2457.8-2457.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2453.8-2453.39" *)
   wire _1323_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2458.8-2458.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2454.8-2454.39" *)
   wire _1324_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2462.8-2462.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2460.8-2460.39" *)
   wire _1325_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2463.8-2463.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2461.8-2461.39" *)
   wire _1326_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2469.8-2469.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2462.8-2462.39" *)
   wire _1327_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2470.8-2470.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2463.8-2463.39" *)
   wire _1328_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2471.8-2471.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2467.6-2467.37" *)
   wire _1329_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2472.8-2472.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2468.6-2468.37" *)
   wire _1330_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2476.6-2476.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2576.10-2576.43" *)
   wire _1331_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2477.6-2477.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2599.8-2599.39" *)
   wire _1332_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2585.10-2585.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2602.8-2602.39" *)
   wire _1333_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2608.8-2608.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2606.8-2606.39" *)
   wire _1334_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2611.8-2611.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2612.8-2612.39" *)
   wire _1335_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2615.8-2615.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2618.8-2618.39" *)
   wire _1336_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2621.8-2621.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2624.8-2624.39" *)
   wire _1337_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2627.8-2627.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2632.8-2632.39" *)
   wire _1338_;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2633.8-2633.39" *)
   wire _1339_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2641.8-2641.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2637.8-2637.39" *)
   wire _1340_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2642.8-2642.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2638.8-2638.39" *)
   wire _1341_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2646.8-2646.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2644.8-2644.39" *)
   wire _1342_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2647.8-2647.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2645.8-2645.39" *)
   wire _1343_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2653.8-2653.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2646.8-2646.39" *)
   wire _1344_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2654.8-2654.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2647.8-2647.39" *)
   wire _1345_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2655.8-2655.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2651.6-2651.37" *)
   wire _1346_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2656.8-2656.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2652.6-2652.37" *)
   wire _1347_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2660.6-2660.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2671.44-2671.61" *)
   wire _1348_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2661.6-2661.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:586.27-586.48" *)
   wire _1349_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.44-2680.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:593.8-593.31" *)
   wire _1350_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.27-591.48" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:594.8-594.39" *)
   wire _1351_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.8-598.31" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:601.7-601.44" *)
   wire _1352_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:599.8-599.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:607.7-607.28" *)
   wire _1353_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:606.7-606.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:621.8-621.52" *)
   wire _1354_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:612.7-612.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:622.8-622.46" *)
   wire _1355_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:626.8-626.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:628.8-628.52" *)
   wire _1356_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:627.8-627.46" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:629.8-629.46" *)
   wire _1357_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:633.8-633.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:637.8-637.52" *)
   wire _1358_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:634.8-634.46" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:638.8-638.46" *)
   wire _1359_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:642.8-642.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:686.8-686.31" *)
   wire _1360_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:643.8-643.46" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:687.8-687.39" *)
   wire _1361_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.8-691.31" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:689.7-689.35" *)
   wire _1362_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:692.8-692.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:693.27-693.59" *)
   wire _1363_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:694.7-694.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:695.7-695.28" *)
   wire _1364_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.27-698.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:696.7-696.64" *)
   wire _1365_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:700.7-700.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:700.27-700.56" *)
   wire _1366_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:701.7-701.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:706.7-706.36" *)
   wire _1367_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.27-705.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:754.8-754.51" *)
   wire _1368_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:711.7-711.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:755.8-755.52" *)
   wire _1369_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-759.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.31-800.51" *)
   wire _1370_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:760.8-760.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:801.8-801.28" *)
   wire _1371_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.31-805.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:868.8-868.38" *)
   wire _1372_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:806.8-806.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:869.8-869.66" *)
   wire _1373_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:873.8-873.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:870.8-870.66" *)
   wire _1374_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:874.8-874.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:905.5-905.22" *)
   wire _1375_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:875.8-875.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:921.12-921.29" *)
   wire _1376_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:910.5-910.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:923.28-923.45" *)
   wire _1377_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:926.12-926.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:958.7-958.53" *)
   wire _1378_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:928.28-928.45" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:977.7-977.39" *)
   wire _1379_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:963.7-963.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:986.7-986.39" *)
   wire _1380_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:982.7-982.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:991.9-991.53" *)
   wire _1381_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:991.7-991.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:999.7-999.53" *)
   wire _1382_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:996.9-996.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1024.8-1025.51" *)
   wire _1383_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1029.8-1030.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1032.8-1033.51" *)
   wire _1384_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1037.8-1038.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.8-1037.65" *)
   wire _1385_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1041.8-1042.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1043.8-1044.51" *)
   wire _1386_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1048.8-1049.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1096.7-1096.56" *)
   wire _1387_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1105.7-1105.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1128.8-1129.38" *)
   wire _1388_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1137.8-1138.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1132.5-1133.35" *)
   wire _1389_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1141.5-1142.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1141.10-1143.57" *)
   wire _1390_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1150.10-1152.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1170.8-1172.66" *)
   wire _1391_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1179.8-1181.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1174.7-1177.40" *)
   wire _1392_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.7-1186.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1202.8-1204.65" *)
   wire _1393_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1213.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1202.8-1205.65" *)
   wire _1394_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1214.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.5-1214.50" *)
   wire _1395_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1220.5-1223.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1219.8-1221.65" *)
   wire _1396_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1230.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1219.8-1222.65" *)
   wire _1397_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1231.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.5-1231.50" *)
   wire _1398_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1237.5-1240.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1236.8-1238.65" *)
   wire _1399_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1247.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1236.8-1239.65" *)
   wire _1400_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1248.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.5-1248.50" *)
   wire _1401_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1254.5-1257.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1253.8-1255.65" *)
   wire _1402_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1264.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1253.8-1256.65" *)
   wire _1403_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1265.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1260.8-1262.65" *)
   wire _1404_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1271.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1260.8-1263.65" *)
   wire _1405_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1272.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1267.8-1269.65" *)
   wire _1406_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1278.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1267.8-1270.65" *)
   wire _1407_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1279.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1278.65" *)
   wire _1408_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1287.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1279.65" *)
   wire _1409_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1288.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1283.8-1285.65" *)
   wire _1410_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1294.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1283.8-1286.65" *)
   wire _1411_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1295.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1291.5-1294.50" *)
   wire _1412_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1300.5-1303.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.7-1304.50" *)
   wire _1413_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1313.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.7-1305.50" *)
   wire _1414_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1314.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1300.8-1303.53" *)
   wire _1415_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1309.8-1312.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1320.8-1321.59" *)
   wire _1416_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1329.8-1330.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1342.9-1342.59" *)
   wire _1417_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1351.9-1351.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1379.9-1379.65" *)
   wire _1418_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1388.9-1388.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.9-1381.56" *)
   wire _1419_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.9-1390.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.9-1382.44" *)
   wire _1420_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.9-1391.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1389.8-1391.66" *)
   wire _1421_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.8-1400.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1396.8-1398.66" *)
   wire _1422_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.8-1407.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.8-1405.66" *)
   wire _1423_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.8-1414.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1440.8-1442.66" *)
   wire _1424_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1449.8-1451.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1445.8-1447.66" *)
   wire _1425_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.8-1456.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1451.8-1453.66" *)
   wire _1426_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.8-1462.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1458.8-1460.66" *)
   wire _1427_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.8-1469.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1465.8-1467.66" *)
   wire _1428_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.8-1476.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1472.8-1474.66" *)
   wire _1429_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.8-1483.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1479.8-1481.66" *)
   wire _1430_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.8-1490.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1486.8-1488.66" *)
   wire _1431_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.8-1497.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1493.8-1495.66" *)
   wire _1432_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.8-1504.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1500.8-1502.66" *)
   wire _1433_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.8-1511.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1507.8-1509.66" *)
   wire _1434_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.8-1518.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1523.8-1525.66" *)
   wire _1435_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1532.8-1534.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.8-1536.66" *)
   wire _1436_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.8-1545.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.8-1547.66" *)
   wire _1437_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.8-1556.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.8-1559.66" *)
   wire _1438_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.8-1568.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.8-1571.66" *)
   wire _1439_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.8-1580.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.8-1583.66" *)
   wire _1440_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.8-1592.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.8-1595.66" *)
   wire _1441_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.8-1604.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.8-1607.66" *)
   wire _1442_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.8-1616.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.8-1619.66" *)
   wire _1443_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.8-1628.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.8-1631.66" *)
   wire _1444_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.8-1640.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1641.8-1643.66" *)
   wire _1445_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.8-1652.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1645.8-1647.62" *)
   wire _1446_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1654.8-1656.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1649.8-1651.66" *)
   wire _1447_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.8-1660.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1654.8-1655.65" *)
   wire _1448_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.8-1664.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1657.8-1659.66" *)
   wire _1449_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.8-1668.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.8-1665.66" *)
   wire _1450_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.8-1674.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1670.8-1672.66" *)
   wire _1451_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.8-1681.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1677.8-1679.66" *)
   wire _1452_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.8-1688.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1684.8-1686.66" *)
   wire _1453_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.8-1695.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1691.8-1693.66" *)
   wire _1454_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.8-1702.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1698.8-1700.66" *)
   wire _1455_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.8-1709.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1705.8-1707.66" *)
   wire _1456_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.8-1716.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1712.8-1714.66" *)
   wire _1457_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.8-1723.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1719.8-1721.66" *)
   wire _1458_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.8-1730.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1726.8-1728.66" *)
   wire _1459_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.8-1737.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1733.8-1735.66" *)
   wire _1460_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.8-1744.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1740.8-1742.66" *)
   wire _1461_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.8-1751.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1747.8-1749.66" *)
   wire _1462_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.8-1758.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.8-1756.66" *)
   wire _1463_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.8-1765.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1775.8-1777.66" *)
   wire _1464_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1784.8-1786.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1780.8-1782.66" *)
   wire _1465_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1789.8-1791.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1785.8-1787.66" *)
   wire _1466_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1794.8-1796.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1790.8-1792.66" *)
   wire _1467_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1799.8-1801.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1795.8-1797.66" *)
   wire _1468_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1804.8-1806.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1800.8-1802.66" *)
   wire _1469_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1809.8-1811.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1805.8-1807.66" *)
   wire _1470_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1814.8-1816.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1810.8-1812.66" *)
   wire _1471_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1819.8-1821.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.8-1817.66" *)
   wire _1472_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.8-1826.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1821.8-1823.66" *)
   wire _1473_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.8-1832.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1828.8-1830.66" *)
   wire _1474_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.8-1839.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1835.8-1837.66" *)
   wire _1475_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.8-1846.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1842.8-1844.66" *)
   wire _1476_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.8-1853.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1849.8-1851.66" *)
   wire _1477_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.8-1860.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1856.8-1858.66" *)
   wire _1478_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.8-1867.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1863.8-1865.66" *)
   wire _1479_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.8-1874.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1870.8-1872.66" *)
   wire _1480_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.8-1881.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1877.8-1879.66" *)
   wire _1481_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.8-1888.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1884.8-1886.66" *)
   wire _1482_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.8-1895.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1891.8-1893.66" *)
   wire _1483_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.8-1902.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1898.8-1900.66" *)
   wire _1484_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.8-1909.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1905.8-1907.66" *)
   wire _1485_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.8-1916.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1912.8-1914.66" *)
   wire _1486_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.8-1923.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1919.8-1921.66" *)
   wire _1487_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.8-1930.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1926.8-1928.66" *)
   wire _1488_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.8-1937.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1933.8-1935.66" *)
   wire _1489_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.8-1944.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1940.8-1942.66" *)
   wire _1490_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.8-1951.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1947.8-1949.66" *)
   wire _1491_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.8-1958.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1954.8-1956.66" *)
   wire _1492_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.8-1965.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1961.8-1963.66" *)
   wire _1493_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.8-1972.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1968.8-1970.66" *)
   wire _1494_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.8-1979.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1975.8-1977.66" *)
   wire _1495_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.8-1986.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1982.8-1984.66" *)
   wire _1496_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.8-1993.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1989.8-1991.66" *)
   wire _1497_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.8-2000.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1996.8-1998.66" *)
   wire _1498_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.8-2007.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2003.8-2005.66" *)
   wire _1499_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.8-2014.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2010.8-2012.66" *)
   wire _1500_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.8-2021.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2017.8-2019.66" *)
   wire _1501_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.8-2028.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.8-2026.65" *)
   wire _1502_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2035.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.8-2027.65" *)
   wire _1503_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2036.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2029.54" *)
   wire _1504_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2038.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2030.53" *)
   wire _1505_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2039.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2031.53" *)
   wire _1506_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2040.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2032.54" *)
   wire _1507_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2041.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2035.55" *)
   wire _1508_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2044.55" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2034.9-2035.54" *)
   wire _1509_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2043.9-2044.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.8-2040.65" *)
   wire _1510_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2049.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.8-2041.65" *)
   wire _1511_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2050.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2043.54" *)
   wire _1512_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2052.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2044.53" *)
   wire _1513_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2053.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2045.53" *)
   wire _1514_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2054.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2046.54" *)
   wire _1515_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2055.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2050.55" *)
   wire _1516_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2059.55" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2048.9-2049.53" *)
   wire _1517_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2057.9-2058.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2048.9-2050.54" *)
   wire _1518_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2057.9-2059.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.8-2055.65" *)
   wire _1519_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2064.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.8-2056.65" *)
   wire _1520_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2065.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2060.8-2063.53" *)
   wire _1521_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2069.8-2072.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2068.7-2069.34" *)
   wire _1522_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2077.7-2078.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2071.7-2072.34" *)
   wire _1523_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2080.7-2081.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2074.7-2074.70" *)
   wire _1524_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2083.7-2083.70" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2076.7-2077.34" *)
   wire _1525_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2085.7-2086.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2079.7-2079.70" *)
   wire _1526_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2088.7-2088.70" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2095.7-2098.24" *)
   wire _1527_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2104.7-2107.24" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2095.7-2099.37" *)
   wire _1528_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2104.7-2108.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2096.8-2097.65" *)
   wire _1529_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2105.8-2106.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2104.24" *)
   wire _1530_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2113.24" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2105.37" *)
   wire _1531_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2114.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2106.36" *)
   wire _1532_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2115.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2107.37" *)
   wire _1533_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2116.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2109.58" *)
   wire _1534_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2118.58" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2102.8-2103.65" *)
   wire _1535_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2111.8-2112.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2109.8-2109.57" *)
   wire _1536_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.8-2118.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2111.7-2125.27" *)
   wire _1537_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2134.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2111.7-2136.8" *)
   wire _1538_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2145.8" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2111.7-2137.39" *)
   wire _1539_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2146.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2112.8-2113.65" *)
   wire _1540_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2121.8-2122.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2119.7-2120.64" *)
   wire _1541_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2128.7-2129.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2121.7-2122.35" *)
   wire _1542_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.7-2131.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2123.7-2124.36" *)
   wire _1543_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.7-2133.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2123.7-2125.24" *)
   wire _1544_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.7-2134.24" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2143.7-2152.59" *)
   wire _1545_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2152.7-2161.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2147.6-2149.60" *)
   wire _1546_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2156.6-2158.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2151.7-2152.56" *)
   wire _1547_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2161.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2156.8-2157.65" *)
   wire _1548_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2165.8-2166.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2162.8-2163.65" *)
   wire _1549_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2171.8-2172.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2168.8-2169.65" *)
   wire _1550_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2177.8-2178.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2174.8-2175.65" *)
   wire _1551_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2183.8-2184.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2180.8-2181.65" *)
   wire _1552_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2189.8-2190.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2186.8-2187.65" *)
   wire _1553_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2195.8-2196.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2192.8-2193.65" *)
   wire _1554_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2201.8-2202.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2198.8-2199.65" *)
   wire _1555_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2207.8-2208.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2210.8-2211.51" *)
   wire _1556_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.8-2220.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2216.8-2217.51" *)
   wire _1557_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.8-2226.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2216.7-2227.54" *)
   wire _1558_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.7-2236.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2224.8-2227.53" *)
   wire _1559_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2233.8-2236.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2234.8-2235.51" *)
   wire _1560_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2243.8-2244.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2282.8-2284.65" *)
   wire _1561_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2293.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2282.8-2285.65" *)
   wire _1562_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2294.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.5-2294.50" *)
   wire _1563_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2300.5-2303.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2310.8-2311.38" *)
   wire _1564_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2319.8-2320.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2342.9-2343.40" *)
   wire _1565_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2351.9-2352.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2344.9-2345.39" *)
   wire _1566_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2353.9-2354.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2348.9-2349.39" *)
   wire _1567_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.9-2358.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2350.9-2351.40" *)
   wire _1568_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2359.9-2360.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2354.9-2355.40" *)
   wire _1569_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.9-2364.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2354.9-2356.39" *)
   wire _1570_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.9-2365.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.9-2358.39" *)
   wire _1571_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2366.9-2367.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.9-2359.39" *)
   wire _1572_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2366.9-2368.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2362.7-2363.37" *)
   wire _1573_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2371.7-2372.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2364.7-2365.38" *)
   wire _1574_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2373.7-2374.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2418.8-2419.38" *)
   wire _1575_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2427.8-2428.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2446.8-2449.39" *)
   wire _1576_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2455.8-2458.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2452.8-2455.38" *)
   wire _1577_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2461.8-2464.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2458.8-2463.39" *)
   wire _1578_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2467.8-2472.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2465.6-2467.37" *)
   wire _1579_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2474.6-2476.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2465.6-2469.36" *)
   wire _1580_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2474.6-2478.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2602.8-2603.38" *)
   wire _1581_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2611.8-2612.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2630.8-2633.39" *)
   wire _1582_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2639.8-2642.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2636.8-2639.38" *)
   wire _1583_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2645.8-2648.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2642.8-2647.39" *)
   wire _1584_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2651.8-2656.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2649.6-2651.37" *)
   wire _1585_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2658.6-2660.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2649.6-2653.36" *)
   wire _1586_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2658.6-2662.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:593.8-593.68" *)
   wire _1587_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.8-598.68" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:593.8-594.39" *)
   wire _1588_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.8-599.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:621.8-622.46" *)
   wire _1589_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:626.8-627.46" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:628.8-629.46" *)
   wire _1590_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:633.8-634.46" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:637.8-638.46" *)
   wire _1591_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:642.8-643.46" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:686.8-686.68" *)
   wire _1592_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.8-691.68" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:686.8-687.39" *)
   wire _1593_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.8-692.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:754.8-756.65" *)
   wire _1594_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-761.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:754.8-757.65" *)
   wire _1595_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-762.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:781.7-781.62" *)
   wire _1596_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:786.7-786.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:787.7-790.40" *)
   wire _1597_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-795.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.7-805.49" *)
   wire _1598_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:810.7-810.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.7-806.26" *)
   wire _1599_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:810.7-811.26" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:808.7-808.70" *)
   wire _1600_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:813.7-813.70" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:828.7-831.40" *)
   wire _1601_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:833.7-836.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:868.8-870.66" *)
   wire _1602_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:873.8-875.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:889.7-889.70" *)
   wire _1603_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:894.7-894.70" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:932.7-933.34" *)
   wire _1604_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:937.7-938.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:940.8-940.64" *)
   wire _1605_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.8-945.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:940.8-941.34" *)
   wire _1606_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.8-946.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2230.7-2230.40" *)
   wire _1607_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2239.7-2239.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:600.29-600.57" *)
   wire _1608_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.29-605.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:606.7-606.48" *)
   wire _1609_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:611.7-611.48" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1127.7-1127.34" *)
   wire _1610_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1136.7-1136.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1131.4-1131.31" *)
   wire _1611_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1140.4-1140.31" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1135.9-1135.36" *)
   wire _1612_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1144.9-1144.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1136.9-1136.38" *)
   wire _1613_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1145.9-1145.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1137.9-1137.38" *)
   wire _1614_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1146.9-1146.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1138.9-1138.38" *)
   wire _1615_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1147.9-1147.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1139.9-1139.38" *)
   wire _1616_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1148.9-1148.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1142.3-1142.52" *)
   wire _1617_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1151.3-1151.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1175.7-1175.40" *)
   wire _1618_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1184.7-1184.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1177.7-1177.40" *)
   wire _1619_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1186.7-1186.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1207.4-1207.50" *)
   wire _1620_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1216.4-1216.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1208.4-1208.49" *)
   wire _1621_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1217.4-1217.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1209.4-1209.49" *)
   wire _1622_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1218.4-1218.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1210.4-1210.50" *)
   wire _1623_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1219.4-1219.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.5-1211.51" *)
   wire _1624_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1220.5-1220.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1213.5-1213.49" *)
   wire _1625_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1222.5-1222.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1214.5-1214.50" *)
   wire _1626_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.5-1223.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1224.4-1224.50" *)
   wire _1627_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1233.4-1233.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1225.4-1225.49" *)
   wire _1628_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1234.4-1234.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1226.4-1226.49" *)
   wire _1629_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1235.4-1235.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1227.4-1227.50" *)
   wire _1630_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1236.4-1236.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.5-1228.51" *)
   wire _1631_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1237.5-1237.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1230.5-1230.49" *)
   wire _1632_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1239.5-1239.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1231.5-1231.50" *)
   wire _1633_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.5-1240.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1241.4-1241.50" *)
   wire _1634_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1250.4-1250.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1242.4-1242.49" *)
   wire _1635_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1251.4-1251.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1243.4-1243.49" *)
   wire _1636_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1252.4-1252.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1244.4-1244.50" *)
   wire _1637_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1253.4-1253.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.5-1245.51" *)
   wire _1638_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1254.5-1254.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1247.5-1247.49" *)
   wire _1639_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1256.5-1256.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1248.5-1248.50" *)
   wire _1640_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1257.5-1257.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1287.11-1287.57" *)
   wire _1641_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1296.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1288.4-1288.49" *)
   wire _1642_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1297.4-1297.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1289.4-1289.49" *)
   wire _1643_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1298.4-1298.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1290.4-1290.50" *)
   wire _1644_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1299.4-1299.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1291.5-1291.51" *)
   wire _1645_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1300.5-1300.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1293.5-1293.49" *)
   wire _1646_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1302.5-1302.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1294.5-1294.50" *)
   wire _1647_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1303.5-1303.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.7-1296.53" *)
   wire _1648_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1305.7-1305.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1297.7-1297.52" *)
   wire _1649_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1306.7-1306.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1298.7-1298.52" *)
   wire _1650_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1307.7-1307.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1299.7-1299.53" *)
   wire _1651_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1308.7-1308.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1300.8-1300.54" *)
   wire _1652_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1309.8-1309.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1302.8-1302.52" *)
   wire _1653_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1311.8-1311.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1303.8-1303.53" *)
   wire _1654_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1312.8-1312.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.30-1381.56" *)
   wire _1655_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.30-1390.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1408.7-1408.34" *)
   wire _1656_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1417.7-1417.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1409.7-1409.34" *)
   wire _1657_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1418.7-1418.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1410.7-1410.34" *)
   wire _1658_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1419.7-1419.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1411.7-1411.34" *)
   wire _1659_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1420.7-1420.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1412.34" *)
   wire _1660_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1421.7-1421.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1413.7-1413.34" *)
   wire _1661_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1422.7-1422.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1414.7-1414.34" *)
   wire _1662_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1423.7-1423.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1415.7-1415.34" *)
   wire _1663_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1424.7-1424.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1416.7-1416.34" *)
   wire _1664_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1425.7-1425.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1417.7-1417.34" *)
   wire _1665_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1426.7-1426.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1418.7-1418.35" *)
   wire _1666_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1427.7-1427.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1419.7-1419.35" *)
   wire _1667_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1428.7-1428.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1420.7-1420.35" *)
   wire _1668_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1429.7-1429.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1421.7-1421.35" *)
   wire _1669_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1430.7-1430.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1422.7-1422.35" *)
   wire _1670_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1431.7-1431.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1423.7-1423.35" *)
   wire _1671_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1432.7-1432.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1424.7-1424.35" *)
   wire _1672_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1433.7-1433.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1425.7-1425.35" *)
   wire _1673_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1434.7-1434.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1426.7-1426.35" *)
   wire _1674_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1435.7-1435.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1427.7-1427.35" *)
   wire _1675_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1436.7-1436.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1428.7-1428.35" *)
   wire _1676_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1437.7-1437.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1429.7-1429.35" *)
   wire _1677_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1438.7-1438.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1430.7-1430.35" *)
   wire _1678_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1439.7-1439.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1431.7-1431.35" *)
   wire _1679_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1440.7-1440.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1432.7-1432.35" *)
   wire _1680_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1441.7-1441.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1433.7-1433.35" *)
   wire _1681_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1442.7-1442.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1434.7-1434.35" *)
   wire _1682_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1443.7-1443.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1435.7-1435.35" *)
   wire _1683_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1444.7-1444.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1436.7-1436.35" *)
   wire _1684_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1445.7-1445.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1437.7-1437.35" *)
   wire _1685_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1446.7-1446.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1438.7-1438.35" *)
   wire _1686_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1447.7-1447.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1538.7-1538.34" *)
   wire _1687_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1547.7-1547.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1539.7-1539.36" *)
   wire _1688_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1548.7-1548.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1540.7-1540.36" *)
   wire _1689_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1549.7-1549.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1541.7-1541.36" *)
   wire _1690_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1550.7-1550.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1542.7-1542.36" *)
   wire _1691_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1551.7-1551.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1549.7-1549.34" *)
   wire _1692_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1558.7-1558.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1550.7-1550.36" *)
   wire _1693_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1559.7-1559.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1551.7-1551.36" *)
   wire _1694_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1560.7-1560.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1552.7-1552.36" *)
   wire _1695_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1561.7-1561.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1553.7-1553.36" *)
   wire _1696_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1562.7-1562.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1561.7-1561.34" *)
   wire _1697_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1570.7-1570.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1562.7-1562.36" *)
   wire _1698_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1571.7-1571.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1563.7-1563.36" *)
   wire _1699_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1572.7-1572.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1564.7-1564.36" *)
   wire _1700_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1573.7-1573.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1565.7-1565.36" *)
   wire _1701_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1574.7-1574.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1573.7-1573.34" *)
   wire _1702_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1582.7-1582.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1574.7-1574.36" *)
   wire _1703_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1583.7-1583.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1575.7-1575.36" *)
   wire _1704_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1584.7-1584.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1576.7-1576.36" *)
   wire _1705_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1585.7-1585.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1577.7-1577.36" *)
   wire _1706_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1586.7-1586.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1585.7-1585.34" *)
   wire _1707_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1594.7-1594.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1586.7-1586.36" *)
   wire _1708_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1595.7-1595.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1587.7-1587.36" *)
   wire _1709_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1596.7-1596.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1588.7-1588.36" *)
   wire _1710_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1597.7-1597.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1589.7-1589.36" *)
   wire _1711_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1598.7-1598.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1597.7-1597.34" *)
   wire _1712_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1606.7-1606.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1598.7-1598.36" *)
   wire _1713_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1607.7-1607.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1599.7-1599.36" *)
   wire _1714_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1608.7-1608.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1600.7-1600.36" *)
   wire _1715_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1609.7-1609.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1601.7-1601.36" *)
   wire _1716_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1610.7-1610.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1609.7-1609.34" *)
   wire _1717_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1618.7-1618.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1610.7-1610.36" *)
   wire _1718_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1619.7-1619.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1611.7-1611.36" *)
   wire _1719_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1620.7-1620.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1612.7-1612.36" *)
   wire _1720_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1621.7-1621.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1613.7-1613.36" *)
   wire _1721_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1622.7-1622.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1621.7-1621.34" *)
   wire _1722_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1630.7-1630.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1622.7-1622.36" *)
   wire _1723_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1631.7-1631.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1623.7-1623.36" *)
   wire _1724_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1632.7-1632.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1624.7-1624.36" *)
   wire _1725_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1633.7-1633.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1625.7-1625.36" *)
   wire _1726_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1634.7-1634.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1633.7-1633.34" *)
   wire _1727_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1642.7-1642.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1634.7-1634.36" *)
   wire _1728_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1643.7-1643.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1635.7-1635.36" *)
   wire _1729_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1644.7-1644.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1636.7-1636.36" *)
   wire _1730_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1645.7-1645.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1637.7-1637.36" *)
   wire _1731_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1646.7-1646.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1646.8-1646.57" *)
   wire _1732_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1655.8-1655.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1653.7-1653.56" *)
   wire _1733_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1662.7-1662.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1758.7-1758.56" *)
   wire _1734_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1767.7-1767.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1760.7-1760.56" *)
   wire _1735_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1769.7-1769.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1761.7-1761.56" *)
   wire _1736_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1770.7-1770.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1762.7-1762.56" *)
   wire _1737_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1771.7-1771.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1763.56" *)
   wire _1738_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1772.7-1772.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1764.7-1764.56" *)
   wire _1739_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1773.7-1773.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1765.7-1765.56" *)
   wire _1740_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1774.7-1774.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1766.7-1766.56" *)
   wire _1741_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1775.7-1775.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1767.7-1767.56" *)
   wire _1742_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1776.7-1776.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1768.7-1768.56" *)
   wire _1743_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1777.7-1777.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1769.7-1769.57" *)
   wire _1744_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1778.7-1778.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1770.7-1770.57" *)
   wire _1745_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1779.7-1779.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1771.7-1771.57" *)
   wire _1746_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1780.7-1780.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1772.7-1772.57" *)
   wire _1747_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1781.7-1781.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1773.7-1773.57" *)
   wire _1748_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1782.7-1782.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2057.7-2057.52" *)
   wire _1749_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2066.7-2066.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2058.7-2058.52" *)
   wire _1750_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2067.7-2067.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2059.7-2059.53" *)
   wire _1751_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2068.7-2068.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2060.8-2060.54" *)
   wire _1752_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2069.8-2069.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2062.52" *)
   wire _1753_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2071.8-2071.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2063.8-2063.53" *)
   wire _1754_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2072.8-2072.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.6-2118.55" *)
   wire _1755_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2127.6-2127.55" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2121.7-2121.37" *)
   wire _1756_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.7-2130.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2122.7-2122.35" *)
   wire _1757_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2131.7-2131.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2123.7-2123.37" *)
   wire _1758_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.7-2132.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2124.7-2124.36" *)
   wire _1759_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2133.7-2133.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2127.3-2127.28" *)
   wire _1760_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2136.3-2136.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2128.3-2136.7" *)
   wire _1761_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2137.3-2145.7" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2148.6-2148.55" *)
   wire _1762_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2157.6-2157.55" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2155.7-2155.56" *)
   wire _1763_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2164.7-2164.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2161.7-2161.56" *)
   wire _1764_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2170.7-2170.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2167.7-2167.56" *)
   wire _1765_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2176.7-2176.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2173.7-2173.56" *)
   wire _1766_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2182.7-2182.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2179.7-2179.56" *)
   wire _1767_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2188.7-2188.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2185.7-2185.56" *)
   wire _1768_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2194.7-2194.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2191.7-2191.56" *)
   wire _1769_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2200.7-2200.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2197.7-2197.56" *)
   wire _1770_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2206.7-2206.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2220.7-2220.53" *)
   wire _1771_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2229.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2221.7-2221.52" *)
   wire _1772_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2230.7-2230.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2222.7-2222.52" *)
   wire _1773_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2231.7-2231.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2223.7-2223.53" *)
   wire _1774_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2232.7-2232.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2224.8-2224.54" *)
   wire _1775_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2233.8-2233.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2226.8-2226.52" *)
   wire _1776_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2235.8-2235.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2227.8-2227.53" *)
   wire _1777_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2236.8-2236.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2287.4-2287.50" *)
   wire _1778_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2296.4-2296.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2288.4-2288.49" *)
   wire _1779_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2297.4-2297.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2289.4-2289.49" *)
   wire _1780_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2298.4-2298.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2290.4-2290.50" *)
   wire _1781_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2299.4-2299.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.5-2291.51" *)
   wire _1782_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2300.5-2300.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2293.5-2293.49" *)
   wire _1783_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2302.5-2302.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2294.5-2294.50" *)
   wire _1784_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2303.5-2303.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:593.35-593.68" *)
   wire _1785_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.35-598.68" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:686.35-686.68" *)
   wire _1786_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.35-691.68" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.7-705.27" *)
   wire _1787_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-710.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:711.7-711.40" *)
   wire _1788_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:716.7-716.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:714.7-714.40" *)
   wire _1789_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:719.7-719.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:788.7-788.40" *)
   wire _1790_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:793.7-793.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:790.7-790.40" *)
   wire _1791_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:795.7-795.40" *)
-  wire _1792_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2102.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2092.7-2093.42" *)
+  wire [31:0] _1792_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2300.21-2300.64" *)
   wire [31:0] _1793_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2309.21-2309.64" *)
-  wire [31:0] _1794_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1006.7-1008.48" *)
-  wire [33:0] _1795_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1130.9-1133.20" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1001.7-1003.48" *)
+  wire [33:0] _1794_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1121.9-1124.20" *)
+  wire _1795_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1125.9-1133.36" *)
   wire _1796_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1142.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1126.5-1130.37" *)
   wire _1797_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1135.5-1139.37" *)
-  wire _1798_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1163.9-1165.62" *)
-  wire [36:0] _1799_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1174.7-1176.20" *)
-  wire [31:0] _1800_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1190.8-1196.23" *)
-  wire _1801_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1190.7-1199.63" *)
-  wire [31:0] _1802_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.4-1196.22" *)
-  wire _1803_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.7-1209.15" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1154.9-1156.62" *)
+  wire [36:0] _1798_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1165.7-1167.20" *)
+  wire [31:0] _1799_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1181.8-1187.23" *)
+  wire _1800_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1181.7-1190.63" *)
+  wire [31:0] _1801_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.4-1187.22" *)
+  wire _1802_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.7-1200.15" *)
+  wire [31:0] _1803_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.10-1200.14" *)
   wire [31:0] _1804_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1203.10-1209.14" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1197.6-1200.13" *)
   wire [31:0] _1805_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.6-1209.13" *)
-  wire [31:0] _1806_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.7-1226.13" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1202.7-1217.13" *)
+  wire [2:0] _1806_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.10-1216.40" *)
   wire [2:0] _1807_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.10-1225.40" *)
-  wire [2:0] _1808_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.7-1243.13" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1219.7-1234.13" *)
+  wire [4:0] _1808_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.10-1233.40" *)
   wire [4:0] _1809_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.10-1242.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1236.7-1251.13" *)
   wire [4:0] _1810_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.7-1260.13" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.10-1250.40" *)
   wire [4:0] _1811_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.10-1259.40" *)
-  wire [4:0] _1812_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.7-1267.14" *)
-  wire [31:0] _1813_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.7-1274.13" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1253.7-1258.14" *)
+  wire [31:0] _1812_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1260.7-1265.13" *)
+  wire [4:0] _1813_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1267.7-1274.13" *)
   wire [4:0] _1814_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.7-1283.13" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1271.10-1273.40" *)
   wire [4:0] _1815_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1280.10-1282.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.7-1281.13" *)
   wire [4:0] _1816_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.7-1290.13" *)
-  wire [4:0] _1817_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.7-1318.13" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1283.7-1309.13" *)
+  wire [2:0] _1817_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1287.10-1308.58" *)
   wire [2:0] _1818_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.10-1317.58" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.6-1307.61" *)
   wire [2:0] _1819_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.6-1316.61" *)
-  wire [2:0] _1820_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1323.7-1327.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1314.7-1318.64" *)
+  wire [31:0] _1820_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1316.10-1318.63" *)
   wire [31:0] _1821_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1325.10-1327.63" *)
-  wire [31:0] _1822_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1329.7-1332.66" *)
-  wire [4:0] _1823_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1334.7-1339.19" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1320.7-1323.66" *)
+  wire [4:0] _1822_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1325.7-1330.19" *)
+  wire [31:0] _1823_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1327.10-1330.18" *)
   wire [31:0] _1824_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.10-1339.18" *)
-  wire [31:0] _1825_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1341.7-1348.48" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1332.7-1339.48" *)
+  wire [36:0] _1825_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1334.10-1339.47" *)
   wire [36:0] _1826_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1343.10-1348.47" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.6-1339.46" *)
   wire [36:0] _1827_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1345.6-1348.46" *)
-  wire [36:0] _1828_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.7-1353.27" *)
-  wire [4:0] _1829_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1358.7-1360.25" *)
-  wire _1830_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1373.7-1385.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1341.7-1344.27" *)
+  wire [4:0] _1828_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1349.7-1351.25" *)
+  wire _1829_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1364.7-1376.59" *)
+  wire [31:0] _1830_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1372.10-1376.58" *)
   wire [31:0] _1831_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.10-1385.58" *)
-  wire [31:0] _1832_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1387.7-1391.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1378.7-1382.44" *)
+  wire _1832_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1511.8-1521.7" *)
   wire _1833_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1520.8-1530.7" *)
-  wire _1834_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1522.4-1529.26" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1513.4-1520.26" *)
+  wire [4:0] _1834_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1515.7-1520.25" *)
   wire [4:0] _1835_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1524.7-1529.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1517.10-1520.24" *)
   wire [4:0] _1836_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.10-1529.24" *)
-  wire [4:0] _1837_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1535.8-1541.24" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.8-1532.24" *)
+  wire _1837_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1528.4-1532.23" *)
   wire _1838_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.4-1541.23" *)
-  wire _1839_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2090.7-2090.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2081.7-2081.54" *)
+  wire [31:0] _1839_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2083.7-2083.54" *)
   wire [31:0] _1840_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2092.7-2092.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2093.8-2093.41" *)
   wire [31:0] _1841_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2102.8-2102.41" *)
-  wire [31:0] _1842_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2124.8-2134.26" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2115.8-2125.26" *)
+  wire _1842_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2117.4-2125.25" *)
   wire _1843_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2126.4-2134.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2126.8-2136.7" *)
   wire _1844_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2135.8-2145.7" *)
-  wire _1845_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2137.4-2144.26" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2128.4-2135.26" *)
+  wire [4:0] _1845_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.7-2135.25" *)
   wire [4:0] _1846_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2139.7-2144.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.10-2135.24" *)
   wire [4:0] _1847_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2141.10-2144.24" *)
-  wire [4:0] _1848_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2153.8-2161.58" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2144.8-2152.58" *)
+  wire _1848_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2146.4-2152.57" *)
   wire _1849_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2155.4-2161.57" *)
-  wire _1850_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2211.7-2213.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2202.7-2204.42" *)
+  wire [31:0] _1850_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2206.7-2208.14" *)
   wire [31:0] _1851_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2215.7-2217.14" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2231.26-2231.66" *)
   wire [31:0] _1852_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2240.26-2240.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2234.7-2237.22" *)
   wire [31:0] _1853_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2243.7-2246.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2239.7-2244.28" *)
   wire [31:0] _1854_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2248.7-2253.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2242.10-2244.27" *)
   wire [31:0] _1855_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2251.10-2253.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2246.7-2253.28" *)
   wire [31:0] _1856_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2255.7-2262.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2250.10-2253.27" *)
   wire [31:0] _1857_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2259.10-2262.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2255.7-2257.55" *)
   wire [31:0] _1858_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2264.7-2266.55" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2259.7-2261.54" *)
   wire [31:0] _1859_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2268.7-2270.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2263.7-2265.39" *)
   wire [31:0] _1860_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2272.7-2274.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2264.10-2264.65" *)
   wire [31:0] _1861_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2273.10-2273.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2267.7-2271.64" *)
   wire [31:0] _1862_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2276.7-2280.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2269.10-2271.63" *)
   wire [31:0] _1863_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2278.10-2280.63" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2277.7-2279.67" *)
   wire [31:0] _1864_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.7-2288.67" *)
-  wire [31:0] _1865_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.7-2306.14" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2282.7-2297.14" *)
+  wire [15:0] _1865_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.10-2296.39" *)
   wire [15:0] _1866_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.10-2305.39" *)
-  wire [15:0] _1867_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2311.7-2313.21" *)
-  wire [31:0] _1868_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2501.6-2503.12" *)
-  wire [2:0] _1869_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2582.6-2599.47" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2302.7-2304.21" *)
+  wire [31:0] _1867_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2492.6-2494.12" *)
+  wire [2:0] _1868_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2573.6-2590.47" *)
+  wire [31:0] _1869_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2575.9-2590.46" *)
   wire [31:0] _1870_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2584.9-2599.46" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2581.5-2590.45" *)
   wire [31:0] _1871_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2590.5-2599.45" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2669.6-2685.32" *)
   wire [31:0] _1872_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2678.6-2694.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2671.9-2685.31" *)
   wire [31:0] _1873_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.9-2694.31" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2676.5-2685.30" *)
   wire [31:0] _1874_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2685.5-2694.30" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.7-598.62" *)
   wire [31:0] _1875_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:603.7-603.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:621.7-624.49" *)
   wire [31:0] _1876_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:626.7-629.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:628.7-633.11" *)
   wire [31:0] _1877_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:633.7-638.11" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:631.10-633.10" *)
   wire [31:0] _1878_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:636.10-638.10" *)
-  wire [31:0] _1879_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:642.7-645.47" *)
-  wire [3:0] _1880_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:740.7-746.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:637.7-640.47" *)
+  wire [3:0] _1879_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:735.7-741.38" *)
+  wire [31:0] _1880_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:736.10-740.60" *)
   wire [31:0] _1881_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:741.10-745.60" *)
-  wire [31:0] _1882_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:788.7-790.48" *)
-  wire [36:0] _1883_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.7-808.22" *)
-  wire [32:0] _1884_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:822.7-824.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:783.7-785.48" *)
+  wire [36:0] _1882_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.7-803.22" *)
+  wire [32:0] _1883_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:817.7-819.36" *)
+  wire [64:0] _1884_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:824.7-826.48" *)
   wire [64:0] _1885_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:829.7-831.48" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:833.7-835.61" *)
   wire [64:0] _1886_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:838.7-840.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:837.7-839.35" *)
   wire [64:0] _1887_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:842.7-844.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:844.7-846.47" *)
   wire [64:0] _1888_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:849.7-851.47" *)
-  wire [64:0] _1889_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:853.7-855.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:848.7-850.29" *)
+  wire [32:0] _1889_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:853.7-855.41" *)
   wire [32:0] _1890_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:858.7-860.41" *)
-  wire [32:0] _1891_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:864.7-866.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:859.7-861.25" *)
+  wire [64:0] _1891_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:863.7-865.37" *)
   wire [64:0] _1892_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:868.7-870.37" *)
-  wire [64:0] _1893_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:877.7-879.21" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:872.7-874.21" *)
+  wire [83:0] _1893_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:885.7-887.33" *)
   wire [83:0] _1894_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:890.7-892.33" *)
-  wire [83:0] _1895_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:896.7-898.20" *)
-  wire [100:0] _1896_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:903.9-918.14" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:891.7-893.20" *)
+  wire [100:0] _1895_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:898.9-913.14" *)
+  wire [1:0] _1896_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:900.5-913.13" *)
   wire [1:0] _1897_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:905.5-918.13" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:901.8-901.38" *)
   wire [1:0] _1898_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:906.8-906.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:902.8-913.12" *)
   wire [1:0] _1899_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:907.8-918.12" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:904.11-913.11" *)
   wire [1:0] _1900_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:909.11-918.11" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:906.7-906.37" *)
   wire [1:0] _1901_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:911.7-911.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:907.7-913.10" *)
   wire [1:0] _1902_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:912.7-918.10" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:910.10-913.9" *)
   wire [1:0] _1903_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:915.10-918.9" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:912.6-912.36" *)
   wire [1:0] _1904_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:917.6-917.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:915.9-926.12" *)
   wire [1:0] _1905_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:920.9-931.12" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:917.5-926.11" *)
   wire [1:0] _1906_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:922.5-931.11" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:919.8-925.15" *)
   wire [1:0] _1907_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:924.8-930.15" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:921.11-925.14" *)
   wire [1:0] _1908_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:926.11-930.14" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:923.7-925.13" *)
   wire [1:0] _1909_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:928.7-930.13" *)
-  wire [1:0] _1910_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:933.7-935.32" *)
-  wire [100:0] _1911_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:940.7-942.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:928.7-930.32" *)
+  wire [100:0] _1910_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:935.7-937.27" *)
+  wire [70:0] _1911_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:949.7-951.39" *)
   wire [70:0] _1912_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:954.7-956.39" *)
-  wire [70:0] _1913_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:958.7-960.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:953.7-955.38" *)
+  wire [33:0] _1913_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:962.7-964.50" *)
   wire [33:0] _1914_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:967.7-969.50" *)
-  wire [33:0] _1915_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:977.7-979.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:972.7-974.36" *)
+  wire [69:0] _1915_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:981.7-983.48" *)
   wire [69:0] _1916_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:986.7-988.48" *)
-  wire [69:0] _1917_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:999.7-1001.36" *)
-  wire [33:0] _1918_;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:420.7-420.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:994.7-996.36" *)
+  wire [33:0] _1917_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:415.7-415.61" *)
   reg CASE_compute_q_rv_BITS_53_TO_51_CONCAT_compute_ETC__q9;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:413.15-413.69" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:408.15-408.69" *)
   reg [7:0] CASE_compute_q_rv_BITS_61_TO_59_0b0_0b0_0b1_0b_ETC__q1;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:421.7-421.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:416.7-416.61" *)
   reg CASE_compute_q_rv_BITS_78_TO_76_CONCAT_compute_ETC__q2;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:410.16-410.71" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:405.16-405.71" *)
   reg [31:0] CASE_compute_q_rv_BITS_82_TO_80_0b100_compute__ETC__q10;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:414.8-414.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:409.8-409.61" *)
   reg [7:0] CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q4;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:417.15-417.68" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:412.15-412.68" *)
   reg [2:0] CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q7;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:418.8-418.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:413.8-413.61" *)
   reg [2:0] CASE_fetch_fetched_rvport1__read_BITS_30_TO_2_ETC__q6;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:411.9-411.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:406.9-406.62" *)
   reg [31:0] CASE_fetch_fetched_rvport1__read_BITS_31_TO_2_ETC__q8;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:108.10-108.13" *)
   input CLK;
@@ -6139,243 +6137,243 @@
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:128.10-128.37" *)
   input EN_imem_client_response_put;
   wire EN_imem_client_response_put;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:424.17-424.75" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:419.17-419.75" *)
   wire [31:0] IF_IF_compute_q_rv_port0__read__54_BITS_82_TO__ETC___d1251;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:425.3-425.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:420.3-420.61" *)
   wire [31:0] IF_NOT_compute_q_rv_port0__read__54_BITS_82_TO_ETC___d1221;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:469.16-469.73" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:464.16-464.73" *)
   wire [2:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d222;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:462.16-462.73" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:457.16-457.73" *)
   wire [4:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d225;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:463.9-463.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:458.9-458.66" *)
   wire [4:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d228;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:426.3-426.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:421.3-421.60" *)
   wire [31:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d309;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:464.9-464.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:459.9-459.66" *)
   wire [4:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d310;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:465.9-465.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:460.9-460.66" *)
   wire [4:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d315;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:466.9-466.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:461.9-461.66" *)
   wire [4:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d316;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:470.9-470.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:465.9-465.66" *)
   wire [2:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d349;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:415.8-415.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:410.8-410.65" *)
   reg [7:0] IF_compute_q_rv_port0__read__54_BITS_61_TO_57__ETC___d516;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:459.17-459.74" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:454.17-454.74" *)
   wire [10:0] IF_compute_q_rv_port0__read__54_BITS_61_TO_59__ETC___d525;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:422.7-422.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:417.7-417.64" *)
   reg IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:427.3-427.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:422.3-422.61" *)
   wire [31:0] IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d1223;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:467.9-467.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:462.9-462.66" *)
   wire [4:0] IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d582;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:428.3-428.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:423.3-423.61" *)
   wire [31:0] IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1198;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:423.17-423.75" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:418.17-418.75" *)
   wire [36:0] IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1212;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:468.9-468.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:463.9-463.66" *)
   wire [4:0] IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:471.8-471.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:466.8-466.65" *)
   wire IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:472.8-472.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:467.8-467.66" *)
   wire IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:416.8-416.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:411.8-411.65" *)
   reg [7:0] IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d272;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:460.3-460.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:455.3-455.60" *)
   wire [10:0] IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d281;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:419.8-419.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:414.8-414.65" *)
   reg [2:0] IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d341;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:473.8-473.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:468.8-468.65" *)
   wire IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:474.8-474.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:469.8-469.65" *)
   wire IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:475.8-475.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:470.8-470.65" *)
   wire IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d154;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:476.8-476.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:471.8-471.65" *)
   wire IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d156;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:429.3-429.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:424.3-424.60" *)
   wire [31:0] IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d308;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:477.8-477.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:472.8-472.64" *)
   wire IF_memory_q_rv_port0__read__5_BIT_100_6_AND_me_ETC___d61;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:405.8-405.47" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:400.8-400.47" *)
   wire \MUX_compute_regFromMemory$wset_1__SEL_1 ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:406.8-406.47" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:401.8-401.47" *)
   wire \MUX_compute_regFromMemory$wset_1__SEL_2 ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:403.17-403.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:398.17-398.56" *)
   wire [36:0] \MUX_compute_regFromMemory$wset_1__VAL_1 ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:404.3-404.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:399.3-399.42" *)
   wire [36:0] \MUX_compute_regFromMemory$wset_1__VAL_2 ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:407.8-407.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:402.8-402.60" *)
   wire \MUX_fetch_mispredictMemoryF_rv$port0__write_1__SEL_1 ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:401.17-401.69" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:396.17-396.69" *)
   wire [64:0] \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_1 ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:402.3-402.55" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:397.3-397.55" *)
   wire [64:0] \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_2 ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:478.8-478.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:473.8-473.65" *)
   wire NOT_IF_fetch_mispredictMemoryF_rv_port1__read__ETC___d174;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:479.8-479.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:474.8-474.66" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1004;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:480.8-480.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:475.8-475.66" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1009;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:481.8-481.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:476.8-476.66" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1074;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:482.8-482.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:477.8-477.66" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1077;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:483.8-483.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:478.8-478.66" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1138;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:484.8-484.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:479.8-479.66" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1143;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:485.8-485.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:480.8-480.66" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1147;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:486.8-486.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:481.8-481.66" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1151;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:487.8-487.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:482.8-482.66" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1155;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:488.8-488.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:483.8-483.66" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1159;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:489.8-489.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:484.8-484.66" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1163;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:490.8-490.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:485.8-485.66" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1167;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:491.8-491.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:486.8-486.66" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1171;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:492.8-492.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:487.8-487.66" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1183;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:493.8-493.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:488.8-488.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d580;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:494.8-494.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:489.8-489.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d605;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:495.8-495.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:490.8-490.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d611;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:496.8-496.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:491.8-491.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d616;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:497.8-497.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:492.8-492.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d621;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:498.8-498.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:493.8-493.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d626;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:499.8-499.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:494.8-494.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d631;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:500.8-500.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:495.8-495.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d636;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:501.8-501.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:496.8-496.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d641;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:502.8-502.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:497.8-497.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d646;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:503.8-503.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:498.8-498.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d708;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:504.8-504.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:499.8-499.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d712;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:505.8-505.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:500.8-500.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d716;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:506.8-506.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:501.8-501.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d721;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:507.8-507.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:502.8-502.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d726;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:508.8-508.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:503.8-503.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d731;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:509.8-509.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:504.8-504.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d736;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:510.8-510.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:505.8-505.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d741;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:511.8-511.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:506.8-506.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d746;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:512.8-512.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:507.8-507.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d751;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:513.8-513.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:508.8-508.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d756;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:514.8-514.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:509.8-509.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d761;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:515.8-515.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:510.8-510.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d766;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:516.8-516.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:511.8-511.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d771;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:517.8-517.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:512.8-512.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d776;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:518.8-518.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:513.8-513.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d781;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:519.8-519.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:514.8-514.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d813;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:520.8-520.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:515.8-515.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d818;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:521.8-521.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:516.8-516.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d823;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:522.8-522.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:517.8-517.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d828;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:523.8-523.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:518.8-518.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d833;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:524.8-524.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:519.8-519.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d838;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:525.8-525.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:520.8-520.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d843;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:526.8-526.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:521.8-521.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d848;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:527.8-527.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:522.8-522.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d853;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:528.8-528.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:523.8-523.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d854;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:529.8-529.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:524.8-524.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d859;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:530.8-530.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:525.8-525.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d864;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:531.8-531.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:526.8-526.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d869;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:532.8-532.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:527.8-527.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d874;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:533.8-533.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:528.8-528.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d879;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:534.8-534.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:529.8-529.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d884;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:535.8-535.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:530.8-530.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d889;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:536.8-536.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:531.8-531.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d894;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:537.8-537.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:532.8-532.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d899;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:538.8-538.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:533.8-533.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d904;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:539.8-539.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:534.8-534.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d909;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:540.8-540.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:535.8-535.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d914;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:541.8-541.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:536.8-536.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d919;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:542.8-542.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:537.8-537.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d924;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:543.8-543.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:538.8-538.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d929;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:544.8-544.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:539.8-539.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d934;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:545.8-545.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:540.8-540.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d939;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:546.8-546.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:541.8-541.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d944;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:547.8-547.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:542.8-542.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d949;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:548.8-548.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:543.8-543.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d954;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:549.8-549.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:544.8-544.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d959;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:550.8-550.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:545.8-545.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d964;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:551.8-551.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:546.8-546.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d969;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:552.8-552.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:547.8-547.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d974;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:553.8-553.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:548.8-548.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d979;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:554.8-554.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:549.8-549.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d984;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:555.8-555.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:550.8-550.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d989;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:556.8-556.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:551.8-551.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d994;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:557.8-557.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:552.8-552.65" *)
   wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d999;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:558.8-558.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:553.8-553.65" *)
   wire NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d238;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:559.8-559.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:554.8-554.65" *)
   wire NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d249;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:560.8-560.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:555.8-555.65" *)
   wire NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d319;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:114.10-114.37" *)
   output RDY_dmem_client_request_get;
@@ -6395,43 +6393,43 @@
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:109.10-109.15" *)
   input RST_N;
   wire RST_N;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:430.3-430.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:425.3-425.61" *)
   wire [31:0] SEXT_compute_q_rv_port0__read__54_BITS_66_TO_5_ETC___d1107;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:395.8-395.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:390.8-390.41" *)
   wire WILL_FIRE_RL_memory_sysmemRequest;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:396.8-396.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:391.8-391.42" *)
   wire WILL_FIRE_RL_memory_sysmemResponse;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:397.8-397.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:392.8-392.39" *)
   wire WILL_FIRE_RL_mkConnectionGetPut;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:398.8-398.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:393.8-393.41" *)
   wire WILL_FIRE_RL_mkConnectionGetPut_1;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:561.8-561.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:556.8-556.36" *)
   wire \_dor1memory_busyResp$EN_wset ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:562.8-562.46" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:557.8-557.46" *)
   wire \_dor1memory_responseRegProbe$EN__write ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:563.8-563.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:558.8-558.39" *)
   wire \_dor1memory_startPCLoad$EN_wset ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:564.8-564.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:559.8-559.38" *)
   wire \_dor1memory_stopPCLoad$EN_wset ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:565.8-565.47" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:560.8-560.47" *)
   wire \_dor1memory_waitRead_rv$EN_port1__write ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:412.9-412.39" *)
-  reg [31:0] _theResult_____4_fst_b__h15183;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:431.3-431.34" *)
-  wire [31:0] _theResult_____4_fst_ea__h16285;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:432.3-432.34" *)
-  wire [31:0] _theResult_____4_fst_ea__h16376;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:433.3-433.16" *)
-  wire [31:0] added__h15834;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:434.3-434.16" *)
-  wire [31:0] added__h15864;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:435.3-435.18" *)
-  wire [31:0] aluOp_b__h15174;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:379.8-379.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:407.9-407.39" *)
+  reg [31:0] _theResult_____4_fst_b__h14972;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:426.3-426.34" *)
+  wire [31:0] _theResult_____4_fst_ea__h16074;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:427.3-427.34" *)
+  wire [31:0] _theResult_____4_fst_ea__h16165;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:428.3-428.16" *)
+  wire [31:0] added__h15623;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:429.3-429.16" *)
+  wire [31:0] added__h15653;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:430.3-430.18" *)
+  wire [31:0] aluOp_b__h14963;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:374.8-374.27" *)
   wire \compute_alu1$EN_run ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:378.17-378.33" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:373.17-373.33" *)
   wire [63:0] \compute_alu1$run ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:377.17-377.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:372.17-372.36" *)
   wire [69:0] \compute_alu1$run_op ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:186.8-186.31" *)
   wire \compute_busyProbe$PROBE ;
@@ -6461,11 +6459,11 @@
   wire [5:0] \compute_memoryRegisterLoad$D_IN ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:275.8-275.37" *)
   wire \compute_memoryRegisterLoad$EN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:566.8-566.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:561.8-561.66" *)
   wire compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1215;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:567.8-567.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:562.8-562.66" *)
   wire compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1259;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:568.8-568.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:563.8-563.65" *)
   wire compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d497;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:177.3-177.24" *)
   wire [31:0] \compute_pcProbe$PROBE ;
@@ -6485,38 +6483,38 @@
   wire [83:0] \compute_q_rv$port1__write_1 ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:219.3-219.27" *)
   wire [83:0] \compute_q_rv$port2__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:461.16-461.46" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:456.16-456.46" *)
   wire [9:0] compute_q_rv_BITS_60_TO_51__q5;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:458.17-458.47" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:453.17-453.47" *)
   wire [15:0] compute_q_rv_BITS_66_TO_51__q3;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:569.8-569.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:564.8-564.65" *)
   wire compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:570.8-570.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:565.8-565.66" *)
   wire compute_q_rv_port0__read__54_BIT_3_89_OR_compu_ETC___d1180;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:571.8-571.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:566.8-566.65" *)
   wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d817;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:572.8-572.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:567.8-567.65" *)
   wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d822;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:573.8-573.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:568.8-568.65" *)
   wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d827;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:574.8-574.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:569.8-569.65" *)
   wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d832;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:575.8-575.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:570.8-570.65" *)
   wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d837;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:576.8-576.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:571.8-571.65" *)
   wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d842;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:577.8-577.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:572.8-572.65" *)
   wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d847;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:578.8-578.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:573.8-573.65" *)
   wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d852;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:236.17-236.43" *)
   wire [36:0] \compute_regFromMemory$wget ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:248.8-248.34" *)
   wire \compute_regFromMemory$whas ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:436.3-436.18" *)
-  wire [31:0] coshift__h15137;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:437.3-437.14" *)
-  wire [31:0] data__h6648;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:431.3-431.18" *)
+  wire [31:0] coshift__h14926;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:432.3-432.14" *)
+  wire [31:0] data__h6437;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:113.19-113.42" *)
   output [99:0] dmem_client_request_get;
   wire [99:0] dmem_client_request_get;
@@ -6553,23 +6551,17 @@
   wire [31:0] \fetch_cycle$D_IN ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:305.8-305.22" *)
   wire \fetch_cycle$EN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:308.16-308.44" *)
-  reg [33:0] fetch_delaySysmemResponse_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:309.17-309.50" *)
-  wire [33:0] \fetch_delaySysmemResponse_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:310.8-310.39" *)
-  wire \fetch_delaySysmemResponse_rv$EN ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:249.8-249.26" *)
   wire \fetch_fetchPC$whas ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:178.3-178.25" *)
   wire [31:0] \fetch_fetchProbe$PROBE ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:194.8-194.36" *)
   wire \fetch_fetchProbe$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:313.16-313.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:308.16-308.32" *)
   reg [64:0] fetch_fetched_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:314.17-314.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:309.17-309.38" *)
   wire [64:0] \fetch_fetched_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:315.8-315.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:310.8-310.27" *)
   wire \fetch_fetched_rv$EN ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:227.17-227.48" *)
   wire [64:0] \fetch_fetched_rv$port0__write_1 ;
@@ -6581,11 +6573,11 @@
   wire [31:0] \fetch_getProbe$PROBE ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:195.8-195.34" *)
   wire \fetch_getProbe$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:318.16-318.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:313.16-313.43" *)
   reg [64:0] fetch_mispredictComputeF_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:319.17-319.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:314.17-314.49" *)
   wire [64:0] \fetch_mispredictComputeF_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:320.8-320.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:315.8-315.38" *)
   wire \fetch_mispredictComputeF_rv$EN ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:250.8-250.51" *)
   wire \fetch_mispredictComputeF_rv$EN_port0__write ;
@@ -6601,11 +6593,11 @@
   wire [31:0] \fetch_mispredictErrorProbe$PROBE ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:196.8-196.46" *)
   wire \fetch_mispredictErrorProbe$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:323.16-323.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:318.16-318.42" *)
   reg [64:0] fetch_mispredictMemoryF_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:324.17-324.48" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:319.17-319.48" *)
   wire [64:0] \fetch_mispredictMemoryF_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:325.8-325.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:320.8-320.37" *)
   wire \fetch_mispredictMemoryF_rv$EN ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:252.8-252.50" *)
   wire \fetch_mispredictMemoryF_rv$EN_port0__write ;
@@ -6617,25 +6609,25 @@
   wire [64:0] \fetch_mispredictMemoryF_rv$port1__read ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:235.3-235.41" *)
   wire [64:0] \fetch_mispredictMemoryF_rv$port2__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:579.8-579.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:574.8-574.65" *)
   wire fetch_mispredictMemoryF_rv_port1__read__35_BIT_ETC___d165;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:580.8-580.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:575.8-575.65" *)
   wire fetch_mispredictMemoryF_rv_port1__read__35_BIT_ETC___d218;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:328.16-328.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:323.16-323.28" *)
   reg [83:0] fetch_out_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:329.17-329.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:324.17-324.34" *)
   wire [83:0] \fetch_out_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:330.8-330.23" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:325.8-325.23" *)
   wire \fetch_out_rv$EN ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:181.3-181.22" *)
   wire [31:0] \fetch_pcProbe$PROBE ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:197.8-197.33" *)
   wire \fetch_pcProbe$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:333.16-333.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:328.16-328.36" *)
   reg [32:0] fetch_pcRequested_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:334.17-334.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:329.17-329.42" *)
   wire [32:0] \fetch_pcRequested_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:335.8-335.31" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:330.8-330.31" *)
   wire \fetch_pcRequested_rv$EN ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:243.17-243.49" *)
   wire [32:0] \fetch_pcRequested_rv$port1__read ;
@@ -6647,11 +6639,11 @@
   wire [31:0] \fetch_putProbe$PROBE ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:198.8-198.34" *)
   wire \fetch_putProbe$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:338.16-338.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:333.16-333.28" *)
   reg [31:0] fetch_wantPC;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:339.17-339.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:334.17-334.34" *)
   wire [31:0] \fetch_wantPC$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:340.8-340.23" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:335.8-335.23" *)
   wire \fetch_wantPC$EN ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:183.3-183.24" *)
   wire [31:0] \fetch_wantProbe$PROBE ;
@@ -6663,8 +6655,8 @@
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:127.19-127.43" *)
   input [31:0] imem_client_response_put;
   wire [31:0] imem_client_response_put;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:438.3-438.14" *)
-  wire [31:0] imm__h14821;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:433.3-433.14" *)
+  wire [31:0] imm__h14610;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:200.8-200.33" *)
   wire \memory_busyPutProbe$PROBE ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:201.8-201.39" *)
@@ -6681,17 +6673,17 @@
   wire \memory_busyRespProbe$PROBE ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:205.8-205.40" *)
   wire \memory_busyRespProbe$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:343.16-343.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:338.16-338.36" *)
   reg [64:0] memory_computedPC_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:344.17-344.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:339.17-339.42" *)
   wire [64:0] \memory_computedPC_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:345.8-345.31" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:340.8-340.31" *)
   wire \memory_computedPC_rv$EN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:348.16-348.45" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:343.16-343.45" *)
   reg [33:0] memory_delaySysmemResponse_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:349.17-349.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:344.17-344.51" *)
   wire [33:0] \memory_delaySysmemResponse_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:350.8-350.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:345.8-345.40" *)
   wire \memory_delaySysmemResponse_rv$EN ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:256.8-256.53" *)
   wire \memory_delaySysmemResponse_rv$EN_port1__write ;
@@ -6713,17 +6705,17 @@
   wire \memory_fullWaitRead$PROBE ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:210.8-210.39" *)
   wire \memory_fullWaitRead$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:353.7-353.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:348.7-348.27" *)
   reg memory_pendingPCLoad;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:354.8-354.33" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:349.8-349.33" *)
   wire \memory_pendingPCLoad$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:354.35-354.58" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:349.35-349.58" *)
   wire \memory_pendingPCLoad$EN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:357.17-357.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:352.17-352.28" *)
   reg [100:0] memory_q_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:358.18-358.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:353.18-353.34" *)
   wire [100:0] \memory_q_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:359.8-359.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:354.8-354.22" *)
   wire \memory_q_rv$EN ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:257.8-257.35" *)
   wire \memory_q_rv$EN_port0__write ;
@@ -6733,7 +6725,7 @@
   wire [100:0] \memory_q_rv$port1__write_1 ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:216.4-216.27" *)
   wire [100:0] \memory_q_rv$port2__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:581.8-581.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:576.8-576.64" *)
   wire memory_q_rv_port0__read__5_BITS_99_TO_68_6_ULT_ETC___d67;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:185.16-185.45" *)
   wire [4:0] \memory_responseRegProbe$PROBE ;
@@ -6743,11 +6735,11 @@
   wire \memory_startPCLoad$whas ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:259.8-259.30" *)
   wire \memory_stopPCLoad$whas ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:362.16-362.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:357.16-357.43" *)
   reg [69:0] memory_sysmemMaster_fReq_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:363.17-363.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:358.17-358.49" *)
   wire [69:0] \memory_sysmemMaster_fReq_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:364.8-364.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:359.8-359.38" *)
   wire \memory_sysmemMaster_fReq_rv$EN ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:260.8-260.51" *)
   wire \memory_sysmemMaster_fReq_rv$EN_port1__write ;
@@ -6757,11 +6749,11 @@
   wire [69:0] \memory_sysmemMaster_fReq_rv$port1__read ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:225.3-225.42" *)
   wire [69:0] \memory_sysmemMaster_fReq_rv$port2__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:367.16-367.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:362.16-362.43" *)
   reg [33:0] memory_sysmemMaster_fRes_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:368.17-368.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:363.17-363.49" *)
   wire [33:0] \memory_sysmemMaster_fRes_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:369.8-369.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:364.8-364.38" *)
   wire \memory_sysmemMaster_fRes_rv$EN ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:261.8-261.51" *)
   wire \memory_sysmemMaster_fRes_rv$EN_port0__write ;
@@ -6779,11 +6771,11 @@
   wire \memory_sysmemMaster_incoming$whas ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:226.3-226.36" *)
   wire [69:0] \memory_sysmemMaster_outgoing$wget ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:372.16-372.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:367.16-367.34" *)
   reg [70:0] memory_waitRead_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:373.17-373.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:368.17-368.40" *)
   wire [70:0] \memory_waitRead_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:374.8-374.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:369.8-369.29" *)
   wire \memory_waitRead_rv$EN ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:264.8-264.42" *)
   wire \memory_waitRead_rv$EN_port0__write ;
@@ -6795,43 +6787,43 @@
   wire [70:0] \memory_waitRead_rv$port1__write_1 ;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:222.3-222.33" *)
   wire [70:0] \memory_waitRead_rv$port2__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:439.3-439.16" *)
-  wire [31:0] newPC__h14613;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:440.3-440.16" *)
-  wire [31:0] nextPC__h7366;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:441.3-441.16" *)
-  wire [31:0] nextPC__h7940;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:434.3-434.16" *)
+  wire [31:0] newPC__h14402;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:435.3-435.16" *)
+  wire [31:0] nextPC__h7155;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:436.3-436.16" *)
+  wire [31:0] nextPC__h7729;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:156.19-156.25" *)
   output [31:0] readPC;
   wire [31:0] readPC;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:392.8-392.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:387.8-387.32" *)
   wire \rf$EN_computeWrite_write ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:392.34-392.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:387.34-387.57" *)
   wire \rf$EN_memoryWrite_write ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:384.17-384.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:379.17-379.39" *)
   wire [31:0] \rf$computeSource1_read ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:388.16-388.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:383.16-383.41" *)
   wire [4:0] \rf$computeSource1_read_ix ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:385.3-385.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:380.3-380.25" *)
   wire [31:0] \rf$computeSource2_read ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:389.9-389.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:384.9-384.34" *)
   wire [4:0] \rf$computeSource2_read_ix ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:386.3-386.30" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:381.3-381.30" *)
   wire [31:0] \rf$computeStatusSource_read ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:382.17-382.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:377.17-377.41" *)
   wire [37:0] \rf$computeWrite_write_rd ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:383.17-383.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:378.17-378.41" *)
   wire [32:0] \rf$computeWrite_write_sw ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:390.9-390.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:385.9-385.29" *)
   wire [4:0] \rf$fetchRead_read_ix ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:391.9-391.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:386.9-386.32" *)
   wire [4:0] \rf$memoryWrite_write_rd ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:387.3-387.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:382.3-382.29" *)
   wire [31:0] \rf$memoryWrite_write_value ;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:442.3-442.15" *)
-  wire [31:0] rs1v__h13670;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:443.3-443.15" *)
-  wire [31:0] rs2v__h13671;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:437.3-437.15" *)
+  wire [31:0] rs1v__h13459;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:438.3-438.15" *)
+  wire [31:0] rs2v__h13460;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:150.10-150.29" *)
   input sysmem_client_ack_i;
   wire sysmem_client_ack_i;
@@ -6862,1909 +6854,1909 @@
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:147.10-147.28" *)
   output sysmem_client_we_o;
   wire sysmem_client_we_o;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:444.3-444.15" *)
-  wire [31:0] value__h7412;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:445.3-445.15" *)
-  wire [31:0] value__h7456;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:439.3-439.15" *)
+  wire [31:0] value__h7201;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:440.3-440.15" *)
+  wire [31:0] value__h7245;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:441.3-441.12" *)
+  wire [31:0] x__h15055;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:442.3-442.12" *)
+  wire [31:0] x__h15808;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:443.3-443.12" *)
+  wire [31:0] x__h15887;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:452.17-452.26" *)
+  wire [22:0] x__h15893;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:444.3-444.12" *)
+  wire [31:0] x__h15929;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:445.3-445.12" *)
+  wire [31:0] x__h16046;
   (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:446.3-446.12" *)
-  wire [31:0] x__h15266;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:447.3-447.12" *)
-  wire [31:0] x__h16019;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:448.3-448.12" *)
-  wire [31:0] x__h16098;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:457.17-457.26" *)
-  wire [22:0] x__h16104;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:449.3-449.12" *)
-  wire [31:0] x__h16140;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:450.3-450.12" *)
-  wire [31:0] x__h16257;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:451.3-451.12" *)
-  wire [31:0] x__h16750;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:452.3-452.11" *)
-  wire [31:0] x__h6948;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:458.49-458.57" *)
-  wire [15:0] x__h8530;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:453.3-453.16" *)
+  wire [31:0] x__h16539;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:447.3-447.11" *)
+  wire [31:0] x__h6737;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:453.49-453.57" *)
+  wire [15:0] x__h8319;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:448.3-448.16" *)
   wire [31:0] x__read__h818;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:454.3-454.15" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:449.3-449.15" *)
   wire [31:0] x_wget__h807;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:455.3-455.11" *)
-  wire [31:0] y__h7716;
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:456.3-456.23" *)
-  wire [31:0] y_avValue_snd__h7932;
-  assign _0048_ = fetch_cMispredictErrorCount + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1026.7-1026.42" *) 32'd1;
-  assign _0049_ = fetch_cMispredictLagCount + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1034.43-1034.76" *) 32'd1;
-  assign _0050_ = fetch_cMispredictOkCount + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1045.42-1045.74" *) 32'd1;
-  assign _0051_ = fetch_cPredictCount + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1054.37-1054.64" *) 32'd1;
-  assign _0052_ = fetch_cycle + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1060.29-1060.48" *) 32'd1;
-  assign _0053_ = rs1v__h13670 + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2094.7-2095.65" *) SEXT_compute_q_rv_port0__read__54_BITS_66_TO_5_ETC___d1107;
-  assign _0054_ = rs1v__h13670 + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2097.7-2099.41" *) { compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5 };
-  assign _0055_ = \fetch_fetched_rv$port1__read [63:32] + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2241.26-2241.69" *) 32'd4;
-  assign _0056_ = { x__h16104[22], x__h16104[22], x__h16104[22], x__h16104[22], x__h16104[22], x__h16104[22], x__h16104[22], x__h16104[22], x__h16104[22], x__h16104 } + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2281.22-2281.77" *) compute_q_rv[50:19];
-  assign _0057_ = compute_q_rv[50:19] + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2284.22-2284.49" *) 32'd8;
-  assign _0058_ = memory_waitRead_rv[63:32] + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2289.21-2289.54" *) 32'd8;
-  assign _0059_ = nextPC__h7940 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1057.7-1057.37" *) nextPC__h7366;
-  assign _0060_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1131.4-1131.34" *) 4'hc;
-  assign _0061_ = compute_q_rv[61:57] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1132.4-1132.35" *) 5'h1f;
-  assign _0062_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1135.6-1135.36" *) 4'hc;
-  assign _0063_ = compute_q_rv[61:59] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1137.8-1137.37" *) 3'h2;
-  assign _0064_ = compute_q_rv[81:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1141.5-1141.32" *) 3'h2;
-  assign _0065_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1143.39" *) 4'hc;
-  assign _0066_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1149.9-1149.43" *) 8'he0;
-  assign _0067_ = { compute_q_rv[53:51], compute_q_rv[67] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1150.10-1150.59" *) 4'h0;
-  assign _0068_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1190.9-1190.38" *) 3'h4;
-  assign _0069_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.5-1192.35" *) 4'hf;
-  assign _0070_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.6-1194.36" *) 4'hf;
-  assign _0071_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1195.6-1195.35" *) 3'h6;
-  assign _0072_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.8-1201.38" *) 4'hf;
-  assign _0073_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1203.11-1203.41" *) 4'hf;
-  assign _0074_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1204.4-1204.32" *) 2'h2;
-  assign _0075_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.7-1206.37" *) 4'hf;
-  assign _0076_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1207.7-1207.36" *) 3'h6;
-  assign _0077_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1280.11-1280.56" *) 3'h4;
-  assign _0078_ = \fetch_fetched_rv$port1__read [30:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1313.7-1313.50" *) 3'h0;
-  assign _0079_ = \fetch_fetched_rv$port1__read [30:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1314.7-1314.50" *) 3'h1;
-  assign _0080_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1323.8-1323.37" *) 3'h4;
-  assign _0081_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1325.11-1325.41" *) 4'he;
-  assign _0082_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1329.8-1329.37" *) 3'h4;
-  assign _0083_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1330.8-1330.38" *) 4'hf;
-  assign _0084_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1334.8-1334.38" *) 4'he;
-  assign _0085_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.11-1336.41" *) 4'hf;
-  assign _0086_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1337.4-1337.32" *) 2'h2;
-  assign _0087_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1341.8-1341.37" *) 3'h4;
-  assign _0088_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1343.11-1343.41" *) 4'he;
-  assign _0089_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1345.7-1345.37" *) 4'hf;
-  assign _0090_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1346.7-1346.35" *) 2'h2;
-  assign _0091_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.8-1350.38" *) 4'hf;
-  assign _0092_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1351.30-1351.59" *) 3'h6;
-  assign _0093_ = IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1355.7-1356.24" *) compute_q_rv[8:4];
-  assign _0094_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1359.9-1359.39" *) 4'hc;
-  assign _0095_ = value__h7412 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1365.7-1365.35" *) fetch_wantPC;
-  assign _0096_ = value__h7456 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1367.7-1367.36" *) nextPC__h7366;
-  assign _0097_ = value__h7456 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1369.7-1369.58" *) \fetch_fetched_rv$port1__read [63:32];
-  assign _0098_ = value__h7456 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1371.7-1371.31" *) y__h7716;
-  assign _0099_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.11-1381.57" *) 4'hc;
-  assign _0100_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1388.9-1388.35" *) 2'h0;
-  assign _0101_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1388.39-1388.65" *) 2'h2;
-  assign _0102_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1401.7-1401.37" *) 4'hf;
-  assign _0103_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1402.7-1402.35" *) 2'h2;
-  assign _0104_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1403.35" *) 5'h1d;
-  assign _0105_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1408.7-1408.37" *) 4'hf;
-  assign _0106_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1409.7-1409.35" *) 2'h2;
-  assign _0107_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1410.7-1410.35" *) 5'h1e;
-  assign _0108_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1415.7-1415.37" *) 4'hf;
-  assign _0109_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1416.7-1416.35" *) 2'h2;
-  assign _0110_ = compute_q_rv[8:4] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1521.3-1521.28" *) 5'h02;
-  assign _0111_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1522.5-1522.34" *) 3'h4;
-  assign _0112_ = _1835_ == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1522.3-1530.7" *) 5'h02;
-  assign _0113_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1524.8-1524.38" *) 4'he;
-  assign _0114_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.11-1526.41" *) 4'hf;
-  assign _0115_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1527.4-1527.32" *) 2'h2;
-  assign _0116_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1535.9-1535.38" *) 3'h4;
-  assign _0117_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.5-1537.35" *) 4'hf;
-  assign _0118_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1539.6-1539.36" *) 4'hf;
-  assign _0119_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1540.6-1540.35" *) 3'h6;
-  assign _0120_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1546.7-1546.37" *) 4'hc;
-  assign _0121_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1552.7-1552.41" *) 8'he0;
-  assign _0122_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1557.37" *) 4'hc;
-  assign _0123_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1563.7-1563.41" *) 8'he0;
-  assign _0124_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1569.37" *) 4'hc;
-  assign _0125_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1575.7-1575.41" *) 8'he0;
-  assign _0126_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1581.37" *) 4'hc;
-  assign _0127_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1587.7-1587.41" *) 8'he0;
-  assign _0128_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1593.37" *) 4'hc;
-  assign _0129_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1599.7-1599.41" *) 8'he0;
-  assign _0130_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1605.37" *) 4'hc;
-  assign _0131_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1611.7-1611.41" *) 8'he0;
-  assign _0132_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1617.37" *) 4'hc;
-  assign _0133_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1623.7-1623.41" *) 8'he0;
-  assign _0134_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1629.37" *) 4'hc;
-  assign _0135_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1635.7-1635.41" *) 8'he0;
-  assign _0136_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1641.7-1641.37" *) 4'hc;
-  assign _0137_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1647.7-1647.41" *) 8'he0;
-  assign _0138_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1653.7-1653.37" *) 4'he;
-  assign _0139_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1654.8-1654.57" *) 4'h0;
-  assign _0140_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1661.7-1661.37" *) 4'he;
-  assign _0141_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.8-1663.57" *) 4'h1;
-  assign _0142_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1669.7-1669.37" *) 4'he;
-  assign _0143_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1670.7-1670.56" *) 4'h1;
-  assign _0144_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1675.7-1675.37" *) 4'he;
-  assign _0145_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1677.7-1677.56" *) 4'h2;
-  assign _0146_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1682.7-1682.37" *) 4'he;
-  assign _0147_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1684.7-1684.56" *) 4'h3;
-  assign _0148_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1689.7-1689.37" *) 4'he;
-  assign _0149_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1691.7-1691.56" *) 4'h4;
-  assign _0150_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1696.7-1696.37" *) 4'he;
-  assign _0151_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1698.7-1698.56" *) 4'h5;
-  assign _0152_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1703.7-1703.37" *) 4'he;
-  assign _0153_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1705.7-1705.56" *) 4'h6;
-  assign _0154_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1710.7-1710.37" *) 4'he;
-  assign _0155_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1712.7-1712.56" *) 4'h7;
-  assign _0156_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1717.7-1717.37" *) 4'he;
-  assign _0157_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1719.7-1719.56" *) 4'h8;
-  assign _0158_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1724.7-1724.37" *) 4'he;
-  assign _0159_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1726.7-1726.56" *) 4'h9;
-  assign _0160_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1731.7-1731.37" *) 4'he;
-  assign _0161_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1733.7-1733.57" *) 4'ha;
-  assign _0162_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1738.7-1738.37" *) 4'he;
-  assign _0163_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1740.7-1740.57" *) 4'hb;
-  assign _0164_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1745.7-1745.37" *) 4'he;
-  assign _0165_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1747.7-1747.57" *) 4'hc;
-  assign _0166_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1752.7-1752.37" *) 4'he;
-  assign _0167_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1754.57" *) 4'hd;
-  assign _0168_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1759.7-1759.37" *) 4'he;
-  assign _0169_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1761.7-1761.57" *) 4'he;
-  assign _0170_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1766.7-1766.37" *) 4'he;
-  assign _0171_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1827.7-1827.37" *) 4'hf;
-  assign _0172_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1828.7-1828.35" *) 2'h2;
-  assign _0173_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1833.7-1833.37" *) 4'hf;
-  assign _0174_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1834.7-1834.35" *) 2'h2;
-  assign _0175_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1835.7-1835.34" *) 5'h00;
-  assign _0176_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1840.7-1840.37" *) 4'hf;
-  assign _0177_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1841.7-1841.35" *) 2'h2;
-  assign _0178_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1842.7-1842.34" *) 5'h01;
-  assign _0179_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1847.7-1847.37" *) 4'hf;
-  assign _0180_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1848.7-1848.35" *) 2'h2;
-  assign _0181_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1849.7-1849.34" *) 5'h02;
-  assign _0182_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1854.7-1854.37" *) 4'hf;
-  assign _0183_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1855.7-1855.35" *) 2'h2;
-  assign _0184_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1856.7-1856.34" *) 5'h03;
-  assign _0185_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1861.7-1861.37" *) 4'hf;
-  assign _0186_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1862.7-1862.35" *) 2'h2;
-  assign _0187_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1863.7-1863.34" *) 5'h04;
-  assign _0188_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1868.7-1868.37" *) 4'hf;
-  assign _0189_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1869.7-1869.35" *) 2'h2;
-  assign _0190_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1870.7-1870.34" *) 5'h05;
-  assign _0191_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1875.7-1875.37" *) 4'hf;
-  assign _0192_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1876.7-1876.35" *) 2'h2;
-  assign _0193_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1877.7-1877.34" *) 5'h06;
-  assign _0194_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1882.7-1882.37" *) 4'hf;
-  assign _0195_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1883.7-1883.35" *) 2'h2;
-  assign _0196_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1884.7-1884.34" *) 5'h07;
-  assign _0197_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1889.7-1889.37" *) 4'hf;
-  assign _0198_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1890.7-1890.35" *) 2'h2;
-  assign _0199_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1891.7-1891.34" *) 5'h08;
-  assign _0200_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1896.7-1896.37" *) 4'hf;
-  assign _0201_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1897.7-1897.35" *) 2'h2;
-  assign _0202_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1898.7-1898.34" *) 5'h09;
-  assign _0203_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1903.7-1903.37" *) 4'hf;
-  assign _0204_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1904.7-1904.35" *) 2'h2;
-  assign _0205_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1905.7-1905.35" *) 5'h0a;
-  assign _0206_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1910.7-1910.37" *) 4'hf;
-  assign _0207_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1911.7-1911.35" *) 2'h2;
-  assign _0208_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1912.7-1912.35" *) 5'h0b;
-  assign _0209_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1917.7-1917.37" *) 4'hf;
-  assign _0210_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1918.7-1918.35" *) 2'h2;
-  assign _0211_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1919.7-1919.35" *) 5'h0c;
-  assign _0212_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1924.7-1924.37" *) 4'hf;
-  assign _0213_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1925.7-1925.35" *) 2'h2;
-  assign _0214_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1926.7-1926.35" *) 5'h0d;
-  assign _0215_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1931.7-1931.37" *) 4'hf;
-  assign _0216_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1932.7-1932.35" *) 2'h2;
-  assign _0217_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1933.7-1933.35" *) 5'h0e;
-  assign _0218_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1938.7-1938.37" *) 4'hf;
-  assign _0219_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1939.7-1939.35" *) 2'h2;
-  assign _0220_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1940.7-1940.35" *) 5'h0f;
-  assign _0221_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1945.7-1945.37" *) 4'hf;
-  assign _0222_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1946.7-1946.35" *) 2'h2;
-  assign _0223_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1947.7-1947.35" *) 5'h10;
-  assign _0224_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1952.7-1952.37" *) 4'hf;
-  assign _0225_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1953.7-1953.35" *) 2'h2;
-  assign _0226_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1954.7-1954.35" *) 5'h11;
-  assign _0227_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1959.7-1959.37" *) 4'hf;
-  assign _0228_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1960.7-1960.35" *) 2'h2;
-  assign _0229_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1961.7-1961.35" *) 5'h12;
-  assign _0230_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1966.7-1966.37" *) 4'hf;
-  assign _0231_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1967.7-1967.35" *) 2'h2;
-  assign _0232_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1968.7-1968.35" *) 5'h13;
-  assign _0233_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1973.7-1973.37" *) 4'hf;
-  assign _0234_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1974.7-1974.35" *) 2'h2;
-  assign _0235_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1975.7-1975.35" *) 5'h14;
-  assign _0236_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1980.7-1980.37" *) 4'hf;
-  assign _0237_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1981.7-1981.35" *) 2'h2;
-  assign _0238_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1982.7-1982.35" *) 5'h15;
-  assign _0239_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1987.7-1987.37" *) 4'hf;
-  assign _0240_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1988.7-1988.35" *) 2'h2;
-  assign _0241_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1989.7-1989.35" *) 5'h16;
-  assign _0242_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1994.7-1994.37" *) 4'hf;
-  assign _0243_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1995.7-1995.35" *) 2'h2;
-  assign _0244_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1996.7-1996.35" *) 5'h17;
-  assign _0245_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2001.7-2001.37" *) 4'hf;
-  assign _0246_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2002.7-2002.35" *) 2'h2;
-  assign _0247_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2003.7-2003.35" *) 5'h18;
-  assign _0248_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2008.7-2008.37" *) 4'hf;
-  assign _0249_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2009.7-2009.35" *) 2'h2;
-  assign _0250_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2010.7-2010.35" *) 5'h19;
-  assign _0251_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2015.7-2015.37" *) 4'hf;
-  assign _0252_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2016.7-2016.35" *) 2'h2;
-  assign _0253_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2017.7-2017.35" *) 5'h1a;
-  assign _0254_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2022.7-2022.37" *) 4'hf;
-  assign _0255_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2023.7-2023.35" *) 2'h2;
-  assign _0256_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.7-2024.35" *) 5'h1b;
-  assign _0257_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2029.7-2029.37" *) 4'hf;
-  assign _0258_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2030.7-2030.35" *) 2'h2;
-  assign _0259_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2031.7-2031.35" *) 5'h1c;
-  assign _0260_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.8-2038.54" *) 4'hc;
-  assign _0261_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2039.8-2039.53" *) 3'h4;
-  assign _0262_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2040.8-2040.53" *) 3'h5;
-  assign _0263_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2041.8-2041.54" *) 4'he;
-  assign _0264_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2042.54" *) 4'hf;
-  assign _0265_ = \fetch_fetched_rv$port1__read [17:16] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2043.9-2043.53" *) 2'h2;
-  assign _0266_ = \fetch_fetched_rv$port1__read [17:15] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2044.9-2044.54" *) 3'h6;
-  assign _0267_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2052.8-2052.54" *) 4'hc;
-  assign _0268_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.8-2053.53" *) 3'h4;
-  assign _0269_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2054.8-2054.53" *) 3'h5;
-  assign _0270_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2055.8-2055.54" *) 4'he;
-  assign _0271_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2056.8-2056.54" *) 4'hf;
-  assign _0272_ = \fetch_fetched_rv$port1__read [17:16] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2058.9-2058.53" *) 2'h2;
-  assign _0273_ = \fetch_fetched_rv$port1__read [17:15] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2059.9-2059.54" *) 3'h6;
-  assign _0274_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2108.7-2108.37" *) 4'hc;
-  assign _0275_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2114.7-2114.37" *) 4'hc;
-  assign _0276_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2115.7-2115.36" *) 3'h4;
-  assign _0277_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2116.7-2116.37" *) 4'he;
-  assign _0278_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2117.7-2117.37" *) 4'hf;
-  assign _0279_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.29-2118.57" *) 2'h2;
-  assign _0280_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2124.9-2124.38" *) 3'h4;
-  assign _0281_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2126.5-2126.35" *) 4'he;
-  assign _0282_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2128.7-2128.56" *) 4'h1;
-  assign _0283_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2137.5-2137.34" *) 3'h4;
-  assign _0284_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2139.8-2139.38" *) 4'he;
-  assign _0285_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2141.11-2141.41" *) 4'hf;
-  assign _0286_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2142.4-2142.32" *) 2'h2;
-  assign _0287_ = compute_q_rv[18:14] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2150.7-2150.47" *) compute_q_rv[8:4];
-  assign _0288_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2153.9-2153.38" *) 3'h4;
-  assign _0289_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2155.5-2155.35" *) 4'he;
-  assign _0290_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2156.6-2156.55" *) 4'h0;
-  assign _0291_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2159.6-2159.36" *) 4'hf;
-  assign _0292_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2160.35" *) 2'h2;
-  assign _0293_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2161.7-2161.36" *) 3'h6;
-  assign _0294_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2163.37" *) 4'he;
-  assign _0295_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2165.8-2165.57" *) 4'h1;
-  assign _0296_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2169.37" *) 4'he;
-  assign _0297_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2171.8-2171.57" *) 4'h1;
-  assign _0298_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2175.37" *) 4'he;
-  assign _0299_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2177.8-2177.57" *) 4'h1;
-  assign _0300_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2181.37" *) 4'he;
-  assign _0301_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2183.8-2183.57" *) 4'h1;
-  assign _0302_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2187.37" *) 4'he;
-  assign _0303_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2189.8-2189.57" *) 4'h1;
-  assign _0304_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2193.37" *) 4'he;
-  assign _0305_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2195.8-2195.57" *) 4'h1;
-  assign _0306_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2199.37" *) 4'he;
-  assign _0307_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2201.8-2201.57" *) 4'h1;
-  assign _0308_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2205.37" *) 4'he;
-  assign _0309_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2207.8-2207.57" *) 4'h1;
-  assign _0310_ = compute_q_rv[18:14] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2249.8-2249.64" *) \compute_regFromMemory$wget [36:32];
-  assign _0311_ = compute_q_rv[18:14] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2251.11-2251.38" *) 5'h02;
-  assign _0312_ = IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2256.8-2257.41" *) \compute_regFromMemory$wget [36:32];
-  assign _0313_ = IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2259.11-2260.8" *) 5'h02;
-  assign _0314_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2273.11-2273.41" *) 4'hc;
-  assign _0315_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2278.11-2278.40" *) 3'h4;
-  assign _0316_ = \fetch_fetched_rv$port1__read [10:3] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2501.7-2501.56" *) 8'he0;
-  assign _0317_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2582.7-2582.53" *) 4'he;
-  assign _0318_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2584.10-2584.56" *) 4'hf;
-  assign _0319_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2590.6-2590.52" *) 4'hf;
-  assign _0320_ = \fetch_fetched_rv$port1__read [17:16] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2591.6-2591.50" *) 2'h2;
-  assign _0321_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2678.7-2678.37" *) 4'he;
-  assign _0322_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.10-2680.40" *) 4'hf;
-  assign _0323_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2685.6-2685.36" *) 4'hf;
-  assign _0324_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2686.6-2686.34" *) 2'h2;
-  assign _0325_ = RST_N == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2702.9-2702.22" *) 1'h0;
-  assign _0326_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:586.9-586.35" *) 2'h1;
-  assign _0327_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:588.9-588.35" *) 2'h0;
-  assign _0328_ = memory_waitRead_rv[68:64] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:722.7-722.40" *) 5'h02;
-  assign _0329_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:741.11-741.41" *) 4'hc;
-  assign _0330_ = fetch_cycle == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:786.42-786.62" *) 32'd0;
-  assign _0331_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:797.42-797.68" *) 2'h2;
-  assign _0332_ = memory_q_rv[6:2] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:798.7-798.31" *) 5'h02;
-  assign _0333_ = memory_waitRead_rv[68:64] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:801.7-801.40" *) 5'h02;
-  assign _0334_ = memory_waitRead_rv[68:64] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:834.7-834.40" *) 5'h02;
-  assign _0335_ = memory_waitRead_rv[68:64] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:836.7-836.40" *) 5'h02;
-  assign _0336_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:905.6-905.35" *) 3'h4;
-  assign _0337_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:907.9-907.39" *) 4'he;
-  assign _0338_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:909.12-909.42" *) 4'hf;
-  assign _0339_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:912.8-912.38" *) 4'hf;
-  assign _0340_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:913.8-913.36" *) 2'h2;
-  assign _0341_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:915.11-915.41" *) 4'hf;
-  assign _0342_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:916.11-916.40" *) 3'h6;
-  assign _0343_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:922.6-922.36" *) 4'hf;
-  assign _0344_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:923.6-923.35" *) 3'h6;
-  assign _0345_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.8-945.34" *) 2'h0;
-  assign _0346_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.38-945.64" *) 2'h2;
-  assign _0347_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:946.8-946.34" *) 2'h1;
-  assign _0348_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:949.9-949.35" *) 2'h2;
-  assign _0349_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:973.9-973.35" *) 2'h1;
-  assign _0350_ = memory_waitRead_rv[31:0] >= (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:693.7-693.47" *) 32'd536870912;
-  assign _0351_ = \memory_sysmemMaster_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1003.7-1004.53" *) _0993_;
-  assign _0352_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1028.7-1030.52" *) _1384_;
-  assign _0353_ = _0352_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1028.7-1031.64" *) NOT_IF_fetch_mispredictMemoryF_rv_port1__read__ETC___d174;
-  assign _0354_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1038.52" *) _1385_;
-  assign _0355_ = _0354_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1039.65" *) _0994_;
-  assign _0356_ = _0355_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1040.65" *) _0995_;
-  assign _0357_ = _0356_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1042.66" *) _1386_;
-  assign _0358_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1047.7-1049.52" *) _1387_;
-  assign _0359_ = _0358_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1047.7-1050.65" *) _0996_;
-  assign _0360_ = _0359_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1047.7-1051.64" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _0361_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1056.7-1057.37" *) _0059_;
-  assign _0362_ = _0060_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1131.4-1132.35" *) _0061_;
-  assign _0363_ = _1611_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1136.7-1138.39" *) _1389_;
-  assign _0364_ = _1612_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1140.4-1142.36" *) _1390_;
-  assign _0365_ = _0065_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1144.36" *) _1613_;
-  assign _0366_ = _0365_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1145.38" *) _1614_;
-  assign _0367_ = _0366_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1146.38" *) _1615_;
-  assign _0368_ = _0367_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1147.38" *) _1616_;
-  assign _0369_ = _0368_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1148.38" *) _1617_;
-  assign _0370_ = _0369_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1149.43" *) _0066_;
-  assign _0371_ = _0370_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1152.58" *) _1391_;
-  assign _0372_ = _1618_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1151.3-1152.57" *) CASE_compute_q_rv_BITS_53_TO_51_CONCAT_compute_ETC__q9;
-  assign _0373_ = WILL_FIRE_RL_mkConnectionGetPut_1 && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1154.7-1155.65" *) NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1077;
-  assign _0374_ = compute_q_rv[3] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1167.9-1168.67" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
-  assign _0375_ = WILL_FIRE_RL_mkConnectionGetPut_1 && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1178.7-1181.67" *) _1392_;
-  assign _0376_ = _0998_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1180.8-1181.66" *) _0999_;
-  assign _0377_ = EN_dmem_client_response_put && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.7-1183.60" *) memory_waitRead_rv[69];
-  assign _0378_ = _0377_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.7-1184.40" *) _1619_;
-  assign _0379_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1185.7-1185.67" *) memory_waitRead_rv[69];
-  assign _0380_ = _0379_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1185.7-1186.40" *) _1620_;
-  assign _0381_ = _0069_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.5-1192.56" *) _1000_;
-  assign _0382_ = _0070_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.6-1195.35" *) _0071_;
-  assign _0383_ = _0382_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.6-1196.22" *) compute_q_rv[65];
-  assign _0384_ = _0072_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.8-1201.59" *) _1001_;
-  assign _0385_ = _0073_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1203.11-1204.32" *) _0074_;
-  assign _0386_ = _0075_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.7-1207.36" *) _0076_;
-  assign _0387_ = _1002_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1212.52" *) _1003_;
-  assign _0388_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1216.50" *) _1621_;
-  assign _0389_ = _0388_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1217.49" *) _1622_;
-  assign _0390_ = _0389_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1218.49" *) _1623_;
-  assign _0391_ = _0390_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1219.50" *) _1624_;
-  assign _0392_ = _0391_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1223.51" *) _1396_;
-  assign _0393_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1221.5-1222.49" *) _1626_;
-  assign _0394_ = _0393_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1221.5-1223.50" *) _1627_;
-  assign _0395_ = _1004_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1229.52" *) _1005_;
-  assign _0396_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1233.50" *) _1628_;
-  assign _0397_ = _0396_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1234.49" *) _1629_;
-  assign _0398_ = _0397_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1235.49" *) _1630_;
-  assign _0399_ = _0398_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1236.50" *) _1631_;
-  assign _0400_ = _0399_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1240.51" *) _1399_;
-  assign _0401_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1238.5-1239.49" *) _1633_;
-  assign _0402_ = _0401_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1238.5-1240.50" *) _1634_;
-  assign _0403_ = _1006_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1246.52" *) _1007_;
-  assign _0404_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1250.50" *) _1635_;
-  assign _0405_ = _0404_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1251.49" *) _1636_;
-  assign _0406_ = _0405_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1252.49" *) _1637_;
-  assign _0407_ = _0406_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1253.50" *) _1638_;
-  assign _0408_ = _0407_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1257.51" *) _1402_;
-  assign _0409_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1255.5-1256.49" *) _1640_;
-  assign _0410_ = _0409_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1255.5-1257.50" *) _1641_;
-  assign _0411_ = _1008_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1263.52" *) _1009_;
-  assign _0412_ = _1010_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1270.52" *) _1011_;
-  assign _0413_ = _1012_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1277.52" *) _1013_;
-  assign _0414_ = _1014_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1286.52" *) _1015_;
-  assign _0415_ = _1016_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1293.52" *) _1017_;
-  assign _0416_ = _1642_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1297.49" *) _1643_;
-  assign _0417_ = _0416_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1298.49" *) _1644_;
-  assign _0418_ = _0417_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1299.50" *) _1645_;
-  assign _0419_ = _0418_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1303.51" *) _1413_;
-  assign _0420_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1301.5-1302.49" *) _1647_;
-  assign _0421_ = _0420_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1301.5-1303.50" *) _1648_;
-  assign _0422_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1305.53" *) _1649_;
-  assign _0423_ = _0422_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1306.52" *) _1650_;
-  assign _0424_ = _0423_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1307.52" *) _1651_;
-  assign _0425_ = _0424_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1308.53" *) _1652_;
-  assign _0426_ = _0425_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1312.54" *) _1416_;
-  assign _0427_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1310.8-1311.52" *) _1654_;
-  assign _0428_ = _0427_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1310.8-1312.53" *) _1655_;
-  assign _0429_ = _0083_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1330.8-1330.59" *) _1018_;
-  assign _0430_ = _0085_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.11-1337.32" *) _0086_;
-  assign _0431_ = _0089_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1345.7-1346.35" *) _0090_;
-  assign _0432_ = _0091_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.8-1351.60" *) _1418_;
-  assign _0433_ = _0094_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1359.9-1359.59" *) compute_q_rv[68];
-  assign _0434_ = memory_q_rv[100] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1387.8-1388.66" *) _1419_;
-  assign _0435_ = _1023_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1394.65" *) _1024_;
-  assign _0436_ = _0435_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1395.65" *) _1025_;
-  assign _0437_ = _0436_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1396.65" *) _1026_;
-  assign _0438_ = _1422_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.7-1401.37" *) _0102_;
-  assign _0439_ = _0438_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.7-1402.35" *) _0103_;
-  assign _0440_ = _0439_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.7-1403.35" *) _0104_;
-  assign _0441_ = _1028_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1399.8-1400.66" *) _1029_;
-  assign _0442_ = _1423_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.7-1408.37" *) _0105_;
-  assign _0443_ = _0442_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.7-1409.35" *) _0106_;
-  assign _0444_ = _0443_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.7-1410.35" *) _0107_;
-  assign _0445_ = _1031_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1406.8-1407.66" *) _1032_;
-  assign _0446_ = _1424_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1415.37" *) _0108_;
-  assign _0447_ = _0446_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1416.35" *) _0109_;
-  assign _0448_ = _0447_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1417.34" *) _1657_;
-  assign _0449_ = _0448_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1418.34" *) _1658_;
-  assign _0450_ = _0449_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1419.34" *) _1659_;
-  assign _0451_ = _0450_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1420.34" *) _1660_;
-  assign _0452_ = _0451_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1421.34" *) _1661_;
-  assign _0453_ = _0452_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1422.34" *) _1662_;
-  assign _0454_ = _0453_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1423.34" *) _1663_;
-  assign _0455_ = _0454_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1424.34" *) _1664_;
-  assign _0456_ = _0455_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1425.34" *) _1665_;
-  assign _0457_ = _0456_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1426.34" *) _1666_;
-  assign _0458_ = _0457_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1427.35" *) _1667_;
-  assign _0459_ = _0458_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1428.35" *) _1668_;
-  assign _0460_ = _0459_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1429.35" *) _1669_;
-  assign _0461_ = _0460_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1430.35" *) _1670_;
-  assign _0462_ = _0461_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1431.35" *) _1671_;
-  assign _0463_ = _0462_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1432.35" *) _1672_;
-  assign _0464_ = _0463_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1433.35" *) _1673_;
-  assign _0465_ = _0464_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1434.35" *) _1674_;
-  assign _0466_ = _0465_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1435.35" *) _1675_;
-  assign _0467_ = _0466_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1436.35" *) _1676_;
-  assign _0468_ = _0467_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1437.35" *) _1677_;
-  assign _0469_ = _0468_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1438.35" *) _1678_;
-  assign _0470_ = _0469_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1439.35" *) _1679_;
-  assign _0471_ = _0470_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1440.35" *) _1680_;
-  assign _0472_ = _0471_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1441.35" *) _1681_;
-  assign _0473_ = _0472_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1442.35" *) _1682_;
-  assign _0474_ = _0473_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1443.35" *) _1683_;
-  assign _0475_ = _0474_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1444.35" *) _1684_;
-  assign _0476_ = _0475_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1445.35" *) _1685_;
-  assign _0477_ = _0476_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1446.35" *) _1686_;
-  assign _0478_ = _0477_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1447.35" *) _1687_;
-  assign _0479_ = _1034_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1413.8-1414.66" *) _1035_;
-  assign _0480_ = _1425_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1449.7-1452.22" *) compute_q_rv[3];
-  assign _0481_ = _1037_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1450.8-1451.66" *) _1038_;
-  assign _0482_ = _1426_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.7-1457.22" *) compute_q_rv[3];
-  assign _0483_ = _0482_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.7-1458.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
-  assign _0484_ = _1040_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1455.8-1456.66" *) _1041_;
-  assign _0485_ = _1427_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.7-1463.22" *) compute_q_rv[3];
-  assign _0486_ = _0485_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.7-1464.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
-  assign _0487_ = _0486_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.7-1465.26" *) \compute_alu1$run [0];
-  assign _0488_ = _1043_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1461.8-1462.66" *) _1044_;
-  assign _0489_ = _1428_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.7-1470.22" *) compute_q_rv[3];
-  assign _0490_ = _0489_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.7-1471.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
-  assign _0491_ = _0490_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.7-1472.27" *) _1048_;
-  assign _0492_ = _1046_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1468.8-1469.66" *) _1047_;
-  assign _0493_ = _1429_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.7-1477.22" *) compute_q_rv[3];
-  assign _0494_ = _0493_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.7-1478.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
-  assign _0495_ = _0494_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.7-1479.26" *) \compute_alu1$run [1];
-  assign _0496_ = _1050_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1475.8-1476.66" *) _1051_;
-  assign _0497_ = _1430_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.7-1484.22" *) compute_q_rv[3];
-  assign _0498_ = _0497_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.7-1485.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
-  assign _0499_ = _0498_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.7-1486.27" *) _1055_;
-  assign _0500_ = _1053_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1482.8-1483.66" *) _1054_;
-  assign _0501_ = _1431_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.7-1491.22" *) compute_q_rv[3];
-  assign _0502_ = _0501_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.7-1492.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
-  assign _0503_ = _0502_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.7-1493.26" *) \compute_alu1$run [2];
-  assign _0504_ = _1057_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1489.8-1490.66" *) _1058_;
-  assign _0505_ = _1432_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.7-1498.22" *) compute_q_rv[3];
-  assign _0506_ = _0505_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.7-1499.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
-  assign _0507_ = _0506_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.7-1500.27" *) _1062_;
-  assign _0508_ = _1060_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1496.8-1497.66" *) _1061_;
-  assign _0509_ = _1433_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.7-1505.22" *) compute_q_rv[3];
-  assign _0510_ = _0509_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.7-1506.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
-  assign _0511_ = _0510_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.7-1507.26" *) \compute_alu1$run [3];
-  assign _0512_ = _1064_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1503.8-1504.66" *) _1065_;
-  assign _0513_ = _1434_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.7-1512.22" *) compute_q_rv[3];
-  assign _0514_ = _0513_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.7-1513.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
-  assign _0515_ = _0514_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.7-1514.27" *) _1069_;
-  assign _0516_ = _1067_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1510.8-1511.66" *) _1068_;
-  assign _0517_ = _1435_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.7-1519.65" *) compute_q_rv_port0__read__54_BIT_3_89_OR_compu_ETC___d1180;
-  assign _0518_ = _0517_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.7-1530.8" *) _1834_;
-  assign _0519_ = _1071_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1517.8-1518.66" *) _1072_;
-  assign _0520_ = _0114_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.11-1527.32" *) _0115_;
-  assign _0521_ = _1436_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1532.7-1541.25" *) _1838_;
-  assign _0522_ = _1074_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1533.8-1534.66" *) _1075_;
-  assign _0523_ = _0117_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.5-1537.56" *) _1077_;
-  assign _0524_ = _0118_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1539.6-1540.35" *) _0119_;
-  assign _0525_ = _0524_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1539.6-1541.23" *) _1079_;
-  assign _0526_ = _1437_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1546.37" *) _0120_;
-  assign _0527_ = _0526_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1547.34" *) _1688_;
-  assign _0528_ = _0527_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1548.36" *) _1689_;
-  assign _0529_ = _0528_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1549.36" *) _1690_;
-  assign _0530_ = _0529_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1550.36" *) _1691_;
-  assign _0531_ = _0530_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1551.36" *) _1692_;
-  assign _0532_ = _0531_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1552.41" *) _0121_;
-  assign _0533_ = _1081_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1544.8-1545.66" *) _1082_;
-  assign _0534_ = _1438_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1557.37" *) _0122_;
-  assign _0535_ = _0534_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1558.34" *) _1693_;
-  assign _0536_ = _0535_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1559.36" *) _1694_;
-  assign _0537_ = _0536_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1560.36" *) _1695_;
-  assign _0538_ = _0537_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1561.36" *) _1696_;
-  assign _0539_ = _0538_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1562.36" *) _1697_;
-  assign _0540_ = _0539_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1563.41" *) _0123_;
-  assign _0541_ = _0540_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1564.37" *) \rf$computeStatusSource_read [0];
-  assign _0542_ = _1084_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1555.8-1556.66" *) _1085_;
-  assign _0543_ = _1439_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1569.37" *) _0124_;
-  assign _0544_ = _0543_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1570.34" *) _1698_;
-  assign _0545_ = _0544_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1571.36" *) _1699_;
-  assign _0546_ = _0545_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1572.36" *) _1700_;
-  assign _0547_ = _0546_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1573.36" *) _1701_;
-  assign _0548_ = _0547_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1574.36" *) _1702_;
-  assign _0549_ = _0548_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1575.41" *) _0125_;
-  assign _0550_ = _0549_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1576.38" *) _1089_;
-  assign _0551_ = _1087_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1567.8-1568.66" *) _1088_;
-  assign _0552_ = _1440_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1581.37" *) _0126_;
-  assign _0553_ = _0552_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1582.34" *) _1703_;
-  assign _0554_ = _0553_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1583.36" *) _1704_;
-  assign _0555_ = _0554_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1584.36" *) _1705_;
-  assign _0556_ = _0555_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1585.36" *) _1706_;
-  assign _0557_ = _0556_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1586.36" *) _1707_;
-  assign _0558_ = _0557_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1587.41" *) _0127_;
-  assign _0559_ = _0558_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1588.37" *) \rf$computeStatusSource_read [1];
-  assign _0560_ = _1091_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1579.8-1580.66" *) _1092_;
-  assign _0561_ = _1441_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1593.37" *) _0128_;
-  assign _0562_ = _0561_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1594.34" *) _1708_;
-  assign _0563_ = _0562_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1595.36" *) _1709_;
-  assign _0564_ = _0563_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1596.36" *) _1710_;
-  assign _0565_ = _0564_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1597.36" *) _1711_;
-  assign _0566_ = _0565_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1598.36" *) _1712_;
-  assign _0567_ = _0566_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1599.41" *) _0129_;
-  assign _0568_ = _0567_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1600.38" *) _1096_;
-  assign _0569_ = _1094_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1591.8-1592.66" *) _1095_;
-  assign _0570_ = _1442_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1605.37" *) _0130_;
-  assign _0571_ = _0570_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1606.34" *) _1713_;
-  assign _0572_ = _0571_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1607.36" *) _1714_;
-  assign _0573_ = _0572_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1608.36" *) _1715_;
-  assign _0574_ = _0573_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1609.36" *) _1716_;
-  assign _0575_ = _0574_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1610.36" *) _1717_;
-  assign _0576_ = _0575_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1611.41" *) _0131_;
-  assign _0577_ = _0576_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1612.37" *) \rf$computeStatusSource_read [2];
-  assign _0578_ = _1098_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1603.8-1604.66" *) _1099_;
-  assign _0579_ = _1443_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1617.37" *) _0132_;
-  assign _0580_ = _0579_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1618.34" *) _1718_;
-  assign _0581_ = _0580_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1619.36" *) _1719_;
-  assign _0582_ = _0581_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1620.36" *) _1720_;
-  assign _0583_ = _0582_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1621.36" *) _1721_;
-  assign _0584_ = _0583_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1622.36" *) _1722_;
-  assign _0585_ = _0584_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1623.41" *) _0133_;
-  assign _0586_ = _0585_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1624.38" *) _1103_;
-  assign _0587_ = _1101_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1615.8-1616.66" *) _1102_;
-  assign _0588_ = _1444_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1629.37" *) _0134_;
-  assign _0589_ = _0588_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1630.34" *) _1723_;
-  assign _0590_ = _0589_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1631.36" *) _1724_;
-  assign _0591_ = _0590_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1632.36" *) _1725_;
-  assign _0592_ = _0591_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1633.36" *) _1726_;
-  assign _0593_ = _0592_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1634.36" *) _1727_;
-  assign _0594_ = _0593_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1635.41" *) _0135_;
-  assign _0595_ = _0594_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1636.37" *) \rf$computeStatusSource_read [3];
-  assign _0596_ = _1105_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1627.8-1628.66" *) _1106_;
-  assign _0597_ = _1445_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1641.37" *) _0136_;
-  assign _0598_ = _0597_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1642.34" *) _1728_;
-  assign _0599_ = _0598_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1643.36" *) _1729_;
-  assign _0600_ = _0599_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1644.36" *) _1730_;
-  assign _0601_ = _0600_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1645.36" *) _1731_;
-  assign _0602_ = _0601_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1646.36" *) _1732_;
-  assign _0603_ = _0602_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1647.41" *) _0137_;
-  assign _0604_ = _0603_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1648.38" *) _1110_;
-  assign _0605_ = _1108_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1639.8-1640.66" *) _1109_;
-  assign _0606_ = _1446_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.7-1653.37" *) _0138_;
-  assign _0607_ = _0606_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.7-1656.63" *) _1447_;
-  assign _0608_ = _1112_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1651.8-1652.66" *) _1113_;
-  assign _0609_ = _1733_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1655.8-1656.62" *) CASE_compute_q_rv_BITS_78_TO_76_CONCAT_compute_ETC__q2;
-  assign _0610_ = _1448_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.7-1661.37" *) _0140_;
-  assign _0611_ = _0610_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.7-1662.56" *) _1734_;
-  assign _0612_ = _0611_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.7-1664.66" *) _1449_;
-  assign _0613_ = _1115_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1659.8-1660.66" *) _1116_;
-  assign _0614_ = _1450_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.7-1669.37" *) _0142_;
-  assign _0615_ = _0614_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.7-1670.56" *) _0143_;
-  assign _0616_ = _1118_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1667.8-1668.66" *) _1119_;
-  assign _0617_ = _1451_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.7-1675.37" *) _0144_;
-  assign _0618_ = _0617_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.7-1676.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _0619_ = _0618_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.7-1677.56" *) _0145_;
-  assign _0620_ = _1121_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1673.8-1674.66" *) _1122_;
-  assign _0621_ = _1452_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.7-1682.37" *) _0146_;
-  assign _0622_ = _0621_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.7-1683.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _0623_ = _0622_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.7-1684.56" *) _0147_;
-  assign _0624_ = _1124_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1680.8-1681.66" *) _1125_;
-  assign _0625_ = _1453_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.7-1689.37" *) _0148_;
-  assign _0626_ = _0625_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.7-1690.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _0627_ = _0626_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.7-1691.56" *) _0149_;
-  assign _0628_ = _1127_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1687.8-1688.66" *) _1128_;
-  assign _0629_ = _1454_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.7-1696.37" *) _0150_;
-  assign _0630_ = _0629_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.7-1697.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _0631_ = _0630_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.7-1698.56" *) _0151_;
-  assign _0632_ = _1130_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1694.8-1695.66" *) _1131_;
-  assign _0633_ = _1455_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.7-1703.37" *) _0152_;
-  assign _0634_ = _0633_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.7-1704.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _0635_ = _0634_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.7-1705.56" *) _0153_;
-  assign _0636_ = _1133_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1701.8-1702.66" *) _1134_;
-  assign _0637_ = _1456_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.7-1710.37" *) _0154_;
-  assign _0638_ = _0637_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.7-1711.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _0639_ = _0638_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.7-1712.56" *) _0155_;
-  assign _0640_ = _1136_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1708.8-1709.66" *) _1137_;
-  assign _0641_ = _1457_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.7-1717.37" *) _0156_;
-  assign _0642_ = _0641_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.7-1718.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _0643_ = _0642_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.7-1719.56" *) _0157_;
-  assign _0644_ = _1139_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1715.8-1716.66" *) _1140_;
-  assign _0645_ = _0647_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.7-1726.56" *) _0159_;
-  assign _0646_ = _1458_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.7-1724.37" *) _0158_;
-  assign _0647_ = _0646_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.7-1725.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _0648_ = _1142_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1722.8-1723.66" *) _1143_;
-  assign _0649_ = _1459_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.7-1731.37" *) _0160_;
-  assign _0650_ = _0649_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.7-1732.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _0651_ = _0650_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.7-1733.57" *) _0161_;
-  assign _0652_ = _1145_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1729.8-1730.66" *) _1146_;
-  assign _0653_ = _1460_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.7-1738.37" *) _0162_;
-  assign _0654_ = _0653_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.7-1739.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _0655_ = _0654_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.7-1740.57" *) _0163_;
-  assign _0656_ = _1148_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1736.8-1737.66" *) _1149_;
-  assign _0657_ = _1461_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.7-1745.37" *) _0164_;
-  assign _0658_ = _0657_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.7-1746.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _0659_ = _0658_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.7-1747.57" *) _0165_;
-  assign _0660_ = _1151_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1743.8-1744.66" *) _1152_;
-  assign _0661_ = _1462_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.7-1752.37" *) _0166_;
-  assign _0662_ = _0661_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.7-1753.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _0663_ = _0662_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.7-1754.57" *) _0167_;
-  assign _0664_ = _1154_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1750.8-1751.66" *) _1155_;
-  assign _0665_ = _1463_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.7-1759.37" *) _0168_;
-  assign _0666_ = _0665_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.7-1760.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _0667_ = _0666_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.7-1761.57" *) _0169_;
-  assign _0668_ = _1157_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1757.8-1758.66" *) _1158_;
-  assign _0669_ = _1464_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1766.37" *) _0170_;
-  assign _0670_ = _0669_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1767.56" *) _1735_;
-  assign _0671_ = _0670_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1768.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _0672_ = _0671_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1769.56" *) _1736_;
-  assign _0673_ = _0672_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1770.56" *) _1737_;
-  assign _0674_ = _0673_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1771.56" *) _1738_;
-  assign _0675_ = _0674_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1772.56" *) _1739_;
-  assign _0676_ = _0675_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1773.56" *) _1740_;
-  assign _0677_ = _0676_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1774.56" *) _1741_;
-  assign _0678_ = _0677_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1775.56" *) _1742_;
-  assign _0679_ = _0678_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1776.56" *) _1743_;
-  assign _0680_ = _0679_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1777.56" *) _1744_;
-  assign _0681_ = _0680_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1778.57" *) _1745_;
-  assign _0682_ = _0681_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1779.57" *) _1746_;
-  assign _0683_ = _0682_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1780.57" *) _1747_;
-  assign _0684_ = _0683_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1781.57" *) _1748_;
-  assign _0685_ = _0684_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1782.57" *) _1749_;
-  assign _0686_ = _1160_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1764.8-1765.66" *) _1161_;
-  assign _0687_ = _1465_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1784.7-1787.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d817;
-  assign _0688_ = _1163_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1785.8-1786.66" *) _1164_;
-  assign _0689_ = _1466_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1789.7-1792.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d822;
-  assign _0690_ = _1166_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1790.8-1791.66" *) _1167_;
-  assign _0691_ = _1467_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1794.7-1797.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d827;
-  assign _0692_ = _1169_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1795.8-1796.66" *) _1170_;
-  assign _0693_ = _1468_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1799.7-1802.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d832;
-  assign _0694_ = _1172_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1800.8-1801.66" *) _1173_;
-  assign _0695_ = _1469_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1804.7-1807.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d837;
-  assign _0696_ = _1175_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1805.8-1806.66" *) _1176_;
-  assign _0697_ = _1470_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1809.7-1812.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d842;
-  assign _0698_ = _1178_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1810.8-1811.66" *) _1179_;
-  assign _0699_ = _1471_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1814.7-1817.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d847;
-  assign _0700_ = _1181_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.8-1816.66" *) _1182_;
-  assign _0701_ = _1472_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1819.7-1822.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d852;
-  assign _0702_ = _1184_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1820.8-1821.66" *) _1185_;
-  assign _0703_ = _1473_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.7-1827.37" *) _0171_;
-  assign _0704_ = _0703_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.7-1828.35" *) _0172_;
-  assign _0705_ = _1187_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1825.8-1826.66" *) _1188_;
-  assign _0706_ = _1474_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.7-1833.37" *) _0173_;
-  assign _0707_ = _0706_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.7-1834.35" *) _0174_;
-  assign _0708_ = _0707_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.7-1835.34" *) _0175_;
-  assign _0709_ = _1190_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1831.8-1832.66" *) _1191_;
-  assign _0710_ = _1475_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.7-1840.37" *) _0176_;
-  assign _0711_ = _0710_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.7-1841.35" *) _0177_;
-  assign _0712_ = _0711_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.7-1842.34" *) _0178_;
-  assign _0713_ = _1193_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1838.8-1839.66" *) _1194_;
-  assign _0714_ = _1476_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.7-1847.37" *) _0179_;
-  assign _0715_ = _0714_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.7-1848.35" *) _0180_;
-  assign _0716_ = _0715_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.7-1849.34" *) _0181_;
-  assign _0717_ = _1196_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1845.8-1846.66" *) _1197_;
-  assign _0718_ = _1477_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.7-1854.37" *) _0182_;
-  assign _0719_ = _0718_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.7-1855.35" *) _0183_;
-  assign _0720_ = _0719_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.7-1856.34" *) _0184_;
-  assign _0721_ = _1199_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1852.8-1853.66" *) _1200_;
-  assign _0722_ = _1478_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.7-1861.37" *) _0185_;
-  assign _0723_ = _0722_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.7-1862.35" *) _0186_;
-  assign _0724_ = _0723_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.7-1863.34" *) _0187_;
-  assign _0725_ = _1202_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1859.8-1860.66" *) _1203_;
-  assign _0726_ = _1479_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.7-1868.37" *) _0188_;
-  assign _0727_ = _0726_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.7-1869.35" *) _0189_;
-  assign _0728_ = _0727_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.7-1870.34" *) _0190_;
-  assign _0729_ = _1205_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1866.8-1867.66" *) _1206_;
-  assign _0730_ = _1480_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.7-1875.37" *) _0191_;
-  assign _0731_ = _0730_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.7-1876.35" *) _0192_;
-  assign _0732_ = _0731_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.7-1877.34" *) _0193_;
-  assign _0733_ = _1208_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1873.8-1874.66" *) _1209_;
-  assign _0734_ = _1481_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.7-1882.37" *) _0194_;
-  assign _0735_ = _0734_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.7-1883.35" *) _0195_;
-  assign _0736_ = _0735_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.7-1884.34" *) _0196_;
-  assign _0737_ = _1211_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1880.8-1881.66" *) _1212_;
-  assign _0738_ = _1482_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.7-1889.37" *) _0197_;
-  assign _0739_ = _0738_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.7-1890.35" *) _0198_;
-  assign _0740_ = _0739_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.7-1891.34" *) _0199_;
-  assign _0741_ = _1214_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1887.8-1888.66" *) _1215_;
-  assign _0742_ = _1483_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.7-1896.37" *) _0200_;
-  assign _0743_ = _0742_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.7-1897.35" *) _0201_;
-  assign _0744_ = _0743_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.7-1898.34" *) _0202_;
-  assign _0745_ = _1217_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1894.8-1895.66" *) _1218_;
-  assign _0746_ = _1484_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.7-1903.37" *) _0203_;
-  assign _0747_ = _0746_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.7-1904.35" *) _0204_;
-  assign _0748_ = _0747_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.7-1905.35" *) _0205_;
-  assign _0749_ = _1220_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1901.8-1902.66" *) _1221_;
-  assign _0750_ = _1485_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.7-1910.37" *) _0206_;
-  assign _0751_ = _0750_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.7-1911.35" *) _0207_;
-  assign _0752_ = _0751_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.7-1912.35" *) _0208_;
-  assign _0753_ = _1223_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1908.8-1909.66" *) _1224_;
-  assign _0754_ = _1486_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.7-1917.37" *) _0209_;
-  assign _0755_ = _0754_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.7-1918.35" *) _0210_;
-  assign _0756_ = _0755_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.7-1919.35" *) _0211_;
-  assign _0757_ = _1226_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1915.8-1916.66" *) _1227_;
-  assign _0758_ = _1487_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.7-1924.37" *) _0212_;
-  assign _0759_ = _0758_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.7-1925.35" *) _0213_;
-  assign _0760_ = _0759_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.7-1926.35" *) _0214_;
-  assign _0761_ = _1229_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1922.8-1923.66" *) _1230_;
-  assign _0762_ = _1488_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.7-1931.37" *) _0215_;
-  assign _0763_ = _0762_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.7-1932.35" *) _0216_;
-  assign _0764_ = _0763_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.7-1933.35" *) _0217_;
-  assign _0765_ = _1232_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1929.8-1930.66" *) _1233_;
-  assign _0766_ = _1489_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.7-1938.37" *) _0218_;
-  assign _0767_ = _0766_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.7-1939.35" *) _0219_;
-  assign _0768_ = _0767_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.7-1940.35" *) _0220_;
-  assign _0769_ = _1235_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1936.8-1937.66" *) _1236_;
-  assign _0770_ = _1490_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.7-1945.37" *) _0221_;
-  assign _0771_ = _0770_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.7-1946.35" *) _0222_;
-  assign _0772_ = _0771_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.7-1947.35" *) _0223_;
-  assign _0773_ = _1238_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1943.8-1944.66" *) _1239_;
-  assign _0774_ = _1491_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.7-1952.37" *) _0224_;
-  assign _0775_ = _0774_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.7-1953.35" *) _0225_;
-  assign _0776_ = _0775_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.7-1954.35" *) _0226_;
-  assign _0777_ = _1241_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1950.8-1951.66" *) _1242_;
-  assign _0778_ = _1492_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.7-1959.37" *) _0227_;
-  assign _0779_ = _0778_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.7-1960.35" *) _0228_;
-  assign _0780_ = _0779_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.7-1961.35" *) _0229_;
-  assign _0781_ = _1244_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1957.8-1958.66" *) _1245_;
-  assign _0782_ = _1493_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.7-1966.37" *) _0230_;
-  assign _0783_ = _0782_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.7-1967.35" *) _0231_;
-  assign _0784_ = _0783_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.7-1968.35" *) _0232_;
-  assign _0785_ = _1247_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1964.8-1965.66" *) _1248_;
-  assign _0786_ = _1494_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.7-1973.37" *) _0233_;
-  assign _0787_ = _0786_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.7-1974.35" *) _0234_;
-  assign _0788_ = _0787_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.7-1975.35" *) _0235_;
-  assign _0789_ = _1250_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1971.8-1972.66" *) _1251_;
-  assign _0790_ = _1495_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.7-1980.37" *) _0236_;
-  assign _0791_ = _0790_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.7-1981.35" *) _0237_;
-  assign _0792_ = _0791_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.7-1982.35" *) _0238_;
-  assign _0793_ = _1253_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1978.8-1979.66" *) _1254_;
-  assign _0794_ = _1496_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.7-1987.37" *) _0239_;
-  assign _0795_ = _0794_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.7-1988.35" *) _0240_;
-  assign _0796_ = _0795_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.7-1989.35" *) _0241_;
-  assign _0797_ = _1256_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1985.8-1986.66" *) _1257_;
-  assign _0798_ = _1497_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.7-1994.37" *) _0242_;
-  assign _0799_ = _0798_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.7-1995.35" *) _0243_;
-  assign _0800_ = _0799_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.7-1996.35" *) _0244_;
-  assign _0801_ = _1259_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1992.8-1993.66" *) _1260_;
-  assign _0802_ = _1498_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.7-2001.37" *) _0245_;
-  assign _0803_ = _0802_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.7-2002.35" *) _0246_;
-  assign _0804_ = _0803_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.7-2003.35" *) _0247_;
-  assign _0805_ = _1262_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1999.8-2000.66" *) _1263_;
-  assign _0806_ = _1499_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.7-2008.37" *) _0248_;
-  assign _0807_ = _0806_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.7-2009.35" *) _0249_;
-  assign _0808_ = _0807_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.7-2010.35" *) _0250_;
-  assign _0809_ = _1265_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2006.8-2007.66" *) _1266_;
-  assign _0810_ = _1500_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.7-2015.37" *) _0251_;
-  assign _0811_ = _0810_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.7-2016.35" *) _0252_;
-  assign _0812_ = _0811_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.7-2017.35" *) _0253_;
-  assign _0813_ = _1268_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2013.8-2014.66" *) _1269_;
-  assign _0814_ = _1501_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.7-2022.37" *) _0254_;
-  assign _0815_ = _0814_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.7-2023.35" *) _0255_;
-  assign _0816_ = _0815_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.7-2024.35" *) _0256_;
-  assign _0817_ = _1271_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2020.8-2021.66" *) _1272_;
-  assign _0818_ = _1502_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.7-2029.37" *) _0257_;
-  assign _0819_ = _0818_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.7-2030.35" *) _0258_;
-  assign _0820_ = _0819_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.7-2031.35" *) _0259_;
-  assign _0821_ = _1274_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2027.8-2028.66" *) _1275_;
-  assign _0822_ = _1276_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2034.52" *) _1277_;
-  assign _0823_ = _1504_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.7-2044.56" *) _1509_;
-  assign _0824_ = _0823_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.7-2045.39" *) \fetch_fetched_rv$port1__read [17];
-  assign _0825_ = _0264_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2044.55" *) _1510_;
-  assign _0826_ = _1279_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2048.52" *) _1280_;
-  assign _0827_ = _1512_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.7-2059.56" *) _1517_;
-  assign _0828_ = _0827_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.7-2060.39" *) \fetch_fetched_rv$port1__read [16];
-  assign _0829_ = _0271_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2056.8-2059.55" *) _1519_;
-  assign _0830_ = _1283_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2063.52" *) _1284_;
-  assign _0831_ = _1521_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2066.52" *) _1750_;
-  assign _0832_ = _0831_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2067.52" *) _1751_;
-  assign _0833_ = _0832_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2068.53" *) _1752_;
-  assign _0834_ = _0833_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2072.54" *) _1522_;
-  assign _0835_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2070.8-2071.52" *) _1754_;
-  assign _0836_ = _0835_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2070.8-2072.53" *) _1755_;
-  assign _0837_ = compute_memoryRegisterLoad[5] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2104.7-2106.66" *) _1530_;
-  assign _0838_ = compute_memoryRegisterLoad[5] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2112.66" *) _1536_;
-  assign _0839_ = _0278_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2117.7-2118.58" *) _1537_;
-  assign _0840_ = compute_memoryRegisterLoad[5] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2122.66" *) _1541_;
-  assign _0841_ = _1288_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2123.7-2134.27" *) _1843_;
-  assign _0842_ = _1756_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2127.6-2129.65" *) _1542_;
-  assign _0843_ = _1543_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.6-2134.25" *) _1545_;
-  assign _0844_ = _0285_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2141.11-2142.32" *) _0286_;
-  assign _0845_ = _1763_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2157.6-2158.60" *) CASE_compute_q_rv_BITS_78_TO_76_CONCAT_compute_ETC__q2;
-  assign _0846_ = _0291_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2159.6-2161.57" *) _1548_;
-  assign _0847_ = _0293_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2161.7-2161.56" *) compute_q_rv[61];
-  assign _0848_ = _0294_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2164.56" *) _1764_;
-  assign _0849_ = _0848_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2166.66" *) _1549_;
-  assign _0850_ = _0849_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2167.37" *) \rf$computeStatusSource_read [0];
-  assign _0851_ = _0296_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2170.56" *) _1765_;
-  assign _0852_ = _0851_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2172.66" *) _1550_;
-  assign _0853_ = _0852_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2173.38" *) _1292_;
-  assign _0854_ = _0298_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2176.56" *) _1766_;
-  assign _0855_ = _0854_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2178.66" *) _1551_;
-  assign _0856_ = _0855_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2179.37" *) \rf$computeStatusSource_read [1];
-  assign _0857_ = _0300_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2182.56" *) _1767_;
-  assign _0858_ = _0857_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2184.66" *) _1552_;
-  assign _0859_ = _0858_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2185.38" *) _1293_;
-  assign _0860_ = _0302_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2188.56" *) _1768_;
-  assign _0861_ = _0860_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2190.66" *) _1553_;
-  assign _0862_ = _0861_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2191.37" *) \rf$computeStatusSource_read [2];
-  assign _0863_ = _0304_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2194.56" *) _1769_;
-  assign _0864_ = _0863_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2196.66" *) _1554_;
-  assign _0865_ = _0864_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2197.38" *) _1294_;
-  assign _0866_ = _0306_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2200.56" *) _1770_;
-  assign _0867_ = _0866_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2202.66" *) _1555_;
-  assign _0868_ = _0867_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2203.37" *) \rf$computeStatusSource_read [3];
-  assign _0869_ = _0308_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2206.56" *) _1771_;
-  assign _0870_ = _0869_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2208.66" *) _1556_;
-  assign _0871_ = _0870_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2209.38" *) _1295_;
-  assign _0872_ = _1557_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.7-2221.65" *) _1296_;
-  assign _0873_ = _0872_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.7-2222.65" *) _1297_;
-  assign _0874_ = _0873_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.7-2223.64" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d154;
-  assign _0875_ = _1558_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.7-2227.65" *) _1298_;
-  assign _0876_ = _0875_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.7-2228.65" *) _1299_;
-  assign _0877_ = _1772_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2230.52" *) _1773_;
-  assign _0878_ = _0877_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2231.52" *) _1774_;
-  assign _0879_ = _0878_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2232.53" *) _1775_;
-  assign _0880_ = _0879_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2236.54" *) _1560_;
-  assign _0881_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2234.8-2235.52" *) _1777_;
-  assign _0882_ = _0881_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2234.8-2236.53" *) _1778_;
-  assign _0883_ = \compute_regFromMemory$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2248.8-2249.64" *) _0310_;
-  assign _0884_ = \compute_regFromMemory$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2255.8-2257.41" *) _0312_;
-  assign _0885_ = _1300_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2292.52" *) _1301_;
-  assign _0886_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2296.50" *) _1779_;
-  assign _0887_ = _0886_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2297.49" *) _1780_;
-  assign _0888_ = _0887_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2298.49" *) _1781_;
-  assign _0889_ = _0888_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2299.50" *) _1782_;
-  assign _0890_ = _0889_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2303.51" *) _1564_;
-  assign _0891_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2301.5-2302.49" *) _1784_;
-  assign _0892_ = _0891_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2301.5-2303.50" *) _1785_;
-  assign _0893_ = \rf$computeStatusSource_read [3] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2323.8-2324.39" *) _1303_;
-  assign _0894_ = _1566_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2351.8-2354.40" *) _1567_;
-  assign _0895_ = _1568_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.8-2360.41" *) _1569_;
-  assign _0896_ = _1571_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.8-2368.40" *) _1573_;
-  assign _0897_ = _1314_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2370.6-2372.38" *) _1574_;
-  assign _0898_ = _0897_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2370.6-2374.39" *) _1575_;
-  assign _0899_ = \rf$computeStatusSource_read [3] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2423.8-2424.39" *) _1317_;
-  assign _0900_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2455.8-2456.38" *) \rf$computeStatusSource_read [2];
-  assign _0901_ = _1323_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2457.8-2458.39" *) _1324_;
-  assign _0902_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2461.8-2462.39" *) _1325_;
-  assign _0903_ = _1326_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2463.8-2464.38" *) \rf$computeStatusSource_read [2];
-  assign _0904_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2467.8-2468.38" *) \rf$computeStatusSource_read [2];
-  assign _0905_ = _0904_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2467.8-2469.39" *) _1327_;
-  assign _0906_ = _1328_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2470.8-2471.39" *) _1329_;
-  assign _0907_ = _0906_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2470.8-2472.39" *) _1330_;
-  assign _0908_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2475.6-2476.37" *) _1331_;
-  assign _0909_ = _1332_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2477.6-2478.36" *) \rf$computeStatusSource_read [2];
-  assign _0910_ = _0318_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2584.10-2585.43" *) _1333_;
-  assign _0911_ = _0319_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2590.6-2591.50" *) _0320_;
-  assign _0912_ = \rf$computeStatusSource_read [3] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2607.8-2608.39" *) _1334_;
-  assign _0913_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2639.8-2640.38" *) \rf$computeStatusSource_read [2];
-  assign _0914_ = _1340_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2641.8-2642.39" *) _1341_;
-  assign _0915_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2645.8-2646.39" *) _1342_;
-  assign _0916_ = _1343_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2647.8-2648.38" *) \rf$computeStatusSource_read [2];
-  assign _0917_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2651.8-2652.38" *) \rf$computeStatusSource_read [2];
-  assign _0918_ = _0917_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2651.8-2653.39" *) _1344_;
-  assign _0919_ = _1345_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2654.8-2655.39" *) _1346_;
-  assign _0920_ = _0919_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2654.8-2656.39" *) _1347_;
-  assign _0921_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2659.6-2660.37" *) _1348_;
-  assign _0922_ = _1349_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2661.6-2662.36" *) \rf$computeStatusSource_read [2];
-  assign _0923_ = _0322_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.10-2680.61" *) _1350_;
-  assign _0924_ = _0323_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2685.6-2686.34" *) _0324_;
-  assign _0925_ = _0927_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.7-593.63" *) IF_memory_q_rv_port0__read__5_BIT_100_6_AND_me_ETC___d61;
-  assign _0926_ = memory_q_rv[100] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.7-591.48" *) _1351_;
-  assign _0927_ = _0926_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.7-592.63" *) memory_q_rv_port0__read__5_BITS_99_TO_68_6_ULT_ETC___d67;
-  assign _0928_ = memory_waitRead_rv[70] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:597.7-599.40" *) _1589_;
-  assign _0929_ = \fetch_fetchPC$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.7-605.57" *) _1609_;
-  assign _0930_ = _0929_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.7-606.44" *) _1354_;
-  assign _0931_ = fetch_pcRequested_rv[32] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:610.7-611.48" *) _1610_;
-  assign _0932_ = _0931_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:610.7-612.28" *) _1355_;
-  assign _0933_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:616.7-617.44" *) \memory_sysmemMaster_outgoing$wget [69];
-  assign _0934_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:621.7-622.44" *) \memory_sysmemMaster_outgoing$wget [69];
-  assign _0935_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:649.7-650.44" *) \memory_sysmemMaster_outgoing$wget [69];
-  assign _0936_ = _0935_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:649.7-651.44" *) \memory_sysmemMaster_outgoing$wget [36];
-  assign _0937_ = memory_waitRead_rv[70] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-690.66" *) memory_delaySysmemResponse_rv[33];
-  assign _0938_ = _0937_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-692.40" *) _1594_;
-  assign _0939_ = _0938_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-693.47" *) _0350_;
-  assign _0940_ = _0939_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-694.35" *) _1364_;
-  assign _0941_ = memory_q_rv[100] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-698.59" *) _1365_;
-  assign _0942_ = _0941_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-699.63" *) IF_memory_q_rv_port0__read__5_BIT_100_6_AND_me_ETC___d61;
-  assign _0943_ = _0942_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-700.28" *) _1366_;
-  assign _0944_ = _0943_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-701.64" *) _1367_;
-  assign _0945_ = compute_q_rv[83] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.7-705.56" *) _1368_;
-  assign _0946_ = _0945_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.7-706.64" *) compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d497;
-  assign _0947_ = _1788_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-710.63" *) \fetch_fetched_rv$port1__read [64];
-  assign _0948_ = _0947_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-711.36" *) _1369_;
-  assign _0949_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:715.7-715.67" *) memory_waitRead_rv[69];
-  assign _0950_ = _0949_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:715.7-716.40" *) _1789_;
-  assign _0951_ = EN_dmem_client_response_put && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:718.7-718.60" *) memory_waitRead_rv[69];
-  assign _0952_ = _0951_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:718.7-719.40" *) _1790_;
-  assign _0953_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:721.7-721.67" *) memory_waitRead_rv[69];
-  assign _0954_ = _0953_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:721.7-722.40" *) _0328_;
-  assign _0955_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:758.7-762.66" *) _1596_;
-  assign _0956_ = _1370_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-760.52" *) _1371_;
-  assign _0957_ = \_dor1memory_responseRegProbe$EN__write  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:781.7-782.29" *) memory_waitRead_rv[69];
-  assign _0958_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-792.67" *) memory_waitRead_rv[69];
-  assign _0959_ = _0958_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-793.40" *) _1791_;
-  assign _0960_ = EN_dmem_client_response_put && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:794.7-794.60" *) memory_waitRead_rv[69];
-  assign _0961_ = _0960_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:794.7-795.40" *) _1792_;
-  assign _0962_ = _0963_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:797.7-798.31" *) _0332_;
-  assign _0963_ = \_dor1memory_startPCLoad$EN_wset  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:797.7-797.68" *) _0331_;
-  assign _0964_ = \_dor1memory_stopPCLoad$EN_wset  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.7-800.63" *) memory_waitRead_rv[69];
-  assign _0965_ = _0964_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.7-801.40" *) _0333_;
-  assign _0966_ = sysmem_client_ack_i && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.8-805.51" *) _1372_;
-  assign _0967_ = _0966_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.8-806.28" *) _1373_;
-  assign _0968_ = \_dor1memory_busyResp$EN_wset  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:815.7-815.61" *) memory_waitRead_rv[69];
-  assign _0969_ = WILL_FIRE_RL_mkConnectionGetPut_1 && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:817.7-818.65" *) NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1183;
-  assign _0970_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:826.7-827.50" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _0971_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:833.7-833.67" *) memory_waitRead_rv[69];
-  assign _0972_ = _0971_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:833.7-834.40" *) _0334_;
-  assign _0973_ = EN_dmem_client_response_put && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:835.7-835.60" *) memory_waitRead_rv[69];
-  assign _0974_ = _0973_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:835.7-836.40" *) _0335_;
-  assign _0975_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:846.7-847.49" *) \fetch_mispredictMemoryF_rv$port1__read [64];
-  assign _0976_ = WILL_FIRE_RL_mkConnectionGetPut_1 && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:872.7-875.67" *) _1603_;
-  assign _0977_ = _1375_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:874.8-875.66" *) _1376_;
-  assign _0978_ = _0338_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:909.12-910.22" *) _1377_;
-  assign _0979_ = _0339_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:912.8-913.36" *) _0340_;
-  assign _0980_ = _0341_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:915.11-916.40" *) _0342_;
-  assign _0981_ = _0343_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:922.6-923.35" *) _0344_;
-  assign _0982_ = compute_q_rv[64] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:924.9-924.45" *) compute_q_rv[65];
-  assign _0983_ = _1378_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:926.12-926.49" *) compute_q_rv[65];
-  assign _0984_ = compute_q_rv[64] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:928.8-928.45" *) _1379_;
-  assign _0985_ = \_dor1memory_waitRead_rv$EN_port1__write  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:944.7-946.35" *) _1607_;
-  assign _0986_ = \memory_sysmemMaster_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:962.7-963.53" *) _1380_;
-  assign _0987_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:981.7-982.39" *) _1381_;
-  assign _0988_ = _0987_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:981.7-983.40" *) \memory_sysmemMaster_incoming$whas ;
-  assign _0989_ = _0988_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:981.7-984.44" *) \memory_sysmemMaster_incoming$wget [32];
-  assign _0990_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:990.7-991.39" *) _1382_;
-  assign _0991_ = _0990_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:990.7-992.40" *) \memory_sysmemMaster_incoming$whas ;
-  assign _0992_ = _0991_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:990.7-993.44" *) \memory_sysmemMaster_incoming$wget [32];
-  assign _0993_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1004.7-1004.53" *) \memory_delaySysmemResponse_rv$port1__read [33];
-  assign _0994_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1039.7-1039.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _0995_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1040.7-1040.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _0996_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1050.7-1050.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _0997_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1179.8-1179.38" *) compute_memoryRegisterLoad[5];
-  assign _0998_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1180.8-1180.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _0999_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1181.8-1181.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1000_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.39-1192.56" *) compute_q_rv[68];
-  assign _1001_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.42-1201.59" *) compute_q_rv[68];
-  assign _1002_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1211.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
-  assign _1003_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1212.8-1212.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1004_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1228.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
-  assign _1005_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1229.8-1229.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1006_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1245.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
-  assign _1007_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1246.8-1246.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1008_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1262.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
-  assign _1009_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1263.8-1263.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1010_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1269.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
-  assign _1011_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1270.8-1270.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:450.3-450.11" *)
+  wire [31:0] y__h7505;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:451.3-451.23" *)
+  wire [31:0] y_avValue_snd__h7721;
+  assign _0047_ = fetch_cMispredictErrorCount + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1021.7-1021.42" *) 32'd1;
+  assign _0048_ = fetch_cMispredictLagCount + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1029.43-1029.76" *) 32'd1;
+  assign _0049_ = fetch_cMispredictOkCount + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1040.42-1040.74" *) 32'd1;
+  assign _0050_ = fetch_cPredictCount + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1049.37-1049.64" *) 32'd1;
+  assign _0051_ = fetch_cycle + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1055.29-1055.48" *) 32'd1;
+  assign _0052_ = rs1v__h13459 + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2085.7-2086.65" *) SEXT_compute_q_rv_port0__read__54_BITS_66_TO_5_ETC___d1107;
+  assign _0053_ = rs1v__h13459 + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2088.7-2090.41" *) { compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5 };
+  assign _0054_ = \fetch_fetched_rv$port1__read [63:32] + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2232.26-2232.69" *) 32'd4;
+  assign _0055_ = { x__h15893[22], x__h15893[22], x__h15893[22], x__h15893[22], x__h15893[22], x__h15893[22], x__h15893[22], x__h15893[22], x__h15893[22], x__h15893 } + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2272.22-2272.77" *) compute_q_rv[50:19];
+  assign _0056_ = compute_q_rv[50:19] + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2275.22-2275.49" *) 32'd8;
+  assign _0057_ = memory_waitRead_rv[63:32] + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2280.21-2280.54" *) 32'd8;
+  assign _0058_ = nextPC__h7729 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1052.7-1052.37" *) nextPC__h7155;
+  assign _0059_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1122.4-1122.34" *) 4'hc;
+  assign _0060_ = compute_q_rv[61:57] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1123.4-1123.35" *) 5'h1f;
+  assign _0061_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1126.6-1126.36" *) 4'hc;
+  assign _0062_ = compute_q_rv[61:59] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1128.8-1128.37" *) 3'h2;
+  assign _0063_ = compute_q_rv[81:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1132.5-1132.32" *) 3'h2;
+  assign _0064_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1134.39" *) 4'hc;
+  assign _0065_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1140.9-1140.43" *) 8'he0;
+  assign _0066_ = { compute_q_rv[53:51], compute_q_rv[67] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1141.10-1141.59" *) 4'h0;
+  assign _0067_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1181.9-1181.38" *) 3'h4;
+  assign _0068_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.5-1183.35" *) 4'hf;
+  assign _0069_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1185.6-1185.36" *) 4'hf;
+  assign _0070_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1186.6-1186.35" *) 3'h6;
+  assign _0071_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.8-1192.38" *) 4'hf;
+  assign _0072_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.11-1194.41" *) 4'hf;
+  assign _0073_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1195.4-1195.32" *) 2'h2;
+  assign _0074_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1197.7-1197.37" *) 4'hf;
+  assign _0075_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1198.7-1198.36" *) 3'h6;
+  assign _0076_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1271.11-1271.56" *) 3'h4;
+  assign _0077_ = \fetch_fetched_rv$port1__read [30:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1304.50" *) 3'h0;
+  assign _0078_ = \fetch_fetched_rv$port1__read [30:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1305.7-1305.50" *) 3'h1;
+  assign _0079_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1314.8-1314.37" *) 3'h4;
+  assign _0080_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1316.11-1316.41" *) 4'he;
+  assign _0081_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1320.8-1320.37" *) 3'h4;
+  assign _0082_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1321.8-1321.38" *) 4'hf;
+  assign _0083_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1325.8-1325.38" *) 4'he;
+  assign _0084_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1327.11-1327.41" *) 4'hf;
+  assign _0085_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1328.4-1328.32" *) 2'h2;
+  assign _0086_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1332.8-1332.37" *) 3'h4;
+  assign _0087_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1334.11-1334.41" *) 4'he;
+  assign _0088_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.7-1336.37" *) 4'hf;
+  assign _0089_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1337.7-1337.35" *) 2'h2;
+  assign _0090_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1341.8-1341.38" *) 4'hf;
+  assign _0091_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1342.30-1342.59" *) 3'h6;
+  assign _0092_ = IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1346.7-1347.24" *) compute_q_rv[8:4];
+  assign _0093_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.9-1350.39" *) 4'hc;
+  assign _0094_ = value__h7201 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1356.7-1356.35" *) fetch_wantPC;
+  assign _0095_ = value__h7245 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1358.7-1358.36" *) nextPC__h7155;
+  assign _0096_ = value__h7245 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1360.7-1360.58" *) \fetch_fetched_rv$port1__read [63:32];
+  assign _0097_ = value__h7245 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1362.7-1362.31" *) y__h7505;
+  assign _0098_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1372.11-1372.57" *) 4'hc;
+  assign _0099_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1379.9-1379.35" *) 2'h0;
+  assign _0100_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1379.39-1379.65" *) 2'h2;
+  assign _0101_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1392.7-1392.37" *) 4'hf;
+  assign _0102_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1393.35" *) 2'h2;
+  assign _0103_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1394.7-1394.35" *) 5'h1d;
+  assign _0104_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1399.7-1399.37" *) 4'hf;
+  assign _0105_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1400.7-1400.35" *) 2'h2;
+  assign _0106_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1401.7-1401.35" *) 5'h1e;
+  assign _0107_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1406.7-1406.37" *) 4'hf;
+  assign _0108_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1407.7-1407.35" *) 2'h2;
+  assign _0109_ = compute_q_rv[8:4] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1512.3-1512.28" *) 5'h02;
+  assign _0110_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1513.5-1513.34" *) 3'h4;
+  assign _0111_ = _1834_ == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1513.3-1521.7" *) 5'h02;
+  assign _0112_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1515.8-1515.38" *) 4'he;
+  assign _0113_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1517.11-1517.41" *) 4'hf;
+  assign _0114_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1518.4-1518.32" *) 2'h2;
+  assign _0115_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.9-1526.38" *) 3'h4;
+  assign _0116_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1528.5-1528.35" *) 4'hf;
+  assign _0117_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1530.6-1530.36" *) 4'hf;
+  assign _0118_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1531.6-1531.35" *) 3'h6;
+  assign _0119_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.7-1537.37" *) 4'hc;
+  assign _0120_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1543.41" *) 8'he0;
+  assign _0121_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1548.7-1548.37" *) 4'hc;
+  assign _0122_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1554.41" *) 8'he0;
+  assign _0123_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1560.7-1560.37" *) 4'hc;
+  assign _0124_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1566.41" *) 8'he0;
+  assign _0125_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1572.7-1572.37" *) 4'hc;
+  assign _0126_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1578.41" *) 8'he0;
+  assign _0127_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1584.7-1584.37" *) 4'hc;
+  assign _0128_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1590.41" *) 8'he0;
+  assign _0129_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1596.7-1596.37" *) 4'hc;
+  assign _0130_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1602.41" *) 8'he0;
+  assign _0131_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1608.7-1608.37" *) 4'hc;
+  assign _0132_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1614.41" *) 8'he0;
+  assign _0133_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1620.7-1620.37" *) 4'hc;
+  assign _0134_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1626.41" *) 8'he0;
+  assign _0135_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1632.7-1632.37" *) 4'hc;
+  assign _0136_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1638.41" *) 8'he0;
+  assign _0137_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1644.7-1644.37" *) 4'he;
+  assign _0138_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1645.8-1645.57" *) 4'h0;
+  assign _0139_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1652.7-1652.37" *) 4'he;
+  assign _0140_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1654.8-1654.57" *) 4'h1;
+  assign _0141_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1660.7-1660.37" *) 4'he;
+  assign _0142_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1661.7-1661.56" *) 4'h1;
+  assign _0143_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.7-1666.37" *) 4'he;
+  assign _0144_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1668.7-1668.56" *) 4'h2;
+  assign _0145_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1673.7-1673.37" *) 4'he;
+  assign _0146_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1675.7-1675.56" *) 4'h3;
+  assign _0147_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1680.7-1680.37" *) 4'he;
+  assign _0148_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1682.7-1682.56" *) 4'h4;
+  assign _0149_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1687.7-1687.37" *) 4'he;
+  assign _0150_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1689.7-1689.56" *) 4'h5;
+  assign _0151_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1694.7-1694.37" *) 4'he;
+  assign _0152_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1696.7-1696.56" *) 4'h6;
+  assign _0153_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1701.7-1701.37" *) 4'he;
+  assign _0154_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1703.7-1703.56" *) 4'h7;
+  assign _0155_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1708.7-1708.37" *) 4'he;
+  assign _0156_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1710.7-1710.56" *) 4'h8;
+  assign _0157_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1715.7-1715.37" *) 4'he;
+  assign _0158_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1717.7-1717.56" *) 4'h9;
+  assign _0159_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1722.7-1722.37" *) 4'he;
+  assign _0160_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1724.7-1724.57" *) 4'ha;
+  assign _0161_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1729.7-1729.37" *) 4'he;
+  assign _0162_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1731.7-1731.57" *) 4'hb;
+  assign _0163_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1736.7-1736.37" *) 4'he;
+  assign _0164_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1738.7-1738.57" *) 4'hc;
+  assign _0165_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1743.7-1743.37" *) 4'he;
+  assign _0166_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1745.7-1745.57" *) 4'hd;
+  assign _0167_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1750.7-1750.37" *) 4'he;
+  assign _0168_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1752.7-1752.57" *) 4'he;
+  assign _0169_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1757.7-1757.37" *) 4'he;
+  assign _0170_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1818.7-1818.37" *) 4'hf;
+  assign _0171_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1819.7-1819.35" *) 2'h2;
+  assign _0172_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.7-1824.37" *) 4'hf;
+  assign _0173_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1825.7-1825.35" *) 2'h2;
+  assign _0174_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1826.7-1826.34" *) 5'h00;
+  assign _0175_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1831.7-1831.37" *) 4'hf;
+  assign _0176_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1832.7-1832.35" *) 2'h2;
+  assign _0177_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1833.7-1833.34" *) 5'h01;
+  assign _0178_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1838.7-1838.37" *) 4'hf;
+  assign _0179_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1839.7-1839.35" *) 2'h2;
+  assign _0180_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1840.7-1840.34" *) 5'h02;
+  assign _0181_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1845.7-1845.37" *) 4'hf;
+  assign _0182_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1846.7-1846.35" *) 2'h2;
+  assign _0183_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1847.7-1847.34" *) 5'h03;
+  assign _0184_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1852.7-1852.37" *) 4'hf;
+  assign _0185_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1853.7-1853.35" *) 2'h2;
+  assign _0186_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1854.7-1854.34" *) 5'h04;
+  assign _0187_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1859.7-1859.37" *) 4'hf;
+  assign _0188_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1860.7-1860.35" *) 2'h2;
+  assign _0189_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1861.7-1861.34" *) 5'h05;
+  assign _0190_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1866.7-1866.37" *) 4'hf;
+  assign _0191_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1867.7-1867.35" *) 2'h2;
+  assign _0192_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1868.7-1868.34" *) 5'h06;
+  assign _0193_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1873.7-1873.37" *) 4'hf;
+  assign _0194_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1874.7-1874.35" *) 2'h2;
+  assign _0195_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1875.7-1875.34" *) 5'h07;
+  assign _0196_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1880.7-1880.37" *) 4'hf;
+  assign _0197_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1881.7-1881.35" *) 2'h2;
+  assign _0198_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1882.7-1882.34" *) 5'h08;
+  assign _0199_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1887.7-1887.37" *) 4'hf;
+  assign _0200_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1888.7-1888.35" *) 2'h2;
+  assign _0201_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1889.7-1889.34" *) 5'h09;
+  assign _0202_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1894.7-1894.37" *) 4'hf;
+  assign _0203_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1895.7-1895.35" *) 2'h2;
+  assign _0204_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1896.7-1896.35" *) 5'h0a;
+  assign _0205_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1901.7-1901.37" *) 4'hf;
+  assign _0206_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1902.7-1902.35" *) 2'h2;
+  assign _0207_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1903.7-1903.35" *) 5'h0b;
+  assign _0208_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1908.7-1908.37" *) 4'hf;
+  assign _0209_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1909.7-1909.35" *) 2'h2;
+  assign _0210_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1910.7-1910.35" *) 5'h0c;
+  assign _0211_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1915.7-1915.37" *) 4'hf;
+  assign _0212_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1916.7-1916.35" *) 2'h2;
+  assign _0213_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1917.7-1917.35" *) 5'h0d;
+  assign _0214_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1922.7-1922.37" *) 4'hf;
+  assign _0215_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1923.7-1923.35" *) 2'h2;
+  assign _0216_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1924.7-1924.35" *) 5'h0e;
+  assign _0217_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1929.7-1929.37" *) 4'hf;
+  assign _0218_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1930.7-1930.35" *) 2'h2;
+  assign _0219_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1931.7-1931.35" *) 5'h0f;
+  assign _0220_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1936.7-1936.37" *) 4'hf;
+  assign _0221_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1937.7-1937.35" *) 2'h2;
+  assign _0222_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1938.7-1938.35" *) 5'h10;
+  assign _0223_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1943.7-1943.37" *) 4'hf;
+  assign _0224_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1944.7-1944.35" *) 2'h2;
+  assign _0225_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1945.7-1945.35" *) 5'h11;
+  assign _0226_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1950.7-1950.37" *) 4'hf;
+  assign _0227_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1951.7-1951.35" *) 2'h2;
+  assign _0228_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1952.7-1952.35" *) 5'h12;
+  assign _0229_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1957.7-1957.37" *) 4'hf;
+  assign _0230_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1958.7-1958.35" *) 2'h2;
+  assign _0231_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1959.7-1959.35" *) 5'h13;
+  assign _0232_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1964.7-1964.37" *) 4'hf;
+  assign _0233_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1965.7-1965.35" *) 2'h2;
+  assign _0234_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1966.7-1966.35" *) 5'h14;
+  assign _0235_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1971.7-1971.37" *) 4'hf;
+  assign _0236_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1972.7-1972.35" *) 2'h2;
+  assign _0237_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1973.7-1973.35" *) 5'h15;
+  assign _0238_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1978.7-1978.37" *) 4'hf;
+  assign _0239_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1979.7-1979.35" *) 2'h2;
+  assign _0240_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1980.7-1980.35" *) 5'h16;
+  assign _0241_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1985.7-1985.37" *) 4'hf;
+  assign _0242_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1986.7-1986.35" *) 2'h2;
+  assign _0243_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1987.7-1987.35" *) 5'h17;
+  assign _0244_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1992.7-1992.37" *) 4'hf;
+  assign _0245_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1993.7-1993.35" *) 2'h2;
+  assign _0246_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1994.7-1994.35" *) 5'h18;
+  assign _0247_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1999.7-1999.37" *) 4'hf;
+  assign _0248_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2000.7-2000.35" *) 2'h2;
+  assign _0249_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2001.7-2001.35" *) 5'h19;
+  assign _0250_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2006.7-2006.37" *) 4'hf;
+  assign _0251_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2007.7-2007.35" *) 2'h2;
+  assign _0252_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2008.7-2008.35" *) 5'h1a;
+  assign _0253_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2013.7-2013.37" *) 4'hf;
+  assign _0254_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2014.7-2014.35" *) 2'h2;
+  assign _0255_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2015.7-2015.35" *) 5'h1b;
+  assign _0256_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2020.7-2020.37" *) 4'hf;
+  assign _0257_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2021.7-2021.35" *) 2'h2;
+  assign _0258_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2022.7-2022.35" *) 5'h1c;
+  assign _0259_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2029.8-2029.54" *) 4'hc;
+  assign _0260_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2030.8-2030.53" *) 3'h4;
+  assign _0261_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2031.8-2031.53" *) 3'h5;
+  assign _0262_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2032.8-2032.54" *) 4'he;
+  assign _0263_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2033.54" *) 4'hf;
+  assign _0264_ = \fetch_fetched_rv$port1__read [17:16] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2034.9-2034.53" *) 2'h2;
+  assign _0265_ = \fetch_fetched_rv$port1__read [17:15] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2035.9-2035.54" *) 3'h6;
+  assign _0266_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2043.8-2043.54" *) 4'hc;
+  assign _0267_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2044.8-2044.53" *) 3'h4;
+  assign _0268_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2045.8-2045.53" *) 3'h5;
+  assign _0269_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2046.8-2046.54" *) 4'he;
+  assign _0270_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2047.54" *) 4'hf;
+  assign _0271_ = \fetch_fetched_rv$port1__read [17:16] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2049.9-2049.53" *) 2'h2;
+  assign _0272_ = \fetch_fetched_rv$port1__read [17:15] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2050.9-2050.54" *) 3'h6;
+  assign _0273_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2099.7-2099.37" *) 4'hc;
+  assign _0274_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2105.7-2105.37" *) 4'hc;
+  assign _0275_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2106.7-2106.36" *) 3'h4;
+  assign _0276_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2107.7-2107.37" *) 4'he;
+  assign _0277_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2108.7-2108.37" *) 4'hf;
+  assign _0278_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2109.29-2109.57" *) 2'h2;
+  assign _0279_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2115.9-2115.38" *) 3'h4;
+  assign _0280_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2117.5-2117.35" *) 4'he;
+  assign _0281_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2119.7-2119.56" *) 4'h1;
+  assign _0282_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2128.5-2128.34" *) 3'h4;
+  assign _0283_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.8-2130.38" *) 4'he;
+  assign _0284_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.11-2132.41" *) 4'hf;
+  assign _0285_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2133.4-2133.32" *) 2'h2;
+  assign _0286_ = compute_q_rv[18:14] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2141.7-2141.47" *) compute_q_rv[8:4];
+  assign _0287_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2144.9-2144.38" *) 3'h4;
+  assign _0288_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2146.5-2146.35" *) 4'he;
+  assign _0289_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2147.6-2147.55" *) 4'h0;
+  assign _0290_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2150.6-2150.36" *) 4'hf;
+  assign _0291_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2151.7-2151.35" *) 2'h2;
+  assign _0292_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2152.7-2152.36" *) 3'h6;
+  assign _0293_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2154.7-2154.37" *) 4'he;
+  assign _0294_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2156.8-2156.57" *) 4'h1;
+  assign _0295_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2160.37" *) 4'he;
+  assign _0296_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2162.8-2162.57" *) 4'h1;
+  assign _0297_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2166.7-2166.37" *) 4'he;
+  assign _0298_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2168.8-2168.57" *) 4'h1;
+  assign _0299_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2172.7-2172.37" *) 4'he;
+  assign _0300_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2174.8-2174.57" *) 4'h1;
+  assign _0301_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2178.7-2178.37" *) 4'he;
+  assign _0302_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2180.8-2180.57" *) 4'h1;
+  assign _0303_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2184.7-2184.37" *) 4'he;
+  assign _0304_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2186.8-2186.57" *) 4'h1;
+  assign _0305_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2190.7-2190.37" *) 4'he;
+  assign _0306_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2192.8-2192.57" *) 4'h1;
+  assign _0307_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2196.7-2196.37" *) 4'he;
+  assign _0308_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2198.8-2198.57" *) 4'h1;
+  assign _0309_ = compute_q_rv[18:14] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2240.8-2240.64" *) \compute_regFromMemory$wget [36:32];
+  assign _0310_ = compute_q_rv[18:14] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2242.11-2242.38" *) 5'h02;
+  assign _0311_ = IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2247.8-2248.41" *) \compute_regFromMemory$wget [36:32];
+  assign _0312_ = IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2250.11-2251.8" *) 5'h02;
+  assign _0313_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2264.11-2264.41" *) 4'hc;
+  assign _0314_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2269.11-2269.40" *) 3'h4;
+  assign _0315_ = \fetch_fetched_rv$port1__read [10:3] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2492.7-2492.56" *) 8'he0;
+  assign _0316_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2573.7-2573.53" *) 4'he;
+  assign _0317_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2575.10-2575.56" *) 4'hf;
+  assign _0318_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2581.6-2581.52" *) 4'hf;
+  assign _0319_ = \fetch_fetched_rv$port1__read [17:16] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2582.6-2582.50" *) 2'h2;
+  assign _0320_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2669.7-2669.37" *) 4'he;
+  assign _0321_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2671.10-2671.40" *) 4'hf;
+  assign _0322_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2676.6-2676.36" *) 4'hf;
+  assign _0323_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2677.6-2677.34" *) 2'h2;
+  assign _0324_ = RST_N == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2693.9-2693.22" *) 1'h0;
+  assign _0325_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:581.9-581.35" *) 2'h1;
+  assign _0326_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:583.9-583.35" *) 2'h0;
+  assign _0327_ = memory_waitRead_rv[68:64] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:717.7-717.40" *) 5'h02;
+  assign _0328_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:736.11-736.41" *) 4'hc;
+  assign _0329_ = fetch_cycle == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:781.42-781.62" *) 32'd0;
+  assign _0330_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.42-792.68" *) 2'h2;
+  assign _0331_ = memory_q_rv[6:2] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:793.7-793.31" *) 5'h02;
+  assign _0332_ = memory_waitRead_rv[68:64] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:796.7-796.40" *) 5'h02;
+  assign _0333_ = memory_waitRead_rv[68:64] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:829.7-829.40" *) 5'h02;
+  assign _0334_ = memory_waitRead_rv[68:64] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:831.7-831.40" *) 5'h02;
+  assign _0335_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:900.6-900.35" *) 3'h4;
+  assign _0336_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:902.9-902.39" *) 4'he;
+  assign _0337_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:904.12-904.42" *) 4'hf;
+  assign _0338_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:907.8-907.38" *) 4'hf;
+  assign _0339_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:908.8-908.36" *) 2'h2;
+  assign _0340_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:910.11-910.41" *) 4'hf;
+  assign _0341_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:911.11-911.40" *) 3'h6;
+  assign _0342_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:917.6-917.36" *) 4'hf;
+  assign _0343_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:918.6-918.35" *) 3'h6;
+  assign _0344_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:940.8-940.34" *) 2'h0;
+  assign _0345_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:940.38-940.64" *) 2'h2;
+  assign _0346_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:941.8-941.34" *) 2'h1;
+  assign _0347_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:944.9-944.35" *) 2'h2;
+  assign _0348_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:968.9-968.35" *) 2'h1;
+  assign _0349_ = memory_waitRead_rv[31:0] >= (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:688.7-688.47" *) 32'd536870912;
+  assign _0350_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1023.7-1025.52" *) _1383_;
+  assign _0351_ = _0350_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1023.7-1026.64" *) NOT_IF_fetch_mispredictMemoryF_rv_port1__read__ETC___d174;
+  assign _0352_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1031.7-1033.52" *) _1384_;
+  assign _0353_ = _0352_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1031.7-1034.65" *) _0992_;
+  assign _0354_ = _0353_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1031.7-1035.65" *) _0993_;
+  assign _0355_ = _0354_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1031.7-1037.66" *) _1385_;
+  assign _0356_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1042.7-1044.52" *) _1386_;
+  assign _0357_ = _0356_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1042.7-1045.65" *) _0994_;
+  assign _0358_ = _0357_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1042.7-1046.64" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _0359_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1051.7-1052.37" *) _0058_;
+  assign _0360_ = _0059_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1122.4-1123.35" *) _0060_;
+  assign _0361_ = _1610_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1127.7-1129.39" *) _1388_;
+  assign _0362_ = _1611_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1131.4-1133.36" *) _1389_;
+  assign _0363_ = _0064_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1135.36" *) _1612_;
+  assign _0364_ = _0363_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1136.38" *) _1613_;
+  assign _0365_ = _0364_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1137.38" *) _1614_;
+  assign _0366_ = _0365_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1138.38" *) _1615_;
+  assign _0367_ = _0366_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1139.38" *) _1616_;
+  assign _0368_ = _0367_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1140.43" *) _0065_;
+  assign _0369_ = _0368_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1143.58" *) _1390_;
+  assign _0370_ = _1617_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1142.3-1143.57" *) CASE_compute_q_rv_BITS_53_TO_51_CONCAT_compute_ETC__q9;
+  assign _0371_ = WILL_FIRE_RL_mkConnectionGetPut_1 && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1145.7-1146.65" *) NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1077;
+  assign _0372_ = compute_q_rv[3] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1158.9-1159.67" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0373_ = WILL_FIRE_RL_mkConnectionGetPut_1 && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1169.7-1172.67" *) _1391_;
+  assign _0374_ = _0996_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1171.8-1172.66" *) _0997_;
+  assign _0375_ = EN_dmem_client_response_put && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1174.7-1174.60" *) memory_waitRead_rv[69];
+  assign _0376_ = _0375_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1174.7-1175.40" *) _1618_;
+  assign _0377_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1176.7-1176.67" *) memory_waitRead_rv[69];
+  assign _0378_ = _0377_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1176.7-1177.40" *) _1619_;
+  assign _0379_ = _0068_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.5-1183.56" *) _0998_;
+  assign _0380_ = _0069_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1185.6-1186.35" *) _0070_;
+  assign _0381_ = _0380_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1185.6-1187.22" *) compute_q_rv[65];
+  assign _0382_ = _0071_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.8-1192.59" *) _0999_;
+  assign _0383_ = _0072_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.11-1195.32" *) _0073_;
+  assign _0384_ = _0074_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1197.7-1198.36" *) _0075_;
+  assign _0385_ = _1000_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1202.8-1203.52" *) _1001_;
+  assign _0386_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.11-1207.50" *) _1620_;
+  assign _0387_ = _0386_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.11-1208.49" *) _1621_;
+  assign _0388_ = _0387_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.11-1209.49" *) _1622_;
+  assign _0389_ = _0388_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.11-1210.50" *) _1623_;
+  assign _0390_ = _0389_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.11-1214.51" *) _1395_;
+  assign _0391_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1212.5-1213.49" *) _1625_;
+  assign _0392_ = _0391_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1212.5-1214.50" *) _1626_;
+  assign _0393_ = _1002_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1219.8-1220.52" *) _1003_;
+  assign _0394_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.11-1224.50" *) _1627_;
+  assign _0395_ = _0394_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.11-1225.49" *) _1628_;
+  assign _0396_ = _0395_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.11-1226.49" *) _1629_;
+  assign _0397_ = _0396_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.11-1227.50" *) _1630_;
+  assign _0398_ = _0397_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.11-1231.51" *) _1398_;
+  assign _0399_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1229.5-1230.49" *) _1632_;
+  assign _0400_ = _0399_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1229.5-1231.50" *) _1633_;
+  assign _0401_ = _1004_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1236.8-1237.52" *) _1005_;
+  assign _0402_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.11-1241.50" *) _1634_;
+  assign _0403_ = _0402_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.11-1242.49" *) _1635_;
+  assign _0404_ = _0403_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.11-1243.49" *) _1636_;
+  assign _0405_ = _0404_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.11-1244.50" *) _1637_;
+  assign _0406_ = _0405_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.11-1248.51" *) _1401_;
+  assign _0407_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1246.5-1247.49" *) _1639_;
+  assign _0408_ = _0407_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1246.5-1248.50" *) _1640_;
+  assign _0409_ = _1006_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1253.8-1254.52" *) _1007_;
+  assign _0410_ = _1008_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1260.8-1261.52" *) _1009_;
+  assign _0411_ = _1010_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1267.8-1268.52" *) _1011_;
+  assign _0412_ = _1012_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1277.52" *) _1013_;
+  assign _0413_ = _1014_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1283.8-1284.52" *) _1015_;
+  assign _0414_ = _1641_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1287.11-1288.49" *) _1642_;
+  assign _0415_ = _0414_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1287.11-1289.49" *) _1643_;
+  assign _0416_ = _0415_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1287.11-1290.50" *) _1644_;
+  assign _0417_ = _0416_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1287.11-1294.51" *) _1412_;
+  assign _0418_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.5-1293.49" *) _1646_;
+  assign _0419_ = _0418_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.5-1294.50" *) _1647_;
+  assign _0420_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.7-1296.53" *) _1648_;
+  assign _0421_ = _0420_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.7-1297.52" *) _1649_;
+  assign _0422_ = _0421_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.7-1298.52" *) _1650_;
+  assign _0423_ = _0422_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.7-1299.53" *) _1651_;
+  assign _0424_ = _0423_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.7-1303.54" *) _1415_;
+  assign _0425_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1301.8-1302.52" *) _1653_;
+  assign _0426_ = _0425_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1301.8-1303.53" *) _1654_;
+  assign _0427_ = _0082_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1321.8-1321.59" *) _1016_;
+  assign _0428_ = _0084_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1327.11-1328.32" *) _0085_;
+  assign _0429_ = _0088_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.7-1337.35" *) _0089_;
+  assign _0430_ = _0090_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1341.8-1342.60" *) _1417_;
+  assign _0431_ = _0093_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.9-1350.59" *) compute_q_rv[68];
+  assign _0432_ = memory_q_rv[100] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1378.8-1379.66" *) _1418_;
+  assign _0433_ = _1021_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1384.7-1385.65" *) _1022_;
+  assign _0434_ = _0433_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1384.7-1386.65" *) _1023_;
+  assign _0435_ = _0434_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1384.7-1387.65" *) _1024_;
+  assign _0436_ = _1421_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1389.7-1392.37" *) _0101_;
+  assign _0437_ = _0436_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1389.7-1393.35" *) _0102_;
+  assign _0438_ = _0437_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1389.7-1394.35" *) _0103_;
+  assign _0439_ = _1026_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.8-1391.66" *) _1027_;
+  assign _0440_ = _1422_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1396.7-1399.37" *) _0104_;
+  assign _0441_ = _0440_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1396.7-1400.35" *) _0105_;
+  assign _0442_ = _0441_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1396.7-1401.35" *) _0106_;
+  assign _0443_ = _1029_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1397.8-1398.66" *) _1030_;
+  assign _0444_ = _1423_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1406.37" *) _0107_;
+  assign _0445_ = _0444_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1407.35" *) _0108_;
+  assign _0446_ = _0445_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1408.34" *) _1656_;
+  assign _0447_ = _0446_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1409.34" *) _1657_;
+  assign _0448_ = _0447_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1410.34" *) _1658_;
+  assign _0449_ = _0448_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1411.34" *) _1659_;
+  assign _0450_ = _0449_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1412.34" *) _1660_;
+  assign _0451_ = _0450_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1413.34" *) _1661_;
+  assign _0452_ = _0451_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1414.34" *) _1662_;
+  assign _0453_ = _0452_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1415.34" *) _1663_;
+  assign _0454_ = _0453_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1416.34" *) _1664_;
+  assign _0455_ = _0454_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1417.34" *) _1665_;
+  assign _0456_ = _0455_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1418.35" *) _1666_;
+  assign _0457_ = _0456_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1419.35" *) _1667_;
+  assign _0458_ = _0457_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1420.35" *) _1668_;
+  assign _0459_ = _0458_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1421.35" *) _1669_;
+  assign _0460_ = _0459_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1422.35" *) _1670_;
+  assign _0461_ = _0460_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1423.35" *) _1671_;
+  assign _0462_ = _0461_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1424.35" *) _1672_;
+  assign _0463_ = _0462_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1425.35" *) _1673_;
+  assign _0464_ = _0463_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1426.35" *) _1674_;
+  assign _0465_ = _0464_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1427.35" *) _1675_;
+  assign _0466_ = _0465_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1428.35" *) _1676_;
+  assign _0467_ = _0466_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1429.35" *) _1677_;
+  assign _0468_ = _0467_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1430.35" *) _1678_;
+  assign _0469_ = _0468_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1431.35" *) _1679_;
+  assign _0470_ = _0469_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1432.35" *) _1680_;
+  assign _0471_ = _0470_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1433.35" *) _1681_;
+  assign _0472_ = _0471_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1434.35" *) _1682_;
+  assign _0473_ = _0472_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1435.35" *) _1683_;
+  assign _0474_ = _0473_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1436.35" *) _1684_;
+  assign _0475_ = _0474_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1437.35" *) _1685_;
+  assign _0476_ = _0475_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1438.35" *) _1686_;
+  assign _0477_ = _1032_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1404.8-1405.66" *) _1033_;
+  assign _0478_ = _1424_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1440.7-1443.22" *) compute_q_rv[3];
+  assign _0479_ = _1035_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1441.8-1442.66" *) _1036_;
+  assign _0480_ = _1425_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1445.7-1448.22" *) compute_q_rv[3];
+  assign _0481_ = _0480_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1445.7-1449.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0482_ = _1038_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1446.8-1447.66" *) _1039_;
+  assign _0483_ = _1426_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1451.7-1454.22" *) compute_q_rv[3];
+  assign _0484_ = _0483_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1451.7-1455.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0485_ = _0484_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1451.7-1456.26" *) \compute_alu1$run [0];
+  assign _0486_ = _1041_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1452.8-1453.66" *) _1042_;
+  assign _0487_ = _1427_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1458.7-1461.22" *) compute_q_rv[3];
+  assign _0488_ = _0487_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1458.7-1462.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0489_ = _0488_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1458.7-1463.27" *) _1046_;
+  assign _0490_ = _1044_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1459.8-1460.66" *) _1045_;
+  assign _0491_ = _1428_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1465.7-1468.22" *) compute_q_rv[3];
+  assign _0492_ = _0491_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1465.7-1469.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0493_ = _0492_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1465.7-1470.26" *) \compute_alu1$run [1];
+  assign _0494_ = _1048_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1466.8-1467.66" *) _1049_;
+  assign _0495_ = _1429_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1472.7-1475.22" *) compute_q_rv[3];
+  assign _0496_ = _0495_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1472.7-1476.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0497_ = _0496_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1472.7-1477.27" *) _1053_;
+  assign _0498_ = _1051_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1473.8-1474.66" *) _1052_;
+  assign _0499_ = _1430_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1479.7-1482.22" *) compute_q_rv[3];
+  assign _0500_ = _0499_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1479.7-1483.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0501_ = _0500_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1479.7-1484.26" *) \compute_alu1$run [2];
+  assign _0502_ = _1055_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1480.8-1481.66" *) _1056_;
+  assign _0503_ = _1431_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1486.7-1489.22" *) compute_q_rv[3];
+  assign _0504_ = _0503_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1486.7-1490.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0505_ = _0504_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1486.7-1491.27" *) _1060_;
+  assign _0506_ = _1058_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1487.8-1488.66" *) _1059_;
+  assign _0507_ = _1432_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1493.7-1496.22" *) compute_q_rv[3];
+  assign _0508_ = _0507_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1493.7-1497.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0509_ = _0508_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1493.7-1498.26" *) \compute_alu1$run [3];
+  assign _0510_ = _1062_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1494.8-1495.66" *) _1063_;
+  assign _0511_ = _1433_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1500.7-1503.22" *) compute_q_rv[3];
+  assign _0512_ = _0511_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1500.7-1504.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0513_ = _0512_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1500.7-1505.27" *) _1067_;
+  assign _0514_ = _1065_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1501.8-1502.66" *) _1066_;
+  assign _0515_ = _1434_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1507.7-1510.65" *) compute_q_rv_port0__read__54_BIT_3_89_OR_compu_ETC___d1180;
+  assign _0516_ = _0515_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1507.7-1521.8" *) _1833_;
+  assign _0517_ = _1069_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1508.8-1509.66" *) _1070_;
+  assign _0518_ = _0113_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1517.11-1518.32" *) _0114_;
+  assign _0519_ = _1435_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1523.7-1532.25" *) _1837_;
+  assign _0520_ = _1072_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1524.8-1525.66" *) _1073_;
+  assign _0521_ = _0116_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1528.5-1528.56" *) _1075_;
+  assign _0522_ = _0117_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1530.6-1531.35" *) _0118_;
+  assign _0523_ = _0522_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1530.6-1532.23" *) _1077_;
+  assign _0524_ = _1436_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.7-1537.37" *) _0119_;
+  assign _0525_ = _0524_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.7-1538.34" *) _1687_;
+  assign _0526_ = _0525_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.7-1539.36" *) _1688_;
+  assign _0527_ = _0526_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.7-1540.36" *) _1689_;
+  assign _0528_ = _0527_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.7-1541.36" *) _1690_;
+  assign _0529_ = _0528_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.7-1542.36" *) _1691_;
+  assign _0530_ = _0529_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.7-1543.41" *) _0120_;
+  assign _0531_ = _1079_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1535.8-1536.66" *) _1080_;
+  assign _0532_ = _1437_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1548.37" *) _0121_;
+  assign _0533_ = _0532_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1549.34" *) _1692_;
+  assign _0534_ = _0533_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1550.36" *) _1693_;
+  assign _0535_ = _0534_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1551.36" *) _1694_;
+  assign _0536_ = _0535_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1552.36" *) _1695_;
+  assign _0537_ = _0536_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1553.36" *) _1696_;
+  assign _0538_ = _0537_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1554.41" *) _0122_;
+  assign _0539_ = _0538_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.7-1555.37" *) \rf$computeStatusSource_read [0];
+  assign _0540_ = _1082_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1546.8-1547.66" *) _1083_;
+  assign _0541_ = _1438_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1560.37" *) _0123_;
+  assign _0542_ = _0541_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1561.34" *) _1697_;
+  assign _0543_ = _0542_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1562.36" *) _1698_;
+  assign _0544_ = _0543_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1563.36" *) _1699_;
+  assign _0545_ = _0544_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1564.36" *) _1700_;
+  assign _0546_ = _0545_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1565.36" *) _1701_;
+  assign _0547_ = _0546_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1566.41" *) _0124_;
+  assign _0548_ = _0547_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1567.38" *) _1087_;
+  assign _0549_ = _1085_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1558.8-1559.66" *) _1086_;
+  assign _0550_ = _1439_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1572.37" *) _0125_;
+  assign _0551_ = _0550_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1573.34" *) _1702_;
+  assign _0552_ = _0551_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1574.36" *) _1703_;
+  assign _0553_ = _0552_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1575.36" *) _1704_;
+  assign _0554_ = _0553_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1576.36" *) _1705_;
+  assign _0555_ = _0554_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1577.36" *) _1706_;
+  assign _0556_ = _0555_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1578.41" *) _0126_;
+  assign _0557_ = _0556_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1579.37" *) \rf$computeStatusSource_read [1];
+  assign _0558_ = _1089_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1570.8-1571.66" *) _1090_;
+  assign _0559_ = _1440_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1584.37" *) _0127_;
+  assign _0560_ = _0559_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1585.34" *) _1707_;
+  assign _0561_ = _0560_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1586.36" *) _1708_;
+  assign _0562_ = _0561_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1587.36" *) _1709_;
+  assign _0563_ = _0562_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1588.36" *) _1710_;
+  assign _0564_ = _0563_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1589.36" *) _1711_;
+  assign _0565_ = _0564_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1590.41" *) _0128_;
+  assign _0566_ = _0565_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1591.38" *) _1094_;
+  assign _0567_ = _1092_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1582.8-1583.66" *) _1093_;
+  assign _0568_ = _1441_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1596.37" *) _0129_;
+  assign _0569_ = _0568_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1597.34" *) _1712_;
+  assign _0570_ = _0569_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1598.36" *) _1713_;
+  assign _0571_ = _0570_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1599.36" *) _1714_;
+  assign _0572_ = _0571_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1600.36" *) _1715_;
+  assign _0573_ = _0572_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1601.36" *) _1716_;
+  assign _0574_ = _0573_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1602.41" *) _0130_;
+  assign _0575_ = _0574_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1603.37" *) \rf$computeStatusSource_read [2];
+  assign _0576_ = _1096_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1594.8-1595.66" *) _1097_;
+  assign _0577_ = _1442_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1608.37" *) _0131_;
+  assign _0578_ = _0577_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1609.34" *) _1717_;
+  assign _0579_ = _0578_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1610.36" *) _1718_;
+  assign _0580_ = _0579_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1611.36" *) _1719_;
+  assign _0581_ = _0580_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1612.36" *) _1720_;
+  assign _0582_ = _0581_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1613.36" *) _1721_;
+  assign _0583_ = _0582_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1614.41" *) _0132_;
+  assign _0584_ = _0583_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1615.38" *) _1101_;
+  assign _0585_ = _1099_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1606.8-1607.66" *) _1100_;
+  assign _0586_ = _1443_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1620.37" *) _0133_;
+  assign _0587_ = _0586_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1621.34" *) _1722_;
+  assign _0588_ = _0587_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1622.36" *) _1723_;
+  assign _0589_ = _0588_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1623.36" *) _1724_;
+  assign _0590_ = _0589_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1624.36" *) _1725_;
+  assign _0591_ = _0590_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1625.36" *) _1726_;
+  assign _0592_ = _0591_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1626.41" *) _0134_;
+  assign _0593_ = _0592_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1627.37" *) \rf$computeStatusSource_read [3];
+  assign _0594_ = _1103_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1618.8-1619.66" *) _1104_;
+  assign _0595_ = _1444_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1632.37" *) _0135_;
+  assign _0596_ = _0595_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1633.34" *) _1727_;
+  assign _0597_ = _0596_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1634.36" *) _1728_;
+  assign _0598_ = _0597_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1635.36" *) _1729_;
+  assign _0599_ = _0598_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1636.36" *) _1730_;
+  assign _0600_ = _0599_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1637.36" *) _1731_;
+  assign _0601_ = _0600_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1638.41" *) _0136_;
+  assign _0602_ = _0601_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1639.38" *) _1108_;
+  assign _0603_ = _1106_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1630.8-1631.66" *) _1107_;
+  assign _0604_ = _1445_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1641.7-1644.37" *) _0137_;
+  assign _0605_ = _0604_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1641.7-1647.63" *) _1446_;
+  assign _0606_ = _1110_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1642.8-1643.66" *) _1111_;
+  assign _0607_ = _1732_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1646.8-1647.62" *) CASE_compute_q_rv_BITS_78_TO_76_CONCAT_compute_ETC__q2;
+  assign _0608_ = _1447_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1649.7-1652.37" *) _0139_;
+  assign _0609_ = _0608_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1649.7-1653.56" *) _1733_;
+  assign _0610_ = _0609_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1649.7-1655.66" *) _1448_;
+  assign _0611_ = _1113_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.8-1651.66" *) _1114_;
+  assign _0612_ = _1449_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1657.7-1660.37" *) _0141_;
+  assign _0613_ = _0612_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1657.7-1661.56" *) _0142_;
+  assign _0614_ = _1116_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.8-1659.66" *) _1117_;
+  assign _0615_ = _1450_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.7-1666.37" *) _0143_;
+  assign _0616_ = _0615_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.7-1667.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0617_ = _0616_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.7-1668.56" *) _0144_;
+  assign _0618_ = _1119_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1664.8-1665.66" *) _1120_;
+  assign _0619_ = _1451_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1670.7-1673.37" *) _0145_;
+  assign _0620_ = _0619_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1670.7-1674.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0621_ = _0620_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1670.7-1675.56" *) _0146_;
+  assign _0622_ = _1122_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1671.8-1672.66" *) _1123_;
+  assign _0623_ = _1452_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1677.7-1680.37" *) _0147_;
+  assign _0624_ = _0623_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1677.7-1681.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0625_ = _0624_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1677.7-1682.56" *) _0148_;
+  assign _0626_ = _1125_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1678.8-1679.66" *) _1126_;
+  assign _0627_ = _1453_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1684.7-1687.37" *) _0149_;
+  assign _0628_ = _0627_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1684.7-1688.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0629_ = _0628_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1684.7-1689.56" *) _0150_;
+  assign _0630_ = _1128_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1685.8-1686.66" *) _1129_;
+  assign _0631_ = _1454_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1691.7-1694.37" *) _0151_;
+  assign _0632_ = _0631_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1691.7-1695.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0633_ = _0632_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1691.7-1696.56" *) _0152_;
+  assign _0634_ = _1131_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1692.8-1693.66" *) _1132_;
+  assign _0635_ = _1455_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1698.7-1701.37" *) _0153_;
+  assign _0636_ = _0635_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1698.7-1702.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0637_ = _0636_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1698.7-1703.56" *) _0154_;
+  assign _0638_ = _1134_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1699.8-1700.66" *) _1135_;
+  assign _0639_ = _1456_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1705.7-1708.37" *) _0155_;
+  assign _0640_ = _0639_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1705.7-1709.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0641_ = _0640_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1705.7-1710.56" *) _0156_;
+  assign _0642_ = _1137_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1706.8-1707.66" *) _1138_;
+  assign _0643_ = _0645_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1712.7-1717.56" *) _0158_;
+  assign _0644_ = _1457_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1712.7-1715.37" *) _0157_;
+  assign _0645_ = _0644_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1712.7-1716.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0646_ = _1140_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1713.8-1714.66" *) _1141_;
+  assign _0647_ = _1458_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1719.7-1722.37" *) _0159_;
+  assign _0648_ = _0647_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1719.7-1723.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0649_ = _0648_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1719.7-1724.57" *) _0160_;
+  assign _0650_ = _1143_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1720.8-1721.66" *) _1144_;
+  assign _0651_ = _1459_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1726.7-1729.37" *) _0161_;
+  assign _0652_ = _0651_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1726.7-1730.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0653_ = _0652_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1726.7-1731.57" *) _0162_;
+  assign _0654_ = _1146_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1727.8-1728.66" *) _1147_;
+  assign _0655_ = _1460_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1733.7-1736.37" *) _0163_;
+  assign _0656_ = _0655_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1733.7-1737.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0657_ = _0656_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1733.7-1738.57" *) _0164_;
+  assign _0658_ = _1149_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1734.8-1735.66" *) _1150_;
+  assign _0659_ = _1461_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1740.7-1743.37" *) _0165_;
+  assign _0660_ = _0659_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1740.7-1744.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0661_ = _0660_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1740.7-1745.57" *) _0166_;
+  assign _0662_ = _1152_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1741.8-1742.66" *) _1153_;
+  assign _0663_ = _1462_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1747.7-1750.37" *) _0167_;
+  assign _0664_ = _0663_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1747.7-1751.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0665_ = _0664_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1747.7-1752.57" *) _0168_;
+  assign _0666_ = _1155_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1748.8-1749.66" *) _1156_;
+  assign _0667_ = _1463_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1757.37" *) _0169_;
+  assign _0668_ = _0667_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1758.56" *) _1734_;
+  assign _0669_ = _0668_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1759.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0670_ = _0669_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1760.56" *) _1735_;
+  assign _0671_ = _0670_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1761.56" *) _1736_;
+  assign _0672_ = _0671_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1762.56" *) _1737_;
+  assign _0673_ = _0672_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1763.56" *) _1738_;
+  assign _0674_ = _0673_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1764.56" *) _1739_;
+  assign _0675_ = _0674_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1765.56" *) _1740_;
+  assign _0676_ = _0675_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1766.56" *) _1741_;
+  assign _0677_ = _0676_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1767.56" *) _1742_;
+  assign _0678_ = _0677_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1768.56" *) _1743_;
+  assign _0679_ = _0678_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1769.57" *) _1744_;
+  assign _0680_ = _0679_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1770.57" *) _1745_;
+  assign _0681_ = _0680_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1771.57" *) _1746_;
+  assign _0682_ = _0681_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1772.57" *) _1747_;
+  assign _0683_ = _0682_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1773.57" *) _1748_;
+  assign _0684_ = _1158_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1755.8-1756.66" *) _1159_;
+  assign _0685_ = _1464_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1775.7-1778.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d817;
+  assign _0686_ = _1161_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1776.8-1777.66" *) _1162_;
+  assign _0687_ = _1465_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1780.7-1783.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d822;
+  assign _0688_ = _1164_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1781.8-1782.66" *) _1165_;
+  assign _0689_ = _1466_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1785.7-1788.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d827;
+  assign _0690_ = _1167_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1786.8-1787.66" *) _1168_;
+  assign _0691_ = _1467_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1790.7-1793.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d832;
+  assign _0692_ = _1170_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1791.8-1792.66" *) _1171_;
+  assign _0693_ = _1468_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1795.7-1798.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d837;
+  assign _0694_ = _1173_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1796.8-1797.66" *) _1174_;
+  assign _0695_ = _1469_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1800.7-1803.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d842;
+  assign _0696_ = _1176_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1801.8-1802.66" *) _1177_;
+  assign _0697_ = _1470_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1805.7-1808.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d847;
+  assign _0698_ = _1179_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1806.8-1807.66" *) _1180_;
+  assign _0699_ = _1471_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1810.7-1813.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d852;
+  assign _0700_ = _1182_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1811.8-1812.66" *) _1183_;
+  assign _0701_ = _1472_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.7-1818.37" *) _0170_;
+  assign _0702_ = _0701_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.7-1819.35" *) _0171_;
+  assign _0703_ = _1185_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1816.8-1817.66" *) _1186_;
+  assign _0704_ = _1473_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1821.7-1824.37" *) _0172_;
+  assign _0705_ = _0704_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1821.7-1825.35" *) _0173_;
+  assign _0706_ = _0705_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1821.7-1826.34" *) _0174_;
+  assign _0707_ = _1188_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1822.8-1823.66" *) _1189_;
+  assign _0708_ = _1474_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1828.7-1831.37" *) _0175_;
+  assign _0709_ = _0708_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1828.7-1832.35" *) _0176_;
+  assign _0710_ = _0709_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1828.7-1833.34" *) _0177_;
+  assign _0711_ = _1191_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1829.8-1830.66" *) _1192_;
+  assign _0712_ = _1475_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1835.7-1838.37" *) _0178_;
+  assign _0713_ = _0712_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1835.7-1839.35" *) _0179_;
+  assign _0714_ = _0713_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1835.7-1840.34" *) _0180_;
+  assign _0715_ = _1194_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1836.8-1837.66" *) _1195_;
+  assign _0716_ = _1476_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1842.7-1845.37" *) _0181_;
+  assign _0717_ = _0716_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1842.7-1846.35" *) _0182_;
+  assign _0718_ = _0717_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1842.7-1847.34" *) _0183_;
+  assign _0719_ = _1197_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1843.8-1844.66" *) _1198_;
+  assign _0720_ = _1477_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1849.7-1852.37" *) _0184_;
+  assign _0721_ = _0720_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1849.7-1853.35" *) _0185_;
+  assign _0722_ = _0721_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1849.7-1854.34" *) _0186_;
+  assign _0723_ = _1200_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1850.8-1851.66" *) _1201_;
+  assign _0724_ = _1478_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1856.7-1859.37" *) _0187_;
+  assign _0725_ = _0724_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1856.7-1860.35" *) _0188_;
+  assign _0726_ = _0725_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1856.7-1861.34" *) _0189_;
+  assign _0727_ = _1203_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1857.8-1858.66" *) _1204_;
+  assign _0728_ = _1479_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1863.7-1866.37" *) _0190_;
+  assign _0729_ = _0728_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1863.7-1867.35" *) _0191_;
+  assign _0730_ = _0729_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1863.7-1868.34" *) _0192_;
+  assign _0731_ = _1206_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1864.8-1865.66" *) _1207_;
+  assign _0732_ = _1480_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1870.7-1873.37" *) _0193_;
+  assign _0733_ = _0732_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1870.7-1874.35" *) _0194_;
+  assign _0734_ = _0733_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1870.7-1875.34" *) _0195_;
+  assign _0735_ = _1209_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1871.8-1872.66" *) _1210_;
+  assign _0736_ = _1481_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1877.7-1880.37" *) _0196_;
+  assign _0737_ = _0736_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1877.7-1881.35" *) _0197_;
+  assign _0738_ = _0737_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1877.7-1882.34" *) _0198_;
+  assign _0739_ = _1212_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1878.8-1879.66" *) _1213_;
+  assign _0740_ = _1482_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1884.7-1887.37" *) _0199_;
+  assign _0741_ = _0740_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1884.7-1888.35" *) _0200_;
+  assign _0742_ = _0741_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1884.7-1889.34" *) _0201_;
+  assign _0743_ = _1215_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1885.8-1886.66" *) _1216_;
+  assign _0744_ = _1483_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1891.7-1894.37" *) _0202_;
+  assign _0745_ = _0744_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1891.7-1895.35" *) _0203_;
+  assign _0746_ = _0745_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1891.7-1896.35" *) _0204_;
+  assign _0747_ = _1218_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1892.8-1893.66" *) _1219_;
+  assign _0748_ = _1484_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1898.7-1901.37" *) _0205_;
+  assign _0749_ = _0748_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1898.7-1902.35" *) _0206_;
+  assign _0750_ = _0749_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1898.7-1903.35" *) _0207_;
+  assign _0751_ = _1221_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1899.8-1900.66" *) _1222_;
+  assign _0752_ = _1485_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1905.7-1908.37" *) _0208_;
+  assign _0753_ = _0752_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1905.7-1909.35" *) _0209_;
+  assign _0754_ = _0753_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1905.7-1910.35" *) _0210_;
+  assign _0755_ = _1224_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1906.8-1907.66" *) _1225_;
+  assign _0756_ = _1486_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1912.7-1915.37" *) _0211_;
+  assign _0757_ = _0756_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1912.7-1916.35" *) _0212_;
+  assign _0758_ = _0757_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1912.7-1917.35" *) _0213_;
+  assign _0759_ = _1227_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1913.8-1914.66" *) _1228_;
+  assign _0760_ = _1487_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1919.7-1922.37" *) _0214_;
+  assign _0761_ = _0760_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1919.7-1923.35" *) _0215_;
+  assign _0762_ = _0761_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1919.7-1924.35" *) _0216_;
+  assign _0763_ = _1230_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1920.8-1921.66" *) _1231_;
+  assign _0764_ = _1488_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1926.7-1929.37" *) _0217_;
+  assign _0765_ = _0764_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1926.7-1930.35" *) _0218_;
+  assign _0766_ = _0765_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1926.7-1931.35" *) _0219_;
+  assign _0767_ = _1233_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1927.8-1928.66" *) _1234_;
+  assign _0768_ = _1489_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1933.7-1936.37" *) _0220_;
+  assign _0769_ = _0768_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1933.7-1937.35" *) _0221_;
+  assign _0770_ = _0769_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1933.7-1938.35" *) _0222_;
+  assign _0771_ = _1236_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1934.8-1935.66" *) _1237_;
+  assign _0772_ = _1490_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1940.7-1943.37" *) _0223_;
+  assign _0773_ = _0772_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1940.7-1944.35" *) _0224_;
+  assign _0774_ = _0773_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1940.7-1945.35" *) _0225_;
+  assign _0775_ = _1239_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1941.8-1942.66" *) _1240_;
+  assign _0776_ = _1491_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1947.7-1950.37" *) _0226_;
+  assign _0777_ = _0776_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1947.7-1951.35" *) _0227_;
+  assign _0778_ = _0777_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1947.7-1952.35" *) _0228_;
+  assign _0779_ = _1242_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1948.8-1949.66" *) _1243_;
+  assign _0780_ = _1492_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1954.7-1957.37" *) _0229_;
+  assign _0781_ = _0780_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1954.7-1958.35" *) _0230_;
+  assign _0782_ = _0781_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1954.7-1959.35" *) _0231_;
+  assign _0783_ = _1245_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1955.8-1956.66" *) _1246_;
+  assign _0784_ = _1493_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1961.7-1964.37" *) _0232_;
+  assign _0785_ = _0784_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1961.7-1965.35" *) _0233_;
+  assign _0786_ = _0785_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1961.7-1966.35" *) _0234_;
+  assign _0787_ = _1248_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1962.8-1963.66" *) _1249_;
+  assign _0788_ = _1494_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1968.7-1971.37" *) _0235_;
+  assign _0789_ = _0788_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1968.7-1972.35" *) _0236_;
+  assign _0790_ = _0789_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1968.7-1973.35" *) _0237_;
+  assign _0791_ = _1251_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1969.8-1970.66" *) _1252_;
+  assign _0792_ = _1495_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1975.7-1978.37" *) _0238_;
+  assign _0793_ = _0792_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1975.7-1979.35" *) _0239_;
+  assign _0794_ = _0793_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1975.7-1980.35" *) _0240_;
+  assign _0795_ = _1254_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1976.8-1977.66" *) _1255_;
+  assign _0796_ = _1496_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1982.7-1985.37" *) _0241_;
+  assign _0797_ = _0796_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1982.7-1986.35" *) _0242_;
+  assign _0798_ = _0797_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1982.7-1987.35" *) _0243_;
+  assign _0799_ = _1257_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1983.8-1984.66" *) _1258_;
+  assign _0800_ = _1497_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1989.7-1992.37" *) _0244_;
+  assign _0801_ = _0800_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1989.7-1993.35" *) _0245_;
+  assign _0802_ = _0801_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1989.7-1994.35" *) _0246_;
+  assign _0803_ = _1260_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1990.8-1991.66" *) _1261_;
+  assign _0804_ = _1498_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1996.7-1999.37" *) _0247_;
+  assign _0805_ = _0804_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1996.7-2000.35" *) _0248_;
+  assign _0806_ = _0805_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1996.7-2001.35" *) _0249_;
+  assign _0807_ = _1263_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1997.8-1998.66" *) _1264_;
+  assign _0808_ = _1499_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2003.7-2006.37" *) _0250_;
+  assign _0809_ = _0808_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2003.7-2007.35" *) _0251_;
+  assign _0810_ = _0809_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2003.7-2008.35" *) _0252_;
+  assign _0811_ = _1266_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2004.8-2005.66" *) _1267_;
+  assign _0812_ = _1500_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2010.7-2013.37" *) _0253_;
+  assign _0813_ = _0812_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2010.7-2014.35" *) _0254_;
+  assign _0814_ = _0813_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2010.7-2015.35" *) _0255_;
+  assign _0815_ = _1269_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2011.8-2012.66" *) _1270_;
+  assign _0816_ = _1501_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2017.7-2020.37" *) _0256_;
+  assign _0817_ = _0816_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2017.7-2021.35" *) _0257_;
+  assign _0818_ = _0817_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2017.7-2022.35" *) _0258_;
+  assign _0819_ = _1272_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2018.8-2019.66" *) _1273_;
+  assign _0820_ = _1274_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.8-2025.52" *) _1275_;
+  assign _0821_ = _1503_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.7-2035.56" *) _1508_;
+  assign _0822_ = _0821_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.7-2036.39" *) \fetch_fetched_rv$port1__read [17];
+  assign _0823_ = _0263_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2035.55" *) _1509_;
+  assign _0824_ = _1277_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.8-2039.52" *) _1278_;
+  assign _0825_ = _1511_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.7-2050.56" *) _1516_;
+  assign _0826_ = _0825_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.7-2051.39" *) \fetch_fetched_rv$port1__read [16];
+  assign _0827_ = _0270_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2050.55" *) _1518_;
+  assign _0828_ = _1281_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.8-2054.52" *) _1282_;
+  assign _0829_ = _1520_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.7-2057.52" *) _1749_;
+  assign _0830_ = _0829_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.7-2058.52" *) _1750_;
+  assign _0831_ = _0830_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.7-2059.53" *) _1751_;
+  assign _0832_ = _0831_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.7-2063.54" *) _1521_;
+  assign _0833_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2061.8-2062.52" *) _1753_;
+  assign _0834_ = _0833_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2061.8-2063.53" *) _1754_;
+  assign _0835_ = compute_memoryRegisterLoad[5] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2095.7-2097.66" *) _1529_;
+  assign _0836_ = compute_memoryRegisterLoad[5] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2103.66" *) _1535_;
+  assign _0837_ = _0277_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2108.7-2109.58" *) _1536_;
+  assign _0838_ = compute_memoryRegisterLoad[5] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2111.7-2113.66" *) _1540_;
+  assign _0839_ = _1286_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2114.7-2125.27" *) _1842_;
+  assign _0840_ = _1755_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.6-2120.65" *) _1541_;
+  assign _0841_ = _1542_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2121.6-2125.25" *) _1544_;
+  assign _0842_ = _0284_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.11-2133.32" *) _0285_;
+  assign _0843_ = _1762_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2148.6-2149.60" *) CASE_compute_q_rv_BITS_78_TO_76_CONCAT_compute_ETC__q2;
+  assign _0844_ = _0290_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2150.6-2152.57" *) _1547_;
+  assign _0845_ = _0292_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2152.7-2152.56" *) compute_q_rv[61];
+  assign _0846_ = _0293_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2154.7-2155.56" *) _1763_;
+  assign _0847_ = _0846_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2154.7-2157.66" *) _1548_;
+  assign _0848_ = _0847_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2154.7-2158.37" *) \rf$computeStatusSource_read [0];
+  assign _0849_ = _0295_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2161.56" *) _1764_;
+  assign _0850_ = _0849_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2163.66" *) _1549_;
+  assign _0851_ = _0850_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2164.38" *) _1290_;
+  assign _0852_ = _0297_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2166.7-2167.56" *) _1765_;
+  assign _0853_ = _0852_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2166.7-2169.66" *) _1550_;
+  assign _0854_ = _0853_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2166.7-2170.37" *) \rf$computeStatusSource_read [1];
+  assign _0855_ = _0299_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2172.7-2173.56" *) _1766_;
+  assign _0856_ = _0855_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2172.7-2175.66" *) _1551_;
+  assign _0857_ = _0856_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2172.7-2176.38" *) _1291_;
+  assign _0858_ = _0301_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2178.7-2179.56" *) _1767_;
+  assign _0859_ = _0858_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2178.7-2181.66" *) _1552_;
+  assign _0860_ = _0859_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2178.7-2182.37" *) \rf$computeStatusSource_read [2];
+  assign _0861_ = _0303_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2184.7-2185.56" *) _1768_;
+  assign _0862_ = _0861_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2184.7-2187.66" *) _1553_;
+  assign _0863_ = _0862_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2184.7-2188.38" *) _1292_;
+  assign _0864_ = _0305_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2190.7-2191.56" *) _1769_;
+  assign _0865_ = _0864_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2190.7-2193.66" *) _1554_;
+  assign _0866_ = _0865_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2190.7-2194.37" *) \rf$computeStatusSource_read [3];
+  assign _0867_ = _0307_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2196.7-2197.56" *) _1770_;
+  assign _0868_ = _0867_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2196.7-2199.66" *) _1555_;
+  assign _0869_ = _0868_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2196.7-2200.38" *) _1293_;
+  assign _0870_ = _1556_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2210.7-2212.65" *) _1294_;
+  assign _0871_ = _0870_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2210.7-2213.65" *) _1295_;
+  assign _0872_ = _0871_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2210.7-2214.64" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d154;
+  assign _0873_ = _1557_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2216.7-2218.65" *) _1296_;
+  assign _0874_ = _0873_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2216.7-2219.65" *) _1297_;
+  assign _0875_ = _1771_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2220.7-2221.52" *) _1772_;
+  assign _0876_ = _0875_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2220.7-2222.52" *) _1773_;
+  assign _0877_ = _0876_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2220.7-2223.53" *) _1774_;
+  assign _0878_ = _0877_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2220.7-2227.54" *) _1559_;
+  assign _0879_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.8-2226.52" *) _1776_;
+  assign _0880_ = _0879_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.8-2227.53" *) _1777_;
+  assign _0881_ = \compute_regFromMemory$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2239.8-2240.64" *) _0309_;
+  assign _0882_ = \compute_regFromMemory$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2246.8-2248.41" *) _0311_;
+  assign _0883_ = _1298_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2282.8-2283.52" *) _1299_;
+  assign _0884_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.11-2287.50" *) _1778_;
+  assign _0885_ = _0884_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.11-2288.49" *) _1779_;
+  assign _0886_ = _0885_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.11-2289.49" *) _1780_;
+  assign _0887_ = _0886_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.11-2290.50" *) _1781_;
+  assign _0888_ = _0887_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.11-2294.51" *) _1563_;
+  assign _0889_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2292.5-2293.49" *) _1783_;
+  assign _0890_ = _0889_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2292.5-2294.50" *) _1784_;
+  assign _0891_ = \rf$computeStatusSource_read [3] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2314.8-2315.39" *) _1301_;
+  assign _0892_ = _1565_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2342.8-2345.40" *) _1566_;
+  assign _0893_ = _1567_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2348.8-2351.41" *) _1568_;
+  assign _0894_ = _1570_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2354.8-2359.40" *) _1572_;
+  assign _0895_ = _1312_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2361.6-2363.38" *) _1573_;
+  assign _0896_ = _0895_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2361.6-2365.39" *) _1574_;
+  assign _0897_ = \rf$computeStatusSource_read [3] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2414.8-2415.39" *) _1315_;
+  assign _0898_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2446.8-2447.38" *) \rf$computeStatusSource_read [2];
+  assign _0899_ = _1321_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2448.8-2449.39" *) _1322_;
+  assign _0900_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2452.8-2453.39" *) _1323_;
+  assign _0901_ = _1324_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2454.8-2455.38" *) \rf$computeStatusSource_read [2];
+  assign _0902_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2458.8-2459.38" *) \rf$computeStatusSource_read [2];
+  assign _0903_ = _0902_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2458.8-2460.39" *) _1325_;
+  assign _0904_ = _1326_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2461.8-2462.39" *) _1327_;
+  assign _0905_ = _0904_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2461.8-2463.39" *) _1328_;
+  assign _0906_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2466.6-2467.37" *) _1329_;
+  assign _0907_ = _1330_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2468.6-2469.36" *) \rf$computeStatusSource_read [2];
+  assign _0908_ = _0317_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2575.10-2576.43" *) _1331_;
+  assign _0909_ = _0318_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2581.6-2582.50" *) _0319_;
+  assign _0910_ = \rf$computeStatusSource_read [3] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2598.8-2599.39" *) _1332_;
+  assign _0911_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2630.8-2631.38" *) \rf$computeStatusSource_read [2];
+  assign _0912_ = _1338_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2632.8-2633.39" *) _1339_;
+  assign _0913_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2636.8-2637.39" *) _1340_;
+  assign _0914_ = _1341_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2638.8-2639.38" *) \rf$computeStatusSource_read [2];
+  assign _0915_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2642.8-2643.38" *) \rf$computeStatusSource_read [2];
+  assign _0916_ = _0915_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2642.8-2644.39" *) _1342_;
+  assign _0917_ = _1343_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2645.8-2646.39" *) _1344_;
+  assign _0918_ = _0917_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2645.8-2647.39" *) _1345_;
+  assign _0919_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2650.6-2651.37" *) _1346_;
+  assign _0920_ = _1347_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2652.6-2653.36" *) \rf$computeStatusSource_read [2];
+  assign _0921_ = _0321_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2671.10-2671.61" *) _1348_;
+  assign _0922_ = _0322_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2676.6-2677.34" *) _0323_;
+  assign _0923_ = _0925_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:586.7-588.63" *) IF_memory_q_rv_port0__read__5_BIT_100_6_AND_me_ETC___d61;
+  assign _0924_ = memory_q_rv[100] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:586.7-586.48" *) _1349_;
+  assign _0925_ = _0924_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:586.7-587.63" *) memory_q_rv_port0__read__5_BITS_99_TO_68_6_ULT_ETC___d67;
+  assign _0926_ = memory_waitRead_rv[70] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:592.7-594.40" *) _1588_;
+  assign _0927_ = \fetch_fetchPC$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:600.7-600.57" *) _1608_;
+  assign _0928_ = _0927_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:600.7-601.44" *) _1352_;
+  assign _0929_ = fetch_pcRequested_rv[32] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.7-606.48" *) _1609_;
+  assign _0930_ = _0929_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.7-607.28" *) _1353_;
+  assign _0931_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:611.7-612.44" *) \memory_sysmemMaster_outgoing$wget [69];
+  assign _0932_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:616.7-617.44" *) \memory_sysmemMaster_outgoing$wget [69];
+  assign _0933_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:644.7-645.44" *) \memory_sysmemMaster_outgoing$wget [69];
+  assign _0934_ = _0933_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:644.7-646.44" *) \memory_sysmemMaster_outgoing$wget [36];
+  assign _0935_ = memory_waitRead_rv[70] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:685.7-685.66" *) memory_delaySysmemResponse_rv[33];
+  assign _0936_ = _0935_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:685.7-687.40" *) _1593_;
+  assign _0937_ = _0936_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:685.7-688.47" *) _0349_;
+  assign _0938_ = _0937_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:685.7-689.35" *) _1362_;
+  assign _0939_ = memory_q_rv[100] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:693.7-693.59" *) _1363_;
+  assign _0940_ = _0939_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:693.7-694.63" *) IF_memory_q_rv_port0__read__5_BIT_100_6_AND_me_ETC___d61;
+  assign _0941_ = _0940_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:693.7-695.28" *) _1364_;
+  assign _0942_ = _0941_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:693.7-696.64" *) _1365_;
+  assign _0943_ = compute_q_rv[83] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:700.7-700.56" *) _1366_;
+  assign _0944_ = _0943_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:700.7-701.64" *) compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d497;
+  assign _0945_ = _1787_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.7-705.63" *) \fetch_fetched_rv$port1__read [64];
+  assign _0946_ = _0945_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.7-706.36" *) _1367_;
+  assign _0947_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-710.67" *) memory_waitRead_rv[69];
+  assign _0948_ = _0947_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-711.40" *) _1788_;
+  assign _0949_ = EN_dmem_client_response_put && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:713.7-713.60" *) memory_waitRead_rv[69];
+  assign _0950_ = _0949_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:713.7-714.40" *) _1789_;
+  assign _0951_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:716.7-716.67" *) memory_waitRead_rv[69];
+  assign _0952_ = _0951_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:716.7-717.40" *) _0327_;
+  assign _0953_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:753.7-757.66" *) _1595_;
+  assign _0954_ = _1368_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:754.8-755.52" *) _1369_;
+  assign _0955_ = \_dor1memory_responseRegProbe$EN__write  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:776.7-777.29" *) memory_waitRead_rv[69];
+  assign _0956_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:787.7-787.67" *) memory_waitRead_rv[69];
+  assign _0957_ = _0956_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:787.7-788.40" *) _1790_;
+  assign _0958_ = EN_dmem_client_response_put && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:789.7-789.60" *) memory_waitRead_rv[69];
+  assign _0959_ = _0958_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:789.7-790.40" *) _1791_;
+  assign _0960_ = _0961_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-793.31" *) _0331_;
+  assign _0961_ = \_dor1memory_startPCLoad$EN_wset  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-792.68" *) _0330_;
+  assign _0962_ = \_dor1memory_stopPCLoad$EN_wset  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:795.7-795.63" *) memory_waitRead_rv[69];
+  assign _0963_ = _0962_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:795.7-796.40" *) _0332_;
+  assign _0964_ = sysmem_client_ack_i && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.8-800.51" *) _1370_;
+  assign _0965_ = _0964_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.8-801.28" *) _1371_;
+  assign _0966_ = \_dor1memory_busyResp$EN_wset  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:810.7-810.61" *) memory_waitRead_rv[69];
+  assign _0967_ = WILL_FIRE_RL_mkConnectionGetPut_1 && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:812.7-813.65" *) NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1183;
+  assign _0968_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:821.7-822.50" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _0969_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:828.7-828.67" *) memory_waitRead_rv[69];
+  assign _0970_ = _0969_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:828.7-829.40" *) _0333_;
+  assign _0971_ = EN_dmem_client_response_put && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:830.7-830.60" *) memory_waitRead_rv[69];
+  assign _0972_ = _0971_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:830.7-831.40" *) _0334_;
+  assign _0973_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:841.7-842.49" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _0974_ = WILL_FIRE_RL_mkConnectionGetPut_1 && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:867.7-870.67" *) _1602_;
+  assign _0975_ = _1373_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:869.8-870.66" *) _1374_;
+  assign _0976_ = _0337_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:904.12-905.22" *) _1375_;
+  assign _0977_ = _0338_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:907.8-908.36" *) _0339_;
+  assign _0978_ = _0340_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:910.11-911.40" *) _0341_;
+  assign _0979_ = _0342_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:917.6-918.35" *) _0343_;
+  assign _0980_ = compute_q_rv[64] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:919.9-919.45" *) compute_q_rv[65];
+  assign _0981_ = _1376_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:921.12-921.49" *) compute_q_rv[65];
+  assign _0982_ = compute_q_rv[64] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:923.8-923.45" *) _1377_;
+  assign _0983_ = \_dor1memory_waitRead_rv$EN_port1__write  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:939.7-941.35" *) _1606_;
+  assign _0984_ = \memory_sysmemMaster_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:957.7-958.53" *) _1378_;
+  assign _0985_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:976.7-977.39" *) _1379_;
+  assign _0986_ = _0985_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:976.7-978.40" *) \memory_sysmemMaster_incoming$whas ;
+  assign _0987_ = _0986_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:976.7-979.44" *) \memory_sysmemMaster_incoming$wget [32];
+  assign _0988_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:985.7-986.39" *) _1380_;
+  assign _0989_ = _0988_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:985.7-987.40" *) \memory_sysmemMaster_incoming$whas ;
+  assign _0990_ = _0989_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:985.7-988.44" *) \memory_sysmemMaster_incoming$wget [32];
+  assign _0991_ = \memory_sysmemMaster_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:998.7-999.53" *) _1382_;
+  assign _0992_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1034.7-1034.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _0993_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1035.7-1035.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _0994_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1045.7-1045.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _0995_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1170.8-1170.38" *) compute_memoryRegisterLoad[5];
+  assign _0996_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1171.8-1171.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _0997_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1172.8-1172.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _0998_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.39-1183.56" *) compute_q_rv[68];
+  assign _0999_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.42-1192.59" *) compute_q_rv[68];
+  assign _1000_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1202.8-1202.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1001_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1203.8-1203.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1002_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1219.8-1219.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1003_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1220.8-1220.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1004_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1236.8-1236.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1005_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1237.8-1237.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1006_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1253.8-1253.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1007_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1254.8-1254.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1008_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1260.8-1260.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1009_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1261.8-1261.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1010_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1267.8-1267.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1011_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1268.8-1268.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
   assign _1012_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1276.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
   assign _1013_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1277.8-1277.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1014_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1285.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
-  assign _1015_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1286.8-1286.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1016_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1292.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
-  assign _1017_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1293.8-1293.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1018_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1330.42-1330.59" *) compute_q_rv[68];
-  assign _1019_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1351.9-1351.26" *) compute_q_rv[68];
-  assign _1020_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1389.9-1389.44" *) \memory_waitRead_rv$port1__read [70];
-  assign _1021_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.9-1390.26" *) memory_q_rv[100];
-  assign _1022_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1391.9-1391.44" *) \memory_waitRead_rv$port1__read [70];
-  assign _1023_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1393.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1024_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1394.7-1394.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1025_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1395.7-1395.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d154;
-  assign _1026_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1396.7-1396.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d156;
-  assign _1027_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.8-1398.38" *) compute_memoryRegisterLoad[5];
-  assign _1028_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1399.8-1399.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1029_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1400.8-1400.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1030_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.8-1405.38" *) compute_memoryRegisterLoad[5];
-  assign _1031_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1406.8-1406.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1032_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1407.8-1407.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1033_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.8-1412.38" *) compute_memoryRegisterLoad[5];
-  assign _1034_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1413.8-1413.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1035_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1414.8-1414.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1036_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1449.8-1449.38" *) compute_memoryRegisterLoad[5];
-  assign _1037_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1450.8-1450.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1038_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1451.8-1451.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1039_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.8-1454.38" *) compute_memoryRegisterLoad[5];
-  assign _1040_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1455.8-1455.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1041_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1456.8-1456.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1042_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.8-1460.38" *) compute_memoryRegisterLoad[5];
-  assign _1043_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1461.8-1461.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1044_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1462.8-1462.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1045_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.8-1467.38" *) compute_memoryRegisterLoad[5];
-  assign _1046_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1468.8-1468.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1047_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1469.8-1469.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1048_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1472.7-1472.27" *) \compute_alu1$run [0];
-  assign _1049_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.8-1474.38" *) compute_memoryRegisterLoad[5];
-  assign _1050_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1475.8-1475.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1051_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1476.8-1476.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1052_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.8-1481.38" *) compute_memoryRegisterLoad[5];
-  assign _1053_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1482.8-1482.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1054_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1483.8-1483.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1055_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1486.7-1486.27" *) \compute_alu1$run [1];
-  assign _1056_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.8-1488.38" *) compute_memoryRegisterLoad[5];
-  assign _1057_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1489.8-1489.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1058_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1490.8-1490.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1059_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.8-1495.38" *) compute_memoryRegisterLoad[5];
-  assign _1060_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1496.8-1496.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1061_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1497.8-1497.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1062_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1500.7-1500.27" *) \compute_alu1$run [2];
-  assign _1063_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.8-1502.38" *) compute_memoryRegisterLoad[5];
-  assign _1064_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1503.8-1503.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1065_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1504.8-1504.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1066_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.8-1509.38" *) compute_memoryRegisterLoad[5];
-  assign _1067_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1510.8-1510.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1068_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1511.8-1511.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1069_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1514.7-1514.27" *) \compute_alu1$run [3];
-  assign _1070_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.8-1516.38" *) compute_memoryRegisterLoad[5];
-  assign _1071_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1517.8-1517.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1072_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1518.8-1518.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1073_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1532.8-1532.38" *) compute_memoryRegisterLoad[5];
-  assign _1074_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1533.8-1533.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1075_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.8-1534.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1076_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1536.3-1536.20" *) compute_q_rv[79];
-  assign _1077_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.39-1537.56" *) compute_q_rv[68];
-  assign _1078_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1538.6-1538.23" *) compute_q_rv[67];
-  assign _1079_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1541.6-1541.23" *) compute_q_rv[65];
-  assign _1080_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.8-1543.38" *) compute_memoryRegisterLoad[5];
-  assign _1081_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1544.8-1544.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1082_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.8-1545.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1083_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.8-1554.38" *) compute_memoryRegisterLoad[5];
-  assign _1084_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1555.8-1555.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1085_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1556.8-1556.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1086_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.8-1566.38" *) compute_memoryRegisterLoad[5];
-  assign _1087_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1567.8-1567.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1088_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1568.8-1568.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1089_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1576.7-1576.38" *) \rf$computeStatusSource_read [0];
-  assign _1090_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.8-1578.38" *) compute_memoryRegisterLoad[5];
-  assign _1091_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1579.8-1579.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1092_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1580.8-1580.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1093_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.8-1590.38" *) compute_memoryRegisterLoad[5];
-  assign _1094_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1591.8-1591.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1095_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1592.8-1592.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1096_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1600.7-1600.38" *) \rf$computeStatusSource_read [1];
-  assign _1097_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.8-1602.38" *) compute_memoryRegisterLoad[5];
-  assign _1098_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1603.8-1603.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1099_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1604.8-1604.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1100_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.8-1614.38" *) compute_memoryRegisterLoad[5];
-  assign _1101_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1615.8-1615.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1102_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1616.8-1616.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1103_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1624.7-1624.38" *) \rf$computeStatusSource_read [2];
-  assign _1104_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.8-1626.38" *) compute_memoryRegisterLoad[5];
-  assign _1105_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1627.8-1627.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1106_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1628.8-1628.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1107_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.8-1638.38" *) compute_memoryRegisterLoad[5];
-  assign _1108_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1639.8-1639.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1109_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1640.8-1640.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1110_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1648.7-1648.38" *) \rf$computeStatusSource_read [3];
-  assign _1111_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.8-1650.38" *) compute_memoryRegisterLoad[5];
-  assign _1112_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1651.8-1651.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1113_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1652.8-1652.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1114_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.8-1658.38" *) compute_memoryRegisterLoad[5];
-  assign _1115_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1659.8-1659.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1116_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1660.8-1660.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1117_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.8-1666.38" *) compute_memoryRegisterLoad[5];
-  assign _1118_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1667.8-1667.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1119_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1668.8-1668.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1120_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.8-1672.38" *) compute_memoryRegisterLoad[5];
-  assign _1121_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1673.8-1673.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1122_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1674.8-1674.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1123_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.8-1679.38" *) compute_memoryRegisterLoad[5];
-  assign _1124_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1680.8-1680.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1125_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1681.8-1681.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1126_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.8-1686.38" *) compute_memoryRegisterLoad[5];
-  assign _1127_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1687.8-1687.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1128_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1688.8-1688.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1129_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.8-1693.38" *) compute_memoryRegisterLoad[5];
-  assign _1130_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1694.8-1694.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1131_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1695.8-1695.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1132_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.8-1700.38" *) compute_memoryRegisterLoad[5];
-  assign _1133_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1701.8-1701.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1134_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1702.8-1702.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1135_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.8-1707.38" *) compute_memoryRegisterLoad[5];
-  assign _1136_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1708.8-1708.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1137_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1709.8-1709.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1138_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.8-1714.38" *) compute_memoryRegisterLoad[5];
-  assign _1139_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1715.8-1715.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1140_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1716.8-1716.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1141_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.8-1721.38" *) compute_memoryRegisterLoad[5];
-  assign _1142_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1722.8-1722.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1143_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1723.8-1723.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1144_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.8-1728.38" *) compute_memoryRegisterLoad[5];
-  assign _1145_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1729.8-1729.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1146_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1730.8-1730.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1147_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.8-1735.38" *) compute_memoryRegisterLoad[5];
-  assign _1148_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1736.8-1736.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1149_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1737.8-1737.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1150_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.8-1742.38" *) compute_memoryRegisterLoad[5];
-  assign _1151_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1743.8-1743.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1152_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1744.8-1744.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1153_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.8-1749.38" *) compute_memoryRegisterLoad[5];
-  assign _1154_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1750.8-1750.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1155_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1751.8-1751.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1156_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.8-1756.38" *) compute_memoryRegisterLoad[5];
-  assign _1157_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1757.8-1757.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1158_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1758.8-1758.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1159_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.8-1763.38" *) compute_memoryRegisterLoad[5];
-  assign _1160_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1764.8-1764.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1161_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1765.8-1765.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1162_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1784.8-1784.38" *) compute_memoryRegisterLoad[5];
-  assign _1163_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1785.8-1785.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1164_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1786.8-1786.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1165_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1789.8-1789.38" *) compute_memoryRegisterLoad[5];
-  assign _1166_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1790.8-1790.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1167_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1791.8-1791.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1168_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1794.8-1794.38" *) compute_memoryRegisterLoad[5];
-  assign _1169_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1795.8-1795.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1170_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1796.8-1796.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1171_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1799.8-1799.38" *) compute_memoryRegisterLoad[5];
-  assign _1172_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1800.8-1800.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1173_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1801.8-1801.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1174_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1804.8-1804.38" *) compute_memoryRegisterLoad[5];
-  assign _1175_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1805.8-1805.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1176_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1806.8-1806.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1177_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1809.8-1809.38" *) compute_memoryRegisterLoad[5];
-  assign _1178_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1810.8-1810.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1179_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1811.8-1811.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1180_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1814.8-1814.38" *) compute_memoryRegisterLoad[5];
-  assign _1181_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.8-1815.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1182_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1816.8-1816.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1183_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1819.8-1819.38" *) compute_memoryRegisterLoad[5];
-  assign _1184_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1820.8-1820.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1185_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1821.8-1821.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1186_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.8-1824.38" *) compute_memoryRegisterLoad[5];
-  assign _1187_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1825.8-1825.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1188_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1826.8-1826.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1189_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.8-1830.38" *) compute_memoryRegisterLoad[5];
-  assign _1190_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1831.8-1831.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1191_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1832.8-1832.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1192_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.8-1837.38" *) compute_memoryRegisterLoad[5];
-  assign _1193_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1838.8-1838.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1194_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1839.8-1839.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1195_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.8-1844.38" *) compute_memoryRegisterLoad[5];
-  assign _1196_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1845.8-1845.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1197_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1846.8-1846.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1198_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.8-1851.38" *) compute_memoryRegisterLoad[5];
-  assign _1199_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1852.8-1852.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1200_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1853.8-1853.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1201_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.8-1858.38" *) compute_memoryRegisterLoad[5];
-  assign _1202_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1859.8-1859.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1203_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1860.8-1860.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1204_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.8-1865.38" *) compute_memoryRegisterLoad[5];
-  assign _1205_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1866.8-1866.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1206_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1867.8-1867.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1207_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.8-1872.38" *) compute_memoryRegisterLoad[5];
-  assign _1208_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1873.8-1873.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1209_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1874.8-1874.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1210_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.8-1879.38" *) compute_memoryRegisterLoad[5];
-  assign _1211_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1880.8-1880.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1212_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1881.8-1881.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1213_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.8-1886.38" *) compute_memoryRegisterLoad[5];
-  assign _1214_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1887.8-1887.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1215_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1888.8-1888.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1216_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.8-1893.38" *) compute_memoryRegisterLoad[5];
-  assign _1217_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1894.8-1894.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1218_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1895.8-1895.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1219_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.8-1900.38" *) compute_memoryRegisterLoad[5];
-  assign _1220_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1901.8-1901.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1221_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1902.8-1902.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1222_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.8-1907.38" *) compute_memoryRegisterLoad[5];
-  assign _1223_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1908.8-1908.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1224_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1909.8-1909.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1225_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.8-1914.38" *) compute_memoryRegisterLoad[5];
-  assign _1226_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1915.8-1915.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1227_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1916.8-1916.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1228_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.8-1921.38" *) compute_memoryRegisterLoad[5];
-  assign _1229_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1922.8-1922.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1230_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1923.8-1923.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1231_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.8-1928.38" *) compute_memoryRegisterLoad[5];
-  assign _1232_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1929.8-1929.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1233_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1930.8-1930.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1234_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.8-1935.38" *) compute_memoryRegisterLoad[5];
-  assign _1235_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1936.8-1936.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1236_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1937.8-1937.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1237_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.8-1942.38" *) compute_memoryRegisterLoad[5];
-  assign _1238_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1943.8-1943.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1239_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1944.8-1944.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1240_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.8-1949.38" *) compute_memoryRegisterLoad[5];
-  assign _1241_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1950.8-1950.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1242_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1951.8-1951.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1243_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.8-1956.38" *) compute_memoryRegisterLoad[5];
-  assign _1244_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1957.8-1957.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1245_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1958.8-1958.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1246_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.8-1963.38" *) compute_memoryRegisterLoad[5];
-  assign _1247_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1964.8-1964.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1248_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1965.8-1965.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1249_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.8-1970.38" *) compute_memoryRegisterLoad[5];
-  assign _1250_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1971.8-1971.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1251_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1972.8-1972.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1252_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.8-1977.38" *) compute_memoryRegisterLoad[5];
-  assign _1253_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1978.8-1978.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1254_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1979.8-1979.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1255_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.8-1984.38" *) compute_memoryRegisterLoad[5];
-  assign _1256_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1985.8-1985.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1257_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1986.8-1986.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1258_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.8-1991.38" *) compute_memoryRegisterLoad[5];
-  assign _1259_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1992.8-1992.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1260_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1993.8-1993.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1261_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.8-1998.38" *) compute_memoryRegisterLoad[5];
-  assign _1262_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1999.8-1999.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1263_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2000.8-2000.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1264_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.8-2005.38" *) compute_memoryRegisterLoad[5];
-  assign _1265_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2006.8-2006.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1266_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2007.8-2007.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1267_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.8-2012.38" *) compute_memoryRegisterLoad[5];
-  assign _1268_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2013.8-2013.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1269_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2014.8-2014.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1270_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.8-2019.38" *) compute_memoryRegisterLoad[5];
-  assign _1271_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2020.8-2020.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1272_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2021.8-2021.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1273_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.8-2026.38" *) compute_memoryRegisterLoad[5];
-  assign _1274_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2027.8-2027.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1275_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2028.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1276_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2033.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
-  assign _1277_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2034.8-2034.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1278_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2037.41" *) \fetch_fetched_rv$port1__read [31];
-  assign _1279_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2047.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
-  assign _1280_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2048.8-2048.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1281_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2051.41" *) \fetch_fetched_rv$port1__read [31];
-  assign _1282_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2057.9-2057.42" *) \fetch_fetched_rv$port1__read [17];
-  assign _1283_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2062.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
-  assign _1284_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2063.8-2063.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1285_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2107.7-2107.24" *) compute_q_rv[82];
-  assign _1286_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2113.7-2113.24" *) compute_q_rv[82];
-  assign _1287_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.8-2118.25" *) compute_q_rv[68];
-  assign _1288_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2123.7-2123.23" *) compute_q_rv[3];
-  assign _1289_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2125.3-2125.20" *) compute_q_rv[67];
-  assign _1290_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2134.7-2134.24" *) compute_q_rv[61];
-  assign _1291_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2146.7-2146.39" *) fetch_mispredictComputeF_rv[64];
-  assign _1292_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2173.7-2173.38" *) \rf$computeStatusSource_read [0];
-  assign _1293_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2185.7-2185.38" *) \rf$computeStatusSource_read [1];
-  assign _1294_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2197.7-2197.38" *) \rf$computeStatusSource_read [2];
-  assign _1295_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2209.7-2209.38" *) \rf$computeStatusSource_read [3];
-  assign _1296_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2221.7-2221.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1297_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2222.7-2222.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1298_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2227.7-2227.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1299_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2228.7-2228.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1300_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2291.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
-  assign _1301_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2292.8-2292.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1302_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2319.8-2319.39" *) \rf$computeStatusSource_read [3];
-  assign _1303_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2324.8-2324.39" *) \rf$computeStatusSource_read [0];
-  assign _1304_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2330.8-2330.39" *) \rf$computeStatusSource_read [3];
-  assign _1305_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2336.8-2336.39" *) \rf$computeStatusSource_read [0];
-  assign _1306_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2342.8-2342.39" *) \rf$computeStatusSource_read [2];
-  assign _1307_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2348.8-2348.39" *) \rf$computeStatusSource_read [1];
-  assign _1308_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2351.9-2351.40" *) \rf$computeStatusSource_read [1];
-  assign _1309_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2352.9-2352.40" *) \rf$computeStatusSource_read [2];
-  assign _1310_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.9-2357.40" *) \rf$computeStatusSource_read [1];
-  assign _1311_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2360.9-2360.40" *) \rf$computeStatusSource_read [2];
-  assign _1312_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.9-2363.40" *) \rf$computeStatusSource_read [1];
-  assign _1313_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2364.9-2364.40" *) \rf$computeStatusSource_read [2];
-  assign _1314_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2370.6-2370.37" *) \rf$computeStatusSource_read [0];
-  assign _1315_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2371.7-2371.38" *) \rf$computeStatusSource_read [1];
-  assign _1316_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2374.7-2374.38" *) \rf$computeStatusSource_read [2];
-  assign _1317_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2424.8-2424.39" *) \rf$computeStatusSource_read [0];
-  assign _1318_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2427.8-2427.39" *) \rf$computeStatusSource_read [3];
-  assign _1319_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2431.8-2431.39" *) \rf$computeStatusSource_read [3];
-  assign _1320_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2437.8-2437.39" *) \rf$computeStatusSource_read [0];
-  assign _1321_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2443.8-2443.39" *) \rf$computeStatusSource_read [2];
-  assign _1322_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2449.8-2449.39" *) \rf$computeStatusSource_read [1];
-  assign _1323_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2457.8-2457.39" *) \rf$computeStatusSource_read [1];
-  assign _1324_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2458.8-2458.39" *) \rf$computeStatusSource_read [2];
-  assign _1325_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2462.8-2462.39" *) \rf$computeStatusSource_read [2];
-  assign _1326_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2463.8-2463.39" *) \rf$computeStatusSource_read [1];
-  assign _1327_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2469.8-2469.39" *) \rf$computeStatusSource_read [0];
-  assign _1328_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2470.8-2470.39" *) \rf$computeStatusSource_read [1];
-  assign _1329_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2471.8-2471.39" *) \rf$computeStatusSource_read [2];
-  assign _1330_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2472.8-2472.39" *) \rf$computeStatusSource_read [0];
-  assign _1331_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2476.6-2476.37" *) \rf$computeStatusSource_read [2];
-  assign _1332_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2477.6-2477.37" *) \rf$computeStatusSource_read [1];
-  assign _1333_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2585.10-2585.43" *) \fetch_fetched_rv$port1__read [17];
-  assign _1334_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2608.8-2608.39" *) \rf$computeStatusSource_read [0];
-  assign _1335_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2611.8-2611.39" *) \rf$computeStatusSource_read [3];
-  assign _1336_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2615.8-2615.39" *) \rf$computeStatusSource_read [3];
-  assign _1337_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2621.8-2621.39" *) \rf$computeStatusSource_read [0];
-  assign _1338_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2627.8-2627.39" *) \rf$computeStatusSource_read [2];
-  assign _1339_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2633.8-2633.39" *) \rf$computeStatusSource_read [1];
-  assign _1340_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2641.8-2641.39" *) \rf$computeStatusSource_read [1];
-  assign _1341_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2642.8-2642.39" *) \rf$computeStatusSource_read [2];
-  assign _1342_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2646.8-2646.39" *) \rf$computeStatusSource_read [2];
-  assign _1343_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2647.8-2647.39" *) \rf$computeStatusSource_read [1];
-  assign _1344_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2653.8-2653.39" *) \rf$computeStatusSource_read [0];
-  assign _1345_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2654.8-2654.39" *) \rf$computeStatusSource_read [1];
-  assign _1346_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2655.8-2655.39" *) \rf$computeStatusSource_read [2];
-  assign _1347_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2656.8-2656.39" *) \rf$computeStatusSource_read [0];
-  assign _1348_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2660.6-2660.37" *) \rf$computeStatusSource_read [2];
-  assign _1349_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2661.6-2661.37" *) \rf$computeStatusSource_read [1];
-  assign _1350_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.44-2680.61" *) compute_q_rv[68];
-  assign _1351_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.27-591.48" *) memory_pendingPCLoad;
-  assign _1352_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.8-598.31" *) memory_waitRead_rv[69];
-  assign _1353_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:599.8-599.39" *) fetch_mispredictMemoryF_rv[64];
-  assign _1354_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:606.7-606.44" *) \fetch_pcRequested_rv$port1__read [32];
-  assign _1355_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:612.7-612.28" *) fetch_fetched_rv[64];
-  assign _1356_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:626.8-626.52" *) \memory_sysmemMaster_fReq_rv$port1__read [69];
-  assign _1357_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:627.8-627.46" *) \memory_sysmemMaster_outgoing$wget [69];
-  assign _1358_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:633.8-633.52" *) \memory_sysmemMaster_fReq_rv$port1__read [69];
-  assign _1359_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:634.8-634.46" *) \memory_sysmemMaster_outgoing$wget [69];
-  assign _1360_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:642.8-642.52" *) \memory_sysmemMaster_fReq_rv$port1__read [69];
-  assign _1361_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:643.8-643.46" *) \memory_sysmemMaster_outgoing$wget [69];
-  assign _1362_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.8-691.31" *) memory_waitRead_rv[69];
-  assign _1363_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:692.8-692.39" *) fetch_mispredictMemoryF_rv[64];
-  assign _1364_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:694.7-694.35" *) EN_dmem_client_response_put;
-  assign _1365_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.27-698.59" *) memory_sysmemMaster_fReq_rv[69];
-  assign _1366_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:700.7-700.28" *) memory_pendingPCLoad;
-  assign _1367_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:701.7-701.64" *) memory_q_rv_port0__read__5_BITS_99_TO_68_6_ULT_ETC___d67;
-  assign _1368_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.27-705.56" *) \memory_q_rv$port1__read [100];
-  assign _1369_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:711.7-711.36" *) \compute_q_rv$port1__read [83];
-  assign _1370_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-759.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
-  assign _1371_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:760.8-760.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1372_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.31-805.51" *) sysmem_client_err_i;
-  assign _1373_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:806.8-806.28" *) sysmem_client_rty_i;
-  assign _1374_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:873.8-873.38" *) compute_memoryRegisterLoad[5];
-  assign _1375_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:874.8-874.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
-  assign _1376_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:875.8-875.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1377_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:910.5-910.22" *) compute_q_rv[68];
-  assign _1378_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:926.12-926.29" *) compute_q_rv[64];
-  assign _1379_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:928.28-928.45" *) compute_q_rv[65];
-  assign _1380_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:963.7-963.53" *) \memory_delaySysmemResponse_rv$port1__read [33];
-  assign _1381_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:982.7-982.39" *) memory_sysmemMaster_fRes_rv[33];
-  assign _1382_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:991.7-991.39" *) memory_sysmemMaster_fRes_rv[33];
-  assign _1383_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:996.9-996.53" *) \memory_sysmemMaster_fReq_rv$port1__read [36];
-  assign _1384_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1029.8-1030.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1385_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1037.8-1038.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1386_ = IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d154 || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1041.8-1042.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d156;
-  assign _1387_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1048.8-1049.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1388_ = \memory_startPCLoad$whas  || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1105.7-1105.56" *) \memory_stopPCLoad$whas ;
-  assign _1389_ = _0063_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1137.8-1138.38" *) \rf$computeStatusSource_read [3];
-  assign _1390_ = _0064_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1141.5-1142.35" *) \rf$computeStatusSource_read [3];
-  assign _1391_ = _0067_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1150.10-1152.57" *) _0372_;
-  assign _1392_ = _0997_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1179.8-1181.66" *) _0376_;
-  assign _1393_ = _0378_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.7-1186.40" *) _0380_;
-  assign _1394_ = _0387_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1213.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1395_ = _1394_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1214.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1396_ = _1625_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1220.5-1223.50" *) _0394_;
-  assign _1397_ = _0395_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1230.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1398_ = _1397_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1231.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1399_ = _1632_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1237.5-1240.50" *) _0402_;
-  assign _1400_ = _0403_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1247.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1401_ = _1400_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1248.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1402_ = _1639_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1254.5-1257.50" *) _0410_;
-  assign _1403_ = _0411_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1264.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1404_ = _1403_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1265.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1405_ = _0412_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1271.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1406_ = _1405_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1272.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1407_ = _0413_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1278.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1408_ = _1407_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1279.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1409_ = _0414_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1287.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1410_ = _1409_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1288.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1411_ = _0415_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1294.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1412_ = _1411_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1295.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1413_ = _1646_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1300.5-1303.50" *) _0421_;
-  assign _1414_ = _0426_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1313.50" *) _0078_;
-  assign _1415_ = _1414_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1314.50" *) _0079_;
-  assign _1416_ = _1653_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1309.8-1312.53" *) _0428_;
-  assign _1417_ = _0082_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1329.8-1330.59" *) _0429_;
-  assign _1418_ = _1019_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1351.9-1351.59" *) _0092_;
-  assign _1419_ = _0100_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1388.9-1388.65" *) _0101_;
-  assign _1420_ = _1021_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.9-1390.56" *) _1656_;
-  assign _1421_ = _1420_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.9-1391.44" *) _1022_;
-  assign _1422_ = _1027_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.8-1400.66" *) _0441_;
-  assign _1423_ = _1030_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.8-1407.66" *) _0445_;
-  assign _1424_ = _1033_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.8-1414.66" *) _0479_;
-  assign _1425_ = _1036_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1449.8-1451.66" *) _0481_;
-  assign _1426_ = _1039_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.8-1456.66" *) _0484_;
-  assign _1427_ = _1042_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.8-1462.66" *) _0488_;
-  assign _1428_ = _1045_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.8-1469.66" *) _0492_;
-  assign _1429_ = _1049_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.8-1476.66" *) _0496_;
-  assign _1430_ = _1052_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.8-1483.66" *) _0500_;
-  assign _1431_ = _1056_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.8-1490.66" *) _0504_;
-  assign _1432_ = _1059_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.8-1497.66" *) _0508_;
-  assign _1433_ = _1063_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.8-1504.66" *) _0512_;
-  assign _1434_ = _1066_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.8-1511.66" *) _0516_;
-  assign _1435_ = _1070_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.8-1518.66" *) _0519_;
-  assign _1436_ = _1073_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1532.8-1534.66" *) _0522_;
-  assign _1437_ = _1080_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.8-1545.66" *) _0533_;
-  assign _1438_ = _1083_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.8-1556.66" *) _0542_;
-  assign _1439_ = _1086_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.8-1568.66" *) _0551_;
-  assign _1440_ = _1090_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.8-1580.66" *) _0560_;
-  assign _1441_ = _1093_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.8-1592.66" *) _0569_;
-  assign _1442_ = _1097_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.8-1604.66" *) _0578_;
-  assign _1443_ = _1100_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.8-1616.66" *) _0587_;
-  assign _1444_ = _1104_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.8-1628.66" *) _0596_;
-  assign _1445_ = _1107_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.8-1640.66" *) _0605_;
-  assign _1446_ = _1111_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.8-1652.66" *) _0608_;
-  assign _1447_ = _0139_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1654.8-1656.62" *) _0609_;
-  assign _1448_ = _1114_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.8-1660.66" *) _0613_;
-  assign _1449_ = _0141_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.8-1664.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _1450_ = _1117_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.8-1668.66" *) _0616_;
-  assign _1451_ = _1120_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.8-1674.66" *) _0620_;
-  assign _1452_ = _1123_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.8-1681.66" *) _0624_;
-  assign _1453_ = _1126_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.8-1688.66" *) _0628_;
-  assign _1454_ = _1129_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.8-1695.66" *) _0632_;
-  assign _1455_ = _1132_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.8-1702.66" *) _0636_;
-  assign _1456_ = _1135_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.8-1709.66" *) _0640_;
-  assign _1457_ = _1138_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.8-1716.66" *) _0644_;
-  assign _1458_ = _1141_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.8-1723.66" *) _0648_;
-  assign _1459_ = _1144_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.8-1730.66" *) _0652_;
-  assign _1460_ = _1147_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.8-1737.66" *) _0656_;
-  assign _1461_ = _1150_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.8-1744.66" *) _0660_;
-  assign _1462_ = _1153_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.8-1751.66" *) _0664_;
-  assign _1463_ = _1156_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.8-1758.66" *) _0668_;
-  assign _1464_ = _1159_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.8-1765.66" *) _0686_;
-  assign _1465_ = _1162_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1784.8-1786.66" *) _0688_;
-  assign _1466_ = _1165_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1789.8-1791.66" *) _0690_;
-  assign _1467_ = _1168_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1794.8-1796.66" *) _0692_;
-  assign _1468_ = _1171_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1799.8-1801.66" *) _0694_;
-  assign _1469_ = _1174_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1804.8-1806.66" *) _0696_;
-  assign _1470_ = _1177_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1809.8-1811.66" *) _0698_;
-  assign _1471_ = _1180_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1814.8-1816.66" *) _0700_;
-  assign _1472_ = _1183_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1819.8-1821.66" *) _0702_;
-  assign _1473_ = _1186_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.8-1826.66" *) _0705_;
-  assign _1474_ = _1189_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.8-1832.66" *) _0709_;
-  assign _1475_ = _1192_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.8-1839.66" *) _0713_;
-  assign _1476_ = _1195_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.8-1846.66" *) _0717_;
-  assign _1477_ = _1198_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.8-1853.66" *) _0721_;
-  assign _1478_ = _1201_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.8-1860.66" *) _0725_;
-  assign _1479_ = _1204_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.8-1867.66" *) _0729_;
-  assign _1480_ = _1207_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.8-1874.66" *) _0733_;
-  assign _1481_ = _1210_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.8-1881.66" *) _0737_;
-  assign _1482_ = _1213_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.8-1888.66" *) _0741_;
-  assign _1483_ = _1216_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.8-1895.66" *) _0745_;
-  assign _1484_ = _1219_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.8-1902.66" *) _0749_;
-  assign _1485_ = _1222_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.8-1909.66" *) _0753_;
-  assign _1486_ = _1225_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.8-1916.66" *) _0757_;
-  assign _1487_ = _1228_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.8-1923.66" *) _0761_;
-  assign _1488_ = _1231_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.8-1930.66" *) _0765_;
-  assign _1489_ = _1234_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.8-1937.66" *) _0769_;
-  assign _1490_ = _1237_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.8-1944.66" *) _0773_;
-  assign _1491_ = _1240_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.8-1951.66" *) _0777_;
-  assign _1492_ = _1243_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.8-1958.66" *) _0781_;
-  assign _1493_ = _1246_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.8-1965.66" *) _0785_;
-  assign _1494_ = _1249_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.8-1972.66" *) _0789_;
-  assign _1495_ = _1252_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.8-1979.66" *) _0793_;
-  assign _1496_ = _1255_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.8-1986.66" *) _0797_;
-  assign _1497_ = _1258_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.8-1993.66" *) _0801_;
-  assign _1498_ = _1261_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.8-2000.66" *) _0805_;
-  assign _1499_ = _1264_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.8-2007.66" *) _0809_;
-  assign _1500_ = _1267_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.8-2014.66" *) _0813_;
-  assign _1501_ = _1270_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.8-2021.66" *) _0817_;
-  assign _1502_ = _1273_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.8-2028.66" *) _0821_;
-  assign _1503_ = _0822_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2035.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1504_ = _1503_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2036.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1505_ = _1278_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2038.54" *) _0260_;
-  assign _1506_ = _1505_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2039.53" *) _0261_;
-  assign _1507_ = _1506_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2040.53" *) _0262_;
-  assign _1508_ = _1507_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2041.54" *) _0263_;
-  assign _1509_ = _1508_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2044.55" *) _0825_;
-  assign _1510_ = _0265_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2043.9-2044.54" *) _0266_;
-  assign _1511_ = _0826_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2049.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1512_ = _1511_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2050.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1513_ = _1281_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2052.54" *) _0267_;
-  assign _1514_ = _1513_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2053.53" *) _0268_;
-  assign _1515_ = _1514_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2054.53" *) _0269_;
-  assign _1516_ = _1515_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2055.54" *) _0270_;
-  assign _1517_ = _1516_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2059.55" *) _0829_;
-  assign _1518_ = _1282_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2057.9-2058.53" *) _0272_;
-  assign _1519_ = _1518_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2057.9-2059.54" *) _0273_;
-  assign _1520_ = _0830_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2064.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1521_ = _1520_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2065.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1522_ = _1753_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2069.8-2072.53" *) _0836_;
-  assign _1523_ = WILL_FIRE_RL_memory_sysmemResponse || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2077.7-2078.34" *) EN_dmem_client_response_put;
-  assign _1524_ = WILL_FIRE_RL_memory_sysmemResponse || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2080.7-2081.34" *) EN_dmem_client_response_put;
-  assign _1525_ = WILL_FIRE_RL_memory_sysmemRequest || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2083.7-2083.70" *) EN_dmem_client_request_get;
-  assign _1526_ = WILL_FIRE_RL_memory_sysmemResponse || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2085.7-2086.34" *) EN_dmem_client_response_put;
-  assign _1527_ = WILL_FIRE_RL_memory_sysmemRequest || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2088.7-2088.70" *) EN_dmem_client_request_get;
-  assign _1528_ = _0837_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2104.7-2107.24" *) _1285_;
-  assign _1529_ = _1528_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2104.7-2108.37" *) _0274_;
-  assign _1530_ = compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361 || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2105.8-2106.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1531_ = _0838_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2113.24" *) _1286_;
-  assign _1532_ = _1531_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2114.37" *) _0275_;
-  assign _1533_ = _1532_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2115.36" *) _0276_;
-  assign _1534_ = _1533_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2116.37" *) _0277_;
-  assign _1535_ = _1534_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2118.58" *) _0839_;
-  assign _1536_ = compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361 || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2111.8-2112.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1537_ = _1287_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.8-2118.57" *) _0279_;
-  assign _1538_ = _0840_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2134.27" *) _0841_;
-  assign _1539_ = _1538_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2145.8" *) _1845_;
-  assign _1540_ = _1539_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2146.39" *) _1291_;
-  assign _1541_ = compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361 || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2121.8-2122.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
-  assign _1542_ = _0282_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2128.7-2129.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _1543_ = _1757_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.7-2131.35" *) _1758_;
-  assign _1544_ = _1759_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.7-2133.36" *) _1760_;
-  assign _1545_ = _1544_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.7-2134.24" *) _1290_;
-  assign _1546_ = compute_q_rv[3] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2152.7-2161.59" *) _1849_;
-  assign _1547_ = _0290_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2156.6-2158.60" *) _0845_;
-  assign _1548_ = _0292_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2161.56" *) _0847_;
-  assign _1549_ = _0295_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2165.8-2166.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _1550_ = _0297_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2171.8-2172.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _1551_ = _0299_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2177.8-2178.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _1552_ = _0301_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2183.8-2184.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _1553_ = _0303_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2189.8-2190.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _1554_ = _0305_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2195.8-2196.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _1555_ = _0307_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2201.8-2202.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _1556_ = _0309_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2207.8-2208.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
-  assign _1557_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.8-2220.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1558_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.8-2226.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1559_ = _0876_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.7-2236.54" *) _0880_;
-  assign _1560_ = _1776_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2233.8-2236.53" *) _0882_;
-  assign _1561_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2243.8-2244.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
-  assign _1562_ = _0885_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2293.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1563_ = _1562_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2294.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1564_ = _1783_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2300.5-2303.50" *) _0892_;
-  assign _1565_ = _1302_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2319.8-2320.38" *) \rf$computeStatusSource_read [0];
-  assign _1566_ = _1308_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2351.9-2352.40" *) _1309_;
-  assign _1567_ = \rf$computeStatusSource_read [1] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2353.9-2354.39" *) \rf$computeStatusSource_read [2];
-  assign _1568_ = _1310_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.9-2358.39" *) \rf$computeStatusSource_read [2];
-  assign _1569_ = \rf$computeStatusSource_read [1] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2359.9-2360.40" *) _1311_;
-  assign _1570_ = _1312_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.9-2364.40" *) _1313_;
-  assign _1571_ = _1570_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.9-2365.39" *) \rf$computeStatusSource_read [0];
-  assign _1572_ = \rf$computeStatusSource_read [1] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2366.9-2367.39" *) \rf$computeStatusSource_read [2];
-  assign _1573_ = _1572_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2366.9-2368.39" *) \rf$computeStatusSource_read [0];
-  assign _1574_ = _1315_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2371.7-2372.37" *) \rf$computeStatusSource_read [2];
-  assign _1575_ = \rf$computeStatusSource_read [1] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2373.7-2374.38" *) _1316_;
-  assign _1576_ = _1318_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2427.8-2428.38" *) \rf$computeStatusSource_read [0];
-  assign _1577_ = _0900_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2455.8-2458.39" *) _0901_;
-  assign _1578_ = _0902_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2461.8-2464.38" *) _0903_;
-  assign _1579_ = _0905_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2467.8-2472.39" *) _0907_;
-  assign _1580_ = \rf$computeStatusSource_read [0] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2474.6-2476.37" *) _0908_;
-  assign _1581_ = _1580_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2474.6-2478.36" *) _0909_;
-  assign _1582_ = _1335_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2611.8-2612.38" *) \rf$computeStatusSource_read [0];
-  assign _1583_ = _0913_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2639.8-2642.39" *) _0914_;
-  assign _1584_ = _0915_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2645.8-2648.38" *) _0916_;
-  assign _1585_ = _0918_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2651.8-2656.39" *) _0920_;
-  assign _1586_ = \rf$computeStatusSource_read [0] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2658.6-2660.37" *) _0921_;
-  assign _1587_ = _1586_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2658.6-2662.36" *) _0922_;
-  assign _1588_ = _1352_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.8-598.68" *) _1786_;
-  assign _1589_ = _1588_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.8-599.39" *) _1353_;
-  assign _1590_ = _1356_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:626.8-627.46" *) _1357_;
-  assign _1591_ = _1358_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:633.8-634.46" *) _1359_;
-  assign _1592_ = _1360_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:642.8-643.46" *) _1361_;
-  assign _1593_ = _1362_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.8-691.68" *) _1787_;
-  assign _1594_ = _1593_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.8-692.39" *) _1363_;
-  assign _1595_ = _0956_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-761.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
-  assign _1596_ = _1595_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-762.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
-  assign _1597_ = WILL_FIRE_RL_mkConnectionGetPut || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:786.7-786.62" *) _0330_;
-  assign _1598_ = _0959_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-795.40" *) _0961_;
-  assign _1599_ = sysmem_client_ack_i || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:810.7-810.49" *) sysmem_client_err_i;
-  assign _1600_ = _1599_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:810.7-811.26" *) sysmem_client_rty_i;
-  assign _1601_ = WILL_FIRE_RL_memory_sysmemRequest || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:813.7-813.70" *) EN_dmem_client_request_get;
-  assign _1602_ = _0972_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:833.7-836.40" *) _0974_;
-  assign _1603_ = _1374_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:873.8-875.66" *) _0977_;
-  assign _1604_ = WILL_FIRE_RL_memory_sysmemRequest || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:894.7-894.70" *) EN_dmem_client_request_get;
-  assign _1605_ = WILL_FIRE_RL_memory_sysmemResponse || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:937.7-938.34" *) EN_dmem_client_response_put;
-  assign _1606_ = _0345_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.8-945.64" *) _0346_;
-  assign _1607_ = _1606_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.8-946.34" *) _0347_;
-  assign _1608_ = memory_q_rv[99:68] < (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2239.7-2239.40" *) 32'd536870912;
-  assign _1609_ = x__read__h818 < (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.29-605.57" *) 32'd536870912;
-  assign _1610_ = fetch_pcRequested_rv[31:0] < (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:611.7-611.48" *) 32'd536870912;
-  assign _1611_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1136.7-1136.34" *) 3'h0;
-  assign _1612_ = compute_q_rv[81:79] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1140.4-1140.31" *) 3'h0;
-  assign _1613_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1144.9-1144.36" *) 3'h0;
-  assign _1614_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1145.9-1145.38" *) 3'h2;
-  assign _1615_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1146.9-1146.38" *) 3'h1;
-  assign _1616_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1147.9-1147.38" *) 3'h3;
-  assign _1617_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1148.9-1148.38" *) 3'h4;
-  assign _1618_ = { compute_q_rv[53:51], compute_q_rv[67] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1151.3-1151.52" *) 4'h1;
-  assign _1619_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1184.7-1184.40" *) 5'h02;
-  assign _1620_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1186.7-1186.40" *) 5'h02;
-  assign _1621_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1216.4-1216.50" *) 4'hc;
-  assign _1622_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1217.4-1217.49" *) 3'h4;
-  assign _1623_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1218.4-1218.49" *) 3'h5;
-  assign _1624_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1219.4-1219.50" *) 4'he;
-  assign _1625_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1220.5-1220.51" *) 4'hf;
-  assign _1626_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1222.5-1222.49" *) 2'h2;
-  assign _1627_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.5-1223.50" *) 3'h6;
-  assign _1628_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1233.4-1233.50" *) 4'hc;
-  assign _1629_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1234.4-1234.49" *) 3'h4;
-  assign _1630_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1235.4-1235.49" *) 3'h5;
-  assign _1631_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1236.4-1236.50" *) 4'he;
-  assign _1632_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1237.5-1237.51" *) 4'hf;
-  assign _1633_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1239.5-1239.49" *) 2'h2;
-  assign _1634_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.5-1240.50" *) 3'h6;
-  assign _1635_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1250.4-1250.50" *) 4'hc;
-  assign _1636_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1251.4-1251.49" *) 3'h4;
-  assign _1637_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1252.4-1252.49" *) 3'h5;
-  assign _1638_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1253.4-1253.50" *) 4'he;
-  assign _1639_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1254.5-1254.51" *) 4'hf;
-  assign _1640_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1256.5-1256.49" *) 2'h2;
-  assign _1641_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1257.5-1257.50" *) 3'h6;
-  assign _1642_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1296.57" *) 4'hc;
-  assign _1643_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1297.4-1297.49" *) 3'h4;
-  assign _1644_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1298.4-1298.49" *) 3'h5;
-  assign _1645_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1299.4-1299.50" *) 4'he;
-  assign _1646_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1300.5-1300.51" *) 4'hf;
-  assign _1647_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1302.5-1302.49" *) 2'h2;
-  assign _1648_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1303.5-1303.50" *) 3'h6;
-  assign _1649_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1305.7-1305.53" *) 4'hc;
-  assign _1650_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1306.7-1306.52" *) 3'h4;
-  assign _1651_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1307.7-1307.52" *) 3'h5;
-  assign _1652_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1308.7-1308.53" *) 4'he;
-  assign _1653_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1309.8-1309.54" *) 4'hf;
-  assign _1654_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1311.8-1311.52" *) 2'h2;
-  assign _1655_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1312.8-1312.53" *) 3'h6;
-  assign _1656_ = memory_q_rv[35:34] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.30-1390.56" *) 2'h1;
-  assign _1657_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1417.7-1417.34" *) 5'h00;
-  assign _1658_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1418.7-1418.34" *) 5'h01;
-  assign _1659_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1419.7-1419.34" *) 5'h02;
-  assign _1660_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1420.7-1420.34" *) 5'h03;
-  assign _1661_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1421.7-1421.34" *) 5'h04;
-  assign _1662_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1422.7-1422.34" *) 5'h05;
-  assign _1663_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1423.7-1423.34" *) 5'h06;
-  assign _1664_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1424.7-1424.34" *) 5'h07;
-  assign _1665_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1425.7-1425.34" *) 5'h08;
-  assign _1666_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1426.7-1426.34" *) 5'h09;
-  assign _1667_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1427.7-1427.35" *) 5'h0a;
-  assign _1668_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1428.7-1428.35" *) 5'h0b;
-  assign _1669_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1429.7-1429.35" *) 5'h0c;
-  assign _1670_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1430.7-1430.35" *) 5'h0d;
-  assign _1671_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1431.7-1431.35" *) 5'h0e;
-  assign _1672_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1432.7-1432.35" *) 5'h0f;
-  assign _1673_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1433.7-1433.35" *) 5'h10;
-  assign _1674_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1434.7-1434.35" *) 5'h11;
-  assign _1675_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1435.7-1435.35" *) 5'h12;
-  assign _1676_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1436.7-1436.35" *) 5'h13;
-  assign _1677_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1437.7-1437.35" *) 5'h14;
-  assign _1678_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1438.7-1438.35" *) 5'h15;
-  assign _1679_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1439.7-1439.35" *) 5'h16;
-  assign _1680_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1440.7-1440.35" *) 5'h17;
-  assign _1681_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1441.7-1441.35" *) 5'h18;
-  assign _1682_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1442.7-1442.35" *) 5'h19;
-  assign _1683_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1443.7-1443.35" *) 5'h1a;
-  assign _1684_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1444.7-1444.35" *) 5'h1b;
-  assign _1685_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1445.7-1445.35" *) 5'h1c;
-  assign _1686_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1446.7-1446.35" *) 5'h1d;
-  assign _1687_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1447.7-1447.35" *) 5'h1e;
-  assign _1688_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1547.7-1547.34" *) 3'h0;
-  assign _1689_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1548.7-1548.36" *) 3'h2;
-  assign _1690_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1549.7-1549.36" *) 3'h1;
-  assign _1691_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1550.7-1550.36" *) 3'h3;
-  assign _1692_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1551.7-1551.36" *) 3'h4;
-  assign _1693_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1558.7-1558.34" *) 3'h0;
-  assign _1694_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1559.7-1559.36" *) 3'h2;
-  assign _1695_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1560.7-1560.36" *) 3'h1;
-  assign _1696_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1561.7-1561.36" *) 3'h3;
-  assign _1697_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1562.7-1562.36" *) 3'h4;
-  assign _1698_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1570.7-1570.34" *) 3'h0;
-  assign _1699_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1571.7-1571.36" *) 3'h2;
-  assign _1700_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1572.7-1572.36" *) 3'h1;
-  assign _1701_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1573.7-1573.36" *) 3'h3;
-  assign _1702_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1574.7-1574.36" *) 3'h4;
-  assign _1703_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1582.7-1582.34" *) 3'h0;
-  assign _1704_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1583.7-1583.36" *) 3'h2;
-  assign _1705_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1584.7-1584.36" *) 3'h1;
-  assign _1706_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1585.7-1585.36" *) 3'h3;
-  assign _1707_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1586.7-1586.36" *) 3'h4;
-  assign _1708_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1594.7-1594.34" *) 3'h0;
-  assign _1709_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1595.7-1595.36" *) 3'h2;
-  assign _1710_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1596.7-1596.36" *) 3'h1;
-  assign _1711_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1597.7-1597.36" *) 3'h3;
-  assign _1712_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1598.7-1598.36" *) 3'h4;
-  assign _1713_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1606.7-1606.34" *) 3'h0;
-  assign _1714_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1607.7-1607.36" *) 3'h2;
-  assign _1715_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1608.7-1608.36" *) 3'h1;
-  assign _1716_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1609.7-1609.36" *) 3'h3;
-  assign _1717_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1610.7-1610.36" *) 3'h4;
-  assign _1718_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1618.7-1618.34" *) 3'h0;
-  assign _1719_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1619.7-1619.36" *) 3'h2;
-  assign _1720_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1620.7-1620.36" *) 3'h1;
-  assign _1721_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1621.7-1621.36" *) 3'h3;
-  assign _1722_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1622.7-1622.36" *) 3'h4;
-  assign _1723_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1630.7-1630.34" *) 3'h0;
-  assign _1724_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1631.7-1631.36" *) 3'h2;
-  assign _1725_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1632.7-1632.36" *) 3'h1;
-  assign _1726_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1633.7-1633.36" *) 3'h3;
-  assign _1727_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1634.7-1634.36" *) 3'h4;
-  assign _1728_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1642.7-1642.34" *) 3'h0;
-  assign _1729_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1643.7-1643.36" *) 3'h2;
-  assign _1730_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1644.7-1644.36" *) 3'h1;
-  assign _1731_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1645.7-1645.36" *) 3'h3;
-  assign _1732_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1646.7-1646.36" *) 3'h4;
-  assign _1733_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1655.8-1655.57" *) 4'h1;
-  assign _1734_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1662.7-1662.56" *) 4'h0;
-  assign _1735_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1767.7-1767.56" *) 4'h0;
-  assign _1736_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1769.7-1769.56" *) 4'h1;
-  assign _1737_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1770.7-1770.56" *) 4'h2;
-  assign _1738_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1771.7-1771.56" *) 4'h3;
-  assign _1739_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1772.7-1772.56" *) 4'h4;
-  assign _1740_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1773.7-1773.56" *) 4'h5;
-  assign _1741_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1774.7-1774.56" *) 4'h6;
-  assign _1742_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1775.7-1775.56" *) 4'h7;
-  assign _1743_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1776.7-1776.56" *) 4'h8;
-  assign _1744_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1777.7-1777.56" *) 4'h9;
-  assign _1745_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1778.7-1778.57" *) 4'ha;
-  assign _1746_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1779.7-1779.57" *) 4'hb;
-  assign _1747_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1780.7-1780.57" *) 4'hc;
-  assign _1748_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1781.7-1781.57" *) 4'hd;
-  assign _1749_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1782.7-1782.57" *) 4'he;
-  assign _1750_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2066.7-2066.52" *) 3'h4;
-  assign _1751_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2067.7-2067.52" *) 3'h5;
-  assign _1752_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2068.7-2068.53" *) 4'he;
-  assign _1753_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2069.8-2069.54" *) 4'hf;
-  assign _1754_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2071.8-2071.52" *) 2'h2;
-  assign _1755_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2072.8-2072.53" *) 3'h6;
-  assign _1756_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2127.6-2127.55" *) 4'h0;
-  assign _1757_ = compute_q_rv[82:79] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.7-2130.37" *) 4'hf;
-  assign _1758_ = compute_q_rv[68:67] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2131.7-2131.35" *) 2'h2;
-  assign _1759_ = compute_q_rv[82:79] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.7-2132.37" *) 4'hf;
-  assign _1760_ = compute_q_rv[68:66] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2133.7-2133.36" *) 3'h6;
-  assign _1761_ = compute_q_rv[8:4] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2136.3-2136.28" *) 5'h02;
-  assign _1762_ = _1846_ != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2137.3-2145.7" *) 5'h02;
-  assign _1763_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2157.6-2157.55" *) 4'h1;
-  assign _1764_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2164.7-2164.56" *) 4'h0;
-  assign _1765_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2170.7-2170.56" *) 4'h0;
-  assign _1766_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2176.7-2176.56" *) 4'h0;
-  assign _1767_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2182.7-2182.56" *) 4'h0;
-  assign _1768_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2188.7-2188.56" *) 4'h0;
-  assign _1769_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2194.7-2194.56" *) 4'h0;
-  assign _1770_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2200.7-2200.56" *) 4'h0;
-  assign _1771_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2206.7-2206.56" *) 4'h0;
-  assign _1772_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2229.53" *) 4'hc;
-  assign _1773_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2230.7-2230.52" *) 3'h4;
-  assign _1774_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2231.7-2231.52" *) 3'h5;
-  assign _1775_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2232.7-2232.53" *) 4'he;
-  assign _1776_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2233.8-2233.54" *) 4'hf;
-  assign _1777_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2235.8-2235.52" *) 2'h2;
-  assign _1778_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2236.8-2236.53" *) 3'h6;
-  assign _1779_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2296.4-2296.50" *) 4'hc;
-  assign _1780_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2297.4-2297.49" *) 3'h4;
-  assign _1781_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2298.4-2298.49" *) 3'h5;
-  assign _1782_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2299.4-2299.50" *) 4'he;
-  assign _1783_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2300.5-2300.51" *) 4'hf;
-  assign _1784_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2302.5-2302.49" *) 2'h2;
-  assign _1785_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2303.5-2303.50" *) 3'h6;
-  assign _1786_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.35-598.68" *) 5'h02;
-  assign _1787_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.35-691.68" *) 5'h02;
-  assign _1788_ = fetch_cycle != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-710.27" *) 32'd0;
-  assign _1789_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:716.7-716.40" *) 5'h02;
-  assign _1790_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:719.7-719.40" *) 5'h02;
-  assign _1791_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:793.7-793.40" *) 5'h02;
-  assign _1792_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:795.7-795.40" *) 5'h02;
-  assign _1793_ = { 16'h0000, compute_q_rv[66:51] } << (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2102.42" *) _1842_;
-  assign _1794_ = \fetch_fetched_rv$port1__read [63:32] - (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2309.21-2309.64" *) 32'd4;
-  assign _1795_ = \memory_sysmemMaster_fRes_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1006.7-1008.48" *) 34'h0aaaaaaaa : \memory_sysmemMaster_fRes_rv$port1__read ;
-  assign _1796_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1130.9-1133.20" *) _0362_ : compute_q_rv[67];
-  assign _1797_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1142.36" *) _1798_ : _0364_;
-  assign _1798_ = _0062_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1135.5-1139.37" *) _0363_ : \rf$computeStatusSource_read [3];
-  assign _1799_ = compute_q_rv[3] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1163.9-1165.62" *) { compute_q_rv[8:4], \compute_alu1$run [63:32] } : IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1212;
-  assign _1800_ = \MUX_compute_regFromMemory$wset_1__SEL_2  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1174.7-1176.20" *) dmem_client_response_put : data__h6648;
-  assign _1801_ = _0068_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1190.8-1196.23" *) compute_q_rv[79] : _1803_;
-  assign _1802_ = _1801_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1190.7-1199.63" *) rs2v__h13671 : { 27'h2aaaaaa, IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d582 };
-  assign _1803_ = _0381_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.4-1196.22" *) compute_q_rv[67] : _0383_;
-  assign _1804_ = _0384_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.7-1209.15" *) imm__h14821 : _1805_;
-  assign _1805_ = _0385_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1203.10-1209.14" *) 32'd0 : _1806_;
-  assign _1806_ = _0386_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.6-1209.13" *) _theResult_____4_fst_ea__h16376 : 32'd0;
-  assign _1807_ = _1395_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.7-1226.13" *) _1808_ : 3'h0;
-  assign _1808_ = _0392_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.10-1225.40" *) 3'h0 : \fetch_fetched_rv$port1__read [30:28];
-  assign _1809_ = _1398_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.7-1243.13" *) _1810_ : 5'h00;
-  assign _1810_ = _0400_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.10-1242.40" *) 5'h00 : \fetch_fetched_rv$port1__read [27:23];
-  assign _1811_ = _1401_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.7-1260.13" *) _1812_ : 5'h00;
-  assign _1812_ = _0408_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.10-1259.40" *) 5'h00 : \fetch_fetched_rv$port1__read [22:18];
-  assign _1813_ = _1404_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.7-1267.14" *) \fetch_fetched_rv$port1__read [63:32] : 32'd0;
-  assign _1814_ = _1406_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.7-1274.13" *) \fetch_fetched_rv$port1__read [22:18] : 5'h00;
-  assign _1815_ = _1408_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.7-1283.13" *) _1816_ : 5'h00;
-  assign _1816_ = _0077_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1280.10-1282.40" *) \fetch_fetched_rv$port1__read [27:23] : \fetch_fetched_rv$port1__read [15:11];
-  assign _1817_ = _1410_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.7-1290.13" *) \fetch_fetched_rv$port1__read [27:23] : 5'h00;
-  assign _1818_ = _1412_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.7-1318.13" *) _1819_ : 3'h0;
-  assign _1819_ = _0419_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.10-1317.58" *) _1820_ : CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q7;
-  assign _1820_ = _1415_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.6-1316.61" *) 3'h0 : CASE_fetch_fetched_rvport1__read_BITS_30_TO_2_ETC__q6;
-  assign _1821_ = _0080_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1323.7-1327.64" *) _theResult_____4_fst_ea__h16285 : _1822_;
-  assign _1822_ = _0081_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1325.10-1327.63" *) 32'd0 : IF_NOT_compute_q_rv_port0__read__54_BITS_82_TO_ETC___d1221;
-  assign _1823_ = _1417_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1329.7-1332.66" *) compute_q_rv[8:4] : IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385;
-  assign _1824_ = _0084_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1334.7-1339.19" *) newPC__h14613 : _1825_;
-  assign _1825_ = _0430_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.10-1339.18" *) imm__h14821 : added__h15864;
-  assign _1826_ = _0087_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1341.7-1348.48" *) { compute_q_rv[18:14], added__h15834 } : _1827_;
-  assign _1827_ = _0088_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1343.10-1348.47" *) { 5'h02, newPC__h14613 } : _1828_;
-  assign _1828_ = _0431_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1345.6-1348.46" *) { compute_q_rv[8:4], imm__h14821 } : { compute_q_rv[18:14], added__h15864 };
-  assign _1829_ = _0432_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.7-1353.27" *) compute_q_rv[78:74] : compute_q_rv[13:9];
-  assign _1830_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1358.7-1360.25" *) _0433_ : compute_q_rv[68];
-  assign _1831_ = fetch_mispredictMemoryF_rv_port1__read__35_BIT_ETC___d218 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1373.7-1385.59" *) { 1'h0, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d222, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d225, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d228, NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d238, NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d249, x__h8530 } : _1832_;
-  assign _1832_ = _0099_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.10-1385.58" *) { 4'hc, \fetch_fetched_rv$port1__read [27:11], IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d281 } : CASE_fetch_fetched_rvport1__read_BITS_31_TO_2_ETC__q8;
-  assign _1833_ = _0434_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1387.7-1391.44" *) _1020_ : _1421_;
-  assign _1834_ = compute_q_rv[3] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1520.8-1530.7" *) _0110_ : _0112_;
-  assign _1835_ = _0111_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1522.4-1529.26" *) compute_q_rv[18:14] : _1836_;
-  assign _1836_ = _0113_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1524.7-1529.25" *) 5'h02 : _1837_;
-  assign _1837_ = _0520_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.10-1529.24" *) compute_q_rv[8:4] : compute_q_rv[18:14];
-  assign _1838_ = _0116_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1535.8-1541.24" *) _1076_ : _1839_;
-  assign _1839_ = _0523_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.4-1541.23" *) _1078_ : _0525_;
-  assign _1840_ = compute_q_rv[68] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2090.7-2090.54" *) added__h15834 : rs1v__h13670;
-  assign _1841_ = compute_q_rv[62] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2092.7-2092.54" *) added__h15864 : rs1v__h13670;
-  assign _1842_ = compute_q_rv[67] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2102.8-2102.41" *) 32'd16 : 32'd0;
-  assign _1843_ = _0280_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2124.8-2134.26" *) _1289_ : _1844_;
-  assign _1844_ = _0281_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2126.4-2134.25" *) _0842_ : _0843_;
-  assign _1845_ = compute_q_rv[3] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2135.8-2145.7" *) _1761_ : _1762_;
-  assign _1846_ = _0283_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2137.4-2144.26" *) compute_q_rv[18:14] : _1847_;
-  assign _1847_ = _0284_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2139.7-2144.25" *) 5'h02 : _1848_;
-  assign _1848_ = _0844_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2141.10-2144.24" *) compute_q_rv[8:4] : compute_q_rv[18:14];
-  assign _1849_ = _0288_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2153.8-2161.58" *) compute_q_rv[67] : _1850_;
-  assign _1850_ = _0289_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2155.4-2161.57" *) _1547_ : _0846_;
-  assign _1851_ = compute_q_rv[67] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2211.7-2213.42" *) { compute_q_rv[66:51], 16'hffff } : { 16'hffff, compute_q_rv[66:51] };
-  assign _1852_ = memory_delaySysmemResponse_rv[32] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2215.7-2217.14" *) memory_delaySysmemResponse_rv[31:0] : 32'd0;
-  assign _1853_ = compute_q_rv[52] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2240.26-2240.66" *) x__h16098 : x__h16140;
-  assign _1854_ = _1561_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2243.7-2246.22" *) y_avValue_snd__h7932 : nextPC__h7366;
-  assign _1855_ = _0883_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2248.7-2253.28" *) \compute_regFromMemory$wget [31:0] : _1856_;
-  assign _1856_ = _0311_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2251.10-2253.27" *) compute_q_rv[50:19] : \rf$computeSource1_read ;
-  assign _1857_ = _0884_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2255.7-2262.28" *) \compute_regFromMemory$wget [31:0] : _1858_;
-  assign _1858_ = _0313_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2259.10-2262.27" *) compute_q_rv[50:19] : \rf$computeSource2_read ;
-  assign _1859_ = \fetch_mispredictMemoryF_rv$port1__read [64] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2264.7-2266.55" *) \fetch_mispredictMemoryF_rv$port1__read [63:32] : \fetch_mispredictComputeF_rv$port1__read [63:32];
-  assign _1860_ = \fetch_mispredictMemoryF_rv$port1__read [64] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2268.7-2270.54" *) \fetch_mispredictMemoryF_rv$port1__read [31:0] : \fetch_mispredictComputeF_rv$port1__read [31:0];
-  assign _1861_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2272.7-2274.39" *) _1862_ : _theResult_____4_fst_b__h15183;
-  assign _1862_ = _0314_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2273.10-2273.65" *) rs2v__h13671 : 32'd0;
-  assign _1863_ = compute_q_rv[3] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2276.7-2280.64" *) \compute_alu1$run [63:32] : _1864_;
-  assign _1864_ = _0315_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2278.10-2280.63" *) added__h15834 : IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1198;
-  assign _1865_ = compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1215 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.7-2288.67" *) 32'd0 : IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d1223;
-  assign _1866_ = _1563_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.7-2306.14" *) _1867_ : 16'h0000;
-  assign _1867_ = _0890_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.10-2305.39" *) 16'h0000 : \fetch_fetched_rv$port1__read [15:0];
-  assign _1868_ = IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2311.7-2313.21" *) nextPC__h7366 : value__h7412;
-  assign _1869_ = _0316_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2501.6-2503.12" *) 3'h6 : 3'h0;
-  assign _1870_ = _0317_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2582.6-2599.47" *) \fetch_fetched_rv$port1__read [31:0] : _1871_;
-  assign _1871_ = _0910_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2584.9-2599.46" *) { 4'hf, \fetch_fetched_rv$port1__read [27:18], 1'h0, \fetch_fetched_rv$port1__read [16:0] } : _1872_;
-  assign _1872_ = _0911_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2590.5-2599.45" *) { 4'hf, \fetch_fetched_rv$port1__read [27:18], 2'h2, \fetch_fetched_rv$port1__read [15:0] } : { 4'hf, \fetch_fetched_rv$port1__read [27:18], 3'h6, \fetch_fetched_rv$port1__read [14:0] };
-  assign _1873_ = _0321_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2678.6-2694.32" *) compute_q_rv[82:51] : _1874_;
-  assign _1874_ = _0923_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.9-2694.31" *) { 4'hf, compute_q_rv[78:69], 1'h0, compute_q_rv[67:51] } : _1875_;
-  assign _1875_ = _0924_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2685.5-2694.30" *) { 4'hf, compute_q_rv[78:69], 2'h2, compute_q_rv[66:51] } : { 4'hf, compute_q_rv[78:69], 3'h6, compute_q_rv[65:51] };
-  assign _1876_ = WILL_FIRE_RL_mkConnectionGetPut ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:603.7-603.62" *) nextPC__h7940 : 32'd0;
-  assign _1877_ = _1590_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:626.7-629.49" *) 32'd0 : \memory_sysmemMaster_outgoing$wget [68:37];
-  assign _1878_ = _1591_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:633.7-638.11" *) 32'd0 : _1879_;
-  assign _1879_ = \memory_sysmemMaster_outgoing$wget [36] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:636.10-638.10" *) \memory_sysmemMaster_outgoing$wget [35:4] : 32'd0;
-  assign _1880_ = _1592_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:642.7-645.47" *) 4'h0 : \memory_sysmemMaster_outgoing$wget [3:0];
-  assign _1881_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:740.7-746.38" *) _1882_ : { 1'h0, compute_q_rv[81:51] };
-  assign _1882_ = _0329_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:741.10-745.60" *) { 4'hc, compute_q_rv[78:62], IF_compute_q_rv_port0__read__54_BITS_61_TO_59__ETC___d525 } : CASE_compute_q_rv_BITS_82_TO_80_0b100_compute__ETC__q10;
-  assign _1883_ = \MUX_compute_regFromMemory$wset_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:788.7-790.48" *) \MUX_compute_regFromMemory$wset_1__VAL_1  : \MUX_compute_regFromMemory$wset_1__VAL_2 ;
-  assign _1884_ = _0967_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.7-808.22" *) { 1'h1, sysmem_client_dat_i } : 33'h100000000;
-  assign _1885_ = \fetch_mispredictComputeF_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:822.7-824.36" *) \fetch_mispredictComputeF_rv$port0__write_1  : fetch_mispredictComputeF_rv;
-  assign _1886_ = \fetch_mispredictComputeF_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:829.7-831.48" *) 65'h0aaaaaaaaaaaaaaaa : \fetch_mispredictComputeF_rv$port1__read ;
-  assign _1887_ = \MUX_fetch_mispredictMemoryF_rv$port0__write_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:838.7-840.61" *) \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_1  : \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_2 ;
-  assign _1888_ = \fetch_mispredictMemoryF_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:842.7-844.35" *) \fetch_mispredictMemoryF_rv$port0__write_1  : fetch_mispredictMemoryF_rv;
-  assign _1889_ = \fetch_mispredictMemoryF_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:849.7-851.47" *) 65'h0aaaaaaaaaaaaaaaa : \fetch_mispredictMemoryF_rv$port1__read ;
-  assign _1890_ = EN_imem_client_response_put ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:853.7-855.29" *) 33'h0aaaaaaaa : fetch_pcRequested_rv;
-  assign _1891_ = EN_imem_client_request_get ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:858.7-860.41" *) \fetch_pcRequested_rv$port1__write_1  : \fetch_pcRequested_rv$port1__read ;
-  assign _1892_ = EN_imem_client_response_put ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:864.7-866.25" *) \fetch_fetched_rv$port0__write_1  : fetch_fetched_rv;
-  assign _1893_ = WILL_FIRE_RL_mkConnectionGetPut ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:868.7-870.37" *) 65'h0aaaaaaaaaaaaaaaa : \fetch_fetched_rv$port1__read ;
-  assign _1894_ = \compute_q_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:877.7-879.21" *) 84'h2aaaaaaaaaaaaaaaaaaaa : compute_q_rv;
-  assign _1895_ = WILL_FIRE_RL_mkConnectionGetPut ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:890.7-892.33" *) \compute_q_rv$port1__write_1  : \compute_q_rv$port1__read ;
-  assign _1896_ = \memory_q_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:896.7-898.20" *) 101'h0aaaaaaaaaaaaaaaaaaaaaaaaa : memory_q_rv;
-  assign _1897_ = compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1215 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:903.9-918.14" *) 2'h0 : _1898_;
-  assign _1898_ = _0336_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:905.5-918.13" *) _1899_ : _1900_;
-  assign _1899_ = compute_q_rv[79] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:906.8-906.38" *) 2'h1 : 2'h2;
-  assign _1900_ = _0337_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:907.8-918.12" *) 2'h0 : _1901_;
-  assign _1901_ = _0978_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:909.11-918.11" *) _1902_ : _1903_;
-  assign _1902_ = compute_q_rv[67] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:911.7-911.37" *) 2'h1 : 2'h2;
-  assign _1903_ = _0979_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:912.7-918.10" *) 2'h0 : _1904_;
-  assign _1904_ = _0980_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:915.10-918.9" *) _1905_ : 2'h0;
-  assign _1905_ = compute_q_rv[65] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:917.6-917.36" *) 2'h1 : 2'h2;
-  assign _1906_ = compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1259 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:920.9-931.12" *) 2'h0 : _1907_;
-  assign _1907_ = _0981_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:922.5-931.11" *) _1908_ : 2'h0;
-  assign _1908_ = _0982_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:924.8-930.15" *) 2'h2 : _1909_;
-  assign _1909_ = _0983_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:926.11-930.14" *) 2'h0 : _1910_;
-  assign _1910_ = _0984_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:928.7-930.13" *) 2'h2 : 2'h0;
-  assign _1911_ = WILL_FIRE_RL_mkConnectionGetPut_1 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:933.7-935.32" *) \memory_q_rv$port1__write_1  : \memory_q_rv$port1__read ;
-  assign _1912_ = \memory_waitRead_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:940.7-942.27" *) 71'h2aaaaaaaaaaaaaaaaa : memory_waitRead_rv;
-  assign _1913_ = \memory_waitRead_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:954.7-956.39" *) \memory_waitRead_rv$port1__write_1  : \memory_waitRead_rv$port1__read ;
-  assign _1914_ = WILL_FIRE_RL_memory_sysmemResponse ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:958.7-960.38" *) 34'h0aaaaaaaa : memory_delaySysmemResponse_rv;
-  assign _1915_ = \memory_delaySysmemResponse_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:967.7-969.50" *) \memory_delaySysmemResponse_rv$port1__write_1  : \memory_delaySysmemResponse_rv$port1__read ;
-  assign _1916_ = WILL_FIRE_RL_memory_sysmemRequest ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:977.7-979.36" *) \memory_sysmemMaster_fReq_rv$port0__write_1  : memory_sysmemMaster_fReq_rv;
-  assign _1917_ = \memory_sysmemMaster_fReq_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:986.7-988.48" *) 70'h0aaaaaaaaaaaaaaaaa : \memory_sysmemMaster_fReq_rv$port1__read ;
-  assign _1918_ = \memory_sysmemMaster_fRes_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:999.7-1001.36" *) \memory_sysmemMaster_fRes_rv$port0__write_1  : memory_sysmemMaster_fRes_rv;
+  assign _1014_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1283.8-1283.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1015_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1284.8-1284.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1016_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1321.42-1321.59" *) compute_q_rv[68];
+  assign _1017_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1342.9-1342.26" *) compute_q_rv[68];
+  assign _1018_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1380.9-1380.44" *) \memory_waitRead_rv$port1__read [70];
+  assign _1019_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.9-1381.26" *) memory_q_rv[100];
+  assign _1020_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1382.9-1382.44" *) \memory_waitRead_rv$port1__read [70];
+  assign _1021_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1384.7-1384.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1022_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1385.7-1385.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1023_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1386.7-1386.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d154;
+  assign _1024_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1387.7-1387.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d156;
+  assign _1025_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1389.8-1389.38" *) compute_memoryRegisterLoad[5];
+  assign _1026_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.8-1390.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1027_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1391.8-1391.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1028_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1396.8-1396.38" *) compute_memoryRegisterLoad[5];
+  assign _1029_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1397.8-1397.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1030_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.8-1398.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1031_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.8-1403.38" *) compute_memoryRegisterLoad[5];
+  assign _1032_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1404.8-1404.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1033_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.8-1405.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1034_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1440.8-1440.38" *) compute_memoryRegisterLoad[5];
+  assign _1035_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1441.8-1441.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1036_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1442.8-1442.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1037_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1445.8-1445.38" *) compute_memoryRegisterLoad[5];
+  assign _1038_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1446.8-1446.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1039_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1447.8-1447.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1040_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1451.8-1451.38" *) compute_memoryRegisterLoad[5];
+  assign _1041_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1452.8-1452.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1042_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1453.8-1453.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1043_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1458.8-1458.38" *) compute_memoryRegisterLoad[5];
+  assign _1044_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1459.8-1459.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1045_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.8-1460.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1046_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1463.7-1463.27" *) \compute_alu1$run [0];
+  assign _1047_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1465.8-1465.38" *) compute_memoryRegisterLoad[5];
+  assign _1048_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1466.8-1466.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1049_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.8-1467.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1050_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1472.8-1472.38" *) compute_memoryRegisterLoad[5];
+  assign _1051_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1473.8-1473.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1052_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.8-1474.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1053_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1477.7-1477.27" *) \compute_alu1$run [1];
+  assign _1054_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1479.8-1479.38" *) compute_memoryRegisterLoad[5];
+  assign _1055_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1480.8-1480.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1056_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.8-1481.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1057_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1486.8-1486.38" *) compute_memoryRegisterLoad[5];
+  assign _1058_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1487.8-1487.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1059_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.8-1488.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1060_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1491.7-1491.27" *) \compute_alu1$run [2];
+  assign _1061_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1493.8-1493.38" *) compute_memoryRegisterLoad[5];
+  assign _1062_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1494.8-1494.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1063_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.8-1495.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1064_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1500.8-1500.38" *) compute_memoryRegisterLoad[5];
+  assign _1065_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1501.8-1501.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1066_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.8-1502.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1067_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1505.7-1505.27" *) \compute_alu1$run [3];
+  assign _1068_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1507.8-1507.38" *) compute_memoryRegisterLoad[5];
+  assign _1069_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1508.8-1508.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1070_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.8-1509.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1071_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1523.8-1523.38" *) compute_memoryRegisterLoad[5];
+  assign _1072_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1524.8-1524.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1073_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1525.8-1525.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1074_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1527.3-1527.20" *) compute_q_rv[79];
+  assign _1075_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1528.39-1528.56" *) compute_q_rv[68];
+  assign _1076_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1529.6-1529.23" *) compute_q_rv[67];
+  assign _1077_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1532.6-1532.23" *) compute_q_rv[65];
+  assign _1078_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.8-1534.38" *) compute_memoryRegisterLoad[5];
+  assign _1079_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1535.8-1535.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1080_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1536.8-1536.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1081_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.8-1545.38" *) compute_memoryRegisterLoad[5];
+  assign _1082_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1546.8-1546.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1083_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1547.8-1547.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1084_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.8-1557.38" *) compute_memoryRegisterLoad[5];
+  assign _1085_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1558.8-1558.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1086_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1559.8-1559.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1087_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1567.7-1567.38" *) \rf$computeStatusSource_read [0];
+  assign _1088_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.8-1569.38" *) compute_memoryRegisterLoad[5];
+  assign _1089_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1570.8-1570.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1090_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1571.8-1571.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1091_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.8-1581.38" *) compute_memoryRegisterLoad[5];
+  assign _1092_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1582.8-1582.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1093_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1583.8-1583.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1094_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1591.7-1591.38" *) \rf$computeStatusSource_read [1];
+  assign _1095_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.8-1593.38" *) compute_memoryRegisterLoad[5];
+  assign _1096_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1594.8-1594.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1097_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1595.8-1595.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1098_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.8-1605.38" *) compute_memoryRegisterLoad[5];
+  assign _1099_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1606.8-1606.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1100_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1607.8-1607.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1101_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1615.7-1615.38" *) \rf$computeStatusSource_read [2];
+  assign _1102_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.8-1617.38" *) compute_memoryRegisterLoad[5];
+  assign _1103_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1618.8-1618.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1104_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1619.8-1619.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1105_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.8-1629.38" *) compute_memoryRegisterLoad[5];
+  assign _1106_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1630.8-1630.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1107_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1631.8-1631.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1108_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1639.7-1639.38" *) \rf$computeStatusSource_read [3];
+  assign _1109_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1641.8-1641.38" *) compute_memoryRegisterLoad[5];
+  assign _1110_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1642.8-1642.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1111_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1643.8-1643.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1112_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1649.8-1649.38" *) compute_memoryRegisterLoad[5];
+  assign _1113_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.8-1650.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1114_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1651.8-1651.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1115_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1657.8-1657.38" *) compute_memoryRegisterLoad[5];
+  assign _1116_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.8-1658.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1117_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1659.8-1659.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1118_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.8-1663.38" *) compute_memoryRegisterLoad[5];
+  assign _1119_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1664.8-1664.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1120_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1665.8-1665.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1121_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1670.8-1670.38" *) compute_memoryRegisterLoad[5];
+  assign _1122_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1671.8-1671.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1123_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.8-1672.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1124_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1677.8-1677.38" *) compute_memoryRegisterLoad[5];
+  assign _1125_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1678.8-1678.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1126_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.8-1679.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1127_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1684.8-1684.38" *) compute_memoryRegisterLoad[5];
+  assign _1128_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1685.8-1685.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1129_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.8-1686.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1130_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1691.8-1691.38" *) compute_memoryRegisterLoad[5];
+  assign _1131_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1692.8-1692.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1132_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.8-1693.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1133_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1698.8-1698.38" *) compute_memoryRegisterLoad[5];
+  assign _1134_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1699.8-1699.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1135_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.8-1700.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1136_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1705.8-1705.38" *) compute_memoryRegisterLoad[5];
+  assign _1137_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1706.8-1706.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1138_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.8-1707.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1139_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1712.8-1712.38" *) compute_memoryRegisterLoad[5];
+  assign _1140_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1713.8-1713.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1141_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.8-1714.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1142_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1719.8-1719.38" *) compute_memoryRegisterLoad[5];
+  assign _1143_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1720.8-1720.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1144_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.8-1721.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1145_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1726.8-1726.38" *) compute_memoryRegisterLoad[5];
+  assign _1146_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1727.8-1727.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1147_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.8-1728.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1148_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1733.8-1733.38" *) compute_memoryRegisterLoad[5];
+  assign _1149_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1734.8-1734.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1150_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.8-1735.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1151_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1740.8-1740.38" *) compute_memoryRegisterLoad[5];
+  assign _1152_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1741.8-1741.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1153_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.8-1742.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1154_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1747.8-1747.38" *) compute_memoryRegisterLoad[5];
+  assign _1155_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1748.8-1748.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1156_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.8-1749.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1157_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.8-1754.38" *) compute_memoryRegisterLoad[5];
+  assign _1158_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1755.8-1755.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1159_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.8-1756.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1160_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1775.8-1775.38" *) compute_memoryRegisterLoad[5];
+  assign _1161_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1776.8-1776.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1162_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1777.8-1777.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1163_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1780.8-1780.38" *) compute_memoryRegisterLoad[5];
+  assign _1164_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1781.8-1781.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1165_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1782.8-1782.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1166_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1785.8-1785.38" *) compute_memoryRegisterLoad[5];
+  assign _1167_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1786.8-1786.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1168_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1787.8-1787.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1169_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1790.8-1790.38" *) compute_memoryRegisterLoad[5];
+  assign _1170_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1791.8-1791.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1171_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1792.8-1792.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1172_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1795.8-1795.38" *) compute_memoryRegisterLoad[5];
+  assign _1173_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1796.8-1796.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1174_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1797.8-1797.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1175_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1800.8-1800.38" *) compute_memoryRegisterLoad[5];
+  assign _1176_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1801.8-1801.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1177_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1802.8-1802.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1178_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1805.8-1805.38" *) compute_memoryRegisterLoad[5];
+  assign _1179_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1806.8-1806.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1180_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1807.8-1807.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1181_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1810.8-1810.38" *) compute_memoryRegisterLoad[5];
+  assign _1182_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1811.8-1811.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1183_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1812.8-1812.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1184_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.8-1815.38" *) compute_memoryRegisterLoad[5];
+  assign _1185_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1816.8-1816.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1186_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1817.8-1817.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1187_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1821.8-1821.38" *) compute_memoryRegisterLoad[5];
+  assign _1188_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1822.8-1822.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1189_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1823.8-1823.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1190_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1828.8-1828.38" *) compute_memoryRegisterLoad[5];
+  assign _1191_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1829.8-1829.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1192_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.8-1830.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1193_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1835.8-1835.38" *) compute_memoryRegisterLoad[5];
+  assign _1194_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1836.8-1836.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1195_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.8-1837.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1196_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1842.8-1842.38" *) compute_memoryRegisterLoad[5];
+  assign _1197_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1843.8-1843.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1198_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.8-1844.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1199_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1849.8-1849.38" *) compute_memoryRegisterLoad[5];
+  assign _1200_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1850.8-1850.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1201_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.8-1851.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1202_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1856.8-1856.38" *) compute_memoryRegisterLoad[5];
+  assign _1203_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1857.8-1857.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1204_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.8-1858.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1205_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1863.8-1863.38" *) compute_memoryRegisterLoad[5];
+  assign _1206_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1864.8-1864.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1207_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.8-1865.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1208_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1870.8-1870.38" *) compute_memoryRegisterLoad[5];
+  assign _1209_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1871.8-1871.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1210_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.8-1872.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1211_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1877.8-1877.38" *) compute_memoryRegisterLoad[5];
+  assign _1212_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1878.8-1878.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1213_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.8-1879.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1214_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1884.8-1884.38" *) compute_memoryRegisterLoad[5];
+  assign _1215_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1885.8-1885.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1216_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.8-1886.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1217_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1891.8-1891.38" *) compute_memoryRegisterLoad[5];
+  assign _1218_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1892.8-1892.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1219_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.8-1893.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1220_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1898.8-1898.38" *) compute_memoryRegisterLoad[5];
+  assign _1221_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1899.8-1899.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1222_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.8-1900.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1223_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1905.8-1905.38" *) compute_memoryRegisterLoad[5];
+  assign _1224_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1906.8-1906.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1225_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.8-1907.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1226_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1912.8-1912.38" *) compute_memoryRegisterLoad[5];
+  assign _1227_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1913.8-1913.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1228_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.8-1914.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1229_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1919.8-1919.38" *) compute_memoryRegisterLoad[5];
+  assign _1230_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1920.8-1920.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1231_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.8-1921.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1232_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1926.8-1926.38" *) compute_memoryRegisterLoad[5];
+  assign _1233_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1927.8-1927.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1234_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.8-1928.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1235_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1933.8-1933.38" *) compute_memoryRegisterLoad[5];
+  assign _1236_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1934.8-1934.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1237_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.8-1935.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1238_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1940.8-1940.38" *) compute_memoryRegisterLoad[5];
+  assign _1239_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1941.8-1941.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1240_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.8-1942.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1241_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1947.8-1947.38" *) compute_memoryRegisterLoad[5];
+  assign _1242_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1948.8-1948.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1243_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.8-1949.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1244_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1954.8-1954.38" *) compute_memoryRegisterLoad[5];
+  assign _1245_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1955.8-1955.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1246_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.8-1956.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1247_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1961.8-1961.38" *) compute_memoryRegisterLoad[5];
+  assign _1248_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1962.8-1962.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1249_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.8-1963.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1250_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1968.8-1968.38" *) compute_memoryRegisterLoad[5];
+  assign _1251_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1969.8-1969.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1252_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.8-1970.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1253_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1975.8-1975.38" *) compute_memoryRegisterLoad[5];
+  assign _1254_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1976.8-1976.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1255_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.8-1977.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1256_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1982.8-1982.38" *) compute_memoryRegisterLoad[5];
+  assign _1257_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1983.8-1983.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1258_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.8-1984.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1259_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1989.8-1989.38" *) compute_memoryRegisterLoad[5];
+  assign _1260_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1990.8-1990.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1261_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.8-1991.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1262_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1996.8-1996.38" *) compute_memoryRegisterLoad[5];
+  assign _1263_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1997.8-1997.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1264_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.8-1998.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1265_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2003.8-2003.38" *) compute_memoryRegisterLoad[5];
+  assign _1266_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2004.8-2004.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1267_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.8-2005.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1268_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2010.8-2010.38" *) compute_memoryRegisterLoad[5];
+  assign _1269_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2011.8-2011.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1270_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.8-2012.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1271_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2017.8-2017.38" *) compute_memoryRegisterLoad[5];
+  assign _1272_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2018.8-2018.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1273_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.8-2019.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1274_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.8-2024.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1275_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2025.8-2025.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1276_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2028.41" *) \fetch_fetched_rv$port1__read [31];
+  assign _1277_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.8-2038.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1278_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2039.8-2039.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1279_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2042.41" *) \fetch_fetched_rv$port1__read [31];
+  assign _1280_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2048.9-2048.42" *) \fetch_fetched_rv$port1__read [17];
+  assign _1281_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.8-2053.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1282_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2054.8-2054.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1283_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2098.7-2098.24" *) compute_q_rv[82];
+  assign _1284_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2104.7-2104.24" *) compute_q_rv[82];
+  assign _1285_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2109.8-2109.25" *) compute_q_rv[68];
+  assign _1286_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2114.7-2114.23" *) compute_q_rv[3];
+  assign _1287_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2116.3-2116.20" *) compute_q_rv[67];
+  assign _1288_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2125.7-2125.24" *) compute_q_rv[61];
+  assign _1289_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2137.7-2137.39" *) fetch_mispredictComputeF_rv[64];
+  assign _1290_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2164.7-2164.38" *) \rf$computeStatusSource_read [0];
+  assign _1291_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2176.7-2176.38" *) \rf$computeStatusSource_read [1];
+  assign _1292_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2188.7-2188.38" *) \rf$computeStatusSource_read [2];
+  assign _1293_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2200.7-2200.38" *) \rf$computeStatusSource_read [3];
+  assign _1294_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2212.7-2212.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1295_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2213.7-2213.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1296_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2218.7-2218.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1297_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.7-2219.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1298_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2282.8-2282.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1299_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2283.8-2283.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1300_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2310.8-2310.39" *) \rf$computeStatusSource_read [3];
+  assign _1301_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2315.8-2315.39" *) \rf$computeStatusSource_read [0];
+  assign _1302_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2321.8-2321.39" *) \rf$computeStatusSource_read [3];
+  assign _1303_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2327.8-2327.39" *) \rf$computeStatusSource_read [0];
+  assign _1304_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2333.8-2333.39" *) \rf$computeStatusSource_read [2];
+  assign _1305_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2339.8-2339.39" *) \rf$computeStatusSource_read [1];
+  assign _1306_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2342.9-2342.40" *) \rf$computeStatusSource_read [1];
+  assign _1307_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2343.9-2343.40" *) \rf$computeStatusSource_read [2];
+  assign _1308_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2348.9-2348.40" *) \rf$computeStatusSource_read [1];
+  assign _1309_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2351.9-2351.40" *) \rf$computeStatusSource_read [2];
+  assign _1310_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2354.9-2354.40" *) \rf$computeStatusSource_read [1];
+  assign _1311_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2355.9-2355.40" *) \rf$computeStatusSource_read [2];
+  assign _1312_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2361.6-2361.37" *) \rf$computeStatusSource_read [0];
+  assign _1313_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2362.7-2362.38" *) \rf$computeStatusSource_read [1];
+  assign _1314_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2365.7-2365.38" *) \rf$computeStatusSource_read [2];
+  assign _1315_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2415.8-2415.39" *) \rf$computeStatusSource_read [0];
+  assign _1316_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2418.8-2418.39" *) \rf$computeStatusSource_read [3];
+  assign _1317_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2422.8-2422.39" *) \rf$computeStatusSource_read [3];
+  assign _1318_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2428.8-2428.39" *) \rf$computeStatusSource_read [0];
+  assign _1319_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2434.8-2434.39" *) \rf$computeStatusSource_read [2];
+  assign _1320_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2440.8-2440.39" *) \rf$computeStatusSource_read [1];
+  assign _1321_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2448.8-2448.39" *) \rf$computeStatusSource_read [1];
+  assign _1322_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2449.8-2449.39" *) \rf$computeStatusSource_read [2];
+  assign _1323_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2453.8-2453.39" *) \rf$computeStatusSource_read [2];
+  assign _1324_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2454.8-2454.39" *) \rf$computeStatusSource_read [1];
+  assign _1325_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2460.8-2460.39" *) \rf$computeStatusSource_read [0];
+  assign _1326_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2461.8-2461.39" *) \rf$computeStatusSource_read [1];
+  assign _1327_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2462.8-2462.39" *) \rf$computeStatusSource_read [2];
+  assign _1328_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2463.8-2463.39" *) \rf$computeStatusSource_read [0];
+  assign _1329_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2467.6-2467.37" *) \rf$computeStatusSource_read [2];
+  assign _1330_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2468.6-2468.37" *) \rf$computeStatusSource_read [1];
+  assign _1331_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2576.10-2576.43" *) \fetch_fetched_rv$port1__read [17];
+  assign _1332_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2599.8-2599.39" *) \rf$computeStatusSource_read [0];
+  assign _1333_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2602.8-2602.39" *) \rf$computeStatusSource_read [3];
+  assign _1334_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2606.8-2606.39" *) \rf$computeStatusSource_read [3];
+  assign _1335_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2612.8-2612.39" *) \rf$computeStatusSource_read [0];
+  assign _1336_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2618.8-2618.39" *) \rf$computeStatusSource_read [2];
+  assign _1337_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2624.8-2624.39" *) \rf$computeStatusSource_read [1];
+  assign _1338_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2632.8-2632.39" *) \rf$computeStatusSource_read [1];
+  assign _1339_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2633.8-2633.39" *) \rf$computeStatusSource_read [2];
+  assign _1340_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2637.8-2637.39" *) \rf$computeStatusSource_read [2];
+  assign _1341_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2638.8-2638.39" *) \rf$computeStatusSource_read [1];
+  assign _1342_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2644.8-2644.39" *) \rf$computeStatusSource_read [0];
+  assign _1343_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2645.8-2645.39" *) \rf$computeStatusSource_read [1];
+  assign _1344_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2646.8-2646.39" *) \rf$computeStatusSource_read [2];
+  assign _1345_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2647.8-2647.39" *) \rf$computeStatusSource_read [0];
+  assign _1346_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2651.6-2651.37" *) \rf$computeStatusSource_read [2];
+  assign _1347_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2652.6-2652.37" *) \rf$computeStatusSource_read [1];
+  assign _1348_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2671.44-2671.61" *) compute_q_rv[68];
+  assign _1349_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:586.27-586.48" *) memory_pendingPCLoad;
+  assign _1350_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:593.8-593.31" *) memory_waitRead_rv[69];
+  assign _1351_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:594.8-594.39" *) fetch_mispredictMemoryF_rv[64];
+  assign _1352_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:601.7-601.44" *) \fetch_pcRequested_rv$port1__read [32];
+  assign _1353_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:607.7-607.28" *) fetch_fetched_rv[64];
+  assign _1354_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:621.8-621.52" *) \memory_sysmemMaster_fReq_rv$port1__read [69];
+  assign _1355_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:622.8-622.46" *) \memory_sysmemMaster_outgoing$wget [69];
+  assign _1356_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:628.8-628.52" *) \memory_sysmemMaster_fReq_rv$port1__read [69];
+  assign _1357_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:629.8-629.46" *) \memory_sysmemMaster_outgoing$wget [69];
+  assign _1358_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:637.8-637.52" *) \memory_sysmemMaster_fReq_rv$port1__read [69];
+  assign _1359_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:638.8-638.46" *) \memory_sysmemMaster_outgoing$wget [69];
+  assign _1360_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:686.8-686.31" *) memory_waitRead_rv[69];
+  assign _1361_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:687.8-687.39" *) fetch_mispredictMemoryF_rv[64];
+  assign _1362_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:689.7-689.35" *) EN_dmem_client_response_put;
+  assign _1363_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:693.27-693.59" *) memory_sysmemMaster_fReq_rv[69];
+  assign _1364_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:695.7-695.28" *) memory_pendingPCLoad;
+  assign _1365_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:696.7-696.64" *) memory_q_rv_port0__read__5_BITS_99_TO_68_6_ULT_ETC___d67;
+  assign _1366_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:700.27-700.56" *) \memory_q_rv$port1__read [100];
+  assign _1367_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:706.7-706.36" *) \compute_q_rv$port1__read [83];
+  assign _1368_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:754.8-754.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1369_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:755.8-755.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1370_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.31-800.51" *) sysmem_client_err_i;
+  assign _1371_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:801.8-801.28" *) sysmem_client_rty_i;
+  assign _1372_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:868.8-868.38" *) compute_memoryRegisterLoad[5];
+  assign _1373_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:869.8-869.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1374_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:870.8-870.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1375_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:905.5-905.22" *) compute_q_rv[68];
+  assign _1376_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:921.12-921.29" *) compute_q_rv[64];
+  assign _1377_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:923.28-923.45" *) compute_q_rv[65];
+  assign _1378_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:958.7-958.53" *) \memory_delaySysmemResponse_rv$port1__read [33];
+  assign _1379_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:977.7-977.39" *) memory_sysmemMaster_fRes_rv[33];
+  assign _1380_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:986.7-986.39" *) memory_sysmemMaster_fRes_rv[33];
+  assign _1381_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:991.9-991.53" *) \memory_sysmemMaster_fReq_rv$port1__read [36];
+  assign _1382_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:999.7-999.53" *) \memory_delaySysmemResponse_rv$port1__read [33];
+  assign _1383_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1024.8-1025.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1384_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1032.8-1033.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1385_ = IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d154 || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.8-1037.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d156;
+  assign _1386_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1043.8-1044.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1387_ = \memory_startPCLoad$whas  || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1096.7-1096.56" *) \memory_stopPCLoad$whas ;
+  assign _1388_ = _0062_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1128.8-1129.38" *) \rf$computeStatusSource_read [3];
+  assign _1389_ = _0063_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1132.5-1133.35" *) \rf$computeStatusSource_read [3];
+  assign _1390_ = _0066_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1141.10-1143.57" *) _0370_;
+  assign _1391_ = _0995_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1170.8-1172.66" *) _0374_;
+  assign _1392_ = _0376_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1174.7-1177.40" *) _0378_;
+  assign _1393_ = _0385_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1202.8-1204.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1394_ = _1393_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1202.8-1205.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1395_ = _1624_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.5-1214.50" *) _0392_;
+  assign _1396_ = _0393_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1219.8-1221.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1397_ = _1396_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1219.8-1222.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1398_ = _1631_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.5-1231.50" *) _0400_;
+  assign _1399_ = _0401_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1236.8-1238.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1400_ = _1399_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1236.8-1239.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1401_ = _1638_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.5-1248.50" *) _0408_;
+  assign _1402_ = _0409_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1253.8-1255.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1403_ = _1402_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1253.8-1256.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1404_ = _0410_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1260.8-1262.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1405_ = _1404_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1260.8-1263.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1406_ = _0411_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1267.8-1269.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1407_ = _1406_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1267.8-1270.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1408_ = _0412_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1278.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1409_ = _1408_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1279.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1410_ = _0413_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1283.8-1285.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1411_ = _1410_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1283.8-1286.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1412_ = _1645_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1291.5-1294.50" *) _0419_;
+  assign _1413_ = _0424_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.7-1304.50" *) _0077_;
+  assign _1414_ = _1413_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.7-1305.50" *) _0078_;
+  assign _1415_ = _1652_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1300.8-1303.53" *) _0426_;
+  assign _1416_ = _0081_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1320.8-1321.59" *) _0427_;
+  assign _1417_ = _1017_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1342.9-1342.59" *) _0091_;
+  assign _1418_ = _0099_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1379.9-1379.65" *) _0100_;
+  assign _1419_ = _1019_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.9-1381.56" *) _1655_;
+  assign _1420_ = _1419_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.9-1382.44" *) _1020_;
+  assign _1421_ = _1025_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1389.8-1391.66" *) _0439_;
+  assign _1422_ = _1028_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1396.8-1398.66" *) _0443_;
+  assign _1423_ = _1031_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.8-1405.66" *) _0477_;
+  assign _1424_ = _1034_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1440.8-1442.66" *) _0479_;
+  assign _1425_ = _1037_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1445.8-1447.66" *) _0482_;
+  assign _1426_ = _1040_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1451.8-1453.66" *) _0486_;
+  assign _1427_ = _1043_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1458.8-1460.66" *) _0490_;
+  assign _1428_ = _1047_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1465.8-1467.66" *) _0494_;
+  assign _1429_ = _1050_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1472.8-1474.66" *) _0498_;
+  assign _1430_ = _1054_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1479.8-1481.66" *) _0502_;
+  assign _1431_ = _1057_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1486.8-1488.66" *) _0506_;
+  assign _1432_ = _1061_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1493.8-1495.66" *) _0510_;
+  assign _1433_ = _1064_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1500.8-1502.66" *) _0514_;
+  assign _1434_ = _1068_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1507.8-1509.66" *) _0517_;
+  assign _1435_ = _1071_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1523.8-1525.66" *) _0520_;
+  assign _1436_ = _1078_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.8-1536.66" *) _0531_;
+  assign _1437_ = _1081_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.8-1547.66" *) _0540_;
+  assign _1438_ = _1084_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.8-1559.66" *) _0549_;
+  assign _1439_ = _1088_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.8-1571.66" *) _0558_;
+  assign _1440_ = _1091_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.8-1583.66" *) _0567_;
+  assign _1441_ = _1095_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.8-1595.66" *) _0576_;
+  assign _1442_ = _1098_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.8-1607.66" *) _0585_;
+  assign _1443_ = _1102_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.8-1619.66" *) _0594_;
+  assign _1444_ = _1105_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.8-1631.66" *) _0603_;
+  assign _1445_ = _1109_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1641.8-1643.66" *) _0606_;
+  assign _1446_ = _0138_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1645.8-1647.62" *) _0607_;
+  assign _1447_ = _1112_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1649.8-1651.66" *) _0611_;
+  assign _1448_ = _0140_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1654.8-1655.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1449_ = _1115_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1657.8-1659.66" *) _0614_;
+  assign _1450_ = _1118_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.8-1665.66" *) _0618_;
+  assign _1451_ = _1121_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1670.8-1672.66" *) _0622_;
+  assign _1452_ = _1124_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1677.8-1679.66" *) _0626_;
+  assign _1453_ = _1127_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1684.8-1686.66" *) _0630_;
+  assign _1454_ = _1130_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1691.8-1693.66" *) _0634_;
+  assign _1455_ = _1133_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1698.8-1700.66" *) _0638_;
+  assign _1456_ = _1136_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1705.8-1707.66" *) _0642_;
+  assign _1457_ = _1139_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1712.8-1714.66" *) _0646_;
+  assign _1458_ = _1142_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1719.8-1721.66" *) _0650_;
+  assign _1459_ = _1145_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1726.8-1728.66" *) _0654_;
+  assign _1460_ = _1148_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1733.8-1735.66" *) _0658_;
+  assign _1461_ = _1151_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1740.8-1742.66" *) _0662_;
+  assign _1462_ = _1154_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1747.8-1749.66" *) _0666_;
+  assign _1463_ = _1157_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.8-1756.66" *) _0684_;
+  assign _1464_ = _1160_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1775.8-1777.66" *) _0686_;
+  assign _1465_ = _1163_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1780.8-1782.66" *) _0688_;
+  assign _1466_ = _1166_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1785.8-1787.66" *) _0690_;
+  assign _1467_ = _1169_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1790.8-1792.66" *) _0692_;
+  assign _1468_ = _1172_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1795.8-1797.66" *) _0694_;
+  assign _1469_ = _1175_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1800.8-1802.66" *) _0696_;
+  assign _1470_ = _1178_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1805.8-1807.66" *) _0698_;
+  assign _1471_ = _1181_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1810.8-1812.66" *) _0700_;
+  assign _1472_ = _1184_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.8-1817.66" *) _0703_;
+  assign _1473_ = _1187_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1821.8-1823.66" *) _0707_;
+  assign _1474_ = _1190_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1828.8-1830.66" *) _0711_;
+  assign _1475_ = _1193_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1835.8-1837.66" *) _0715_;
+  assign _1476_ = _1196_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1842.8-1844.66" *) _0719_;
+  assign _1477_ = _1199_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1849.8-1851.66" *) _0723_;
+  assign _1478_ = _1202_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1856.8-1858.66" *) _0727_;
+  assign _1479_ = _1205_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1863.8-1865.66" *) _0731_;
+  assign _1480_ = _1208_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1870.8-1872.66" *) _0735_;
+  assign _1481_ = _1211_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1877.8-1879.66" *) _0739_;
+  assign _1482_ = _1214_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1884.8-1886.66" *) _0743_;
+  assign _1483_ = _1217_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1891.8-1893.66" *) _0747_;
+  assign _1484_ = _1220_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1898.8-1900.66" *) _0751_;
+  assign _1485_ = _1223_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1905.8-1907.66" *) _0755_;
+  assign _1486_ = _1226_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1912.8-1914.66" *) _0759_;
+  assign _1487_ = _1229_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1919.8-1921.66" *) _0763_;
+  assign _1488_ = _1232_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1926.8-1928.66" *) _0767_;
+  assign _1489_ = _1235_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1933.8-1935.66" *) _0771_;
+  assign _1490_ = _1238_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1940.8-1942.66" *) _0775_;
+  assign _1491_ = _1241_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1947.8-1949.66" *) _0779_;
+  assign _1492_ = _1244_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1954.8-1956.66" *) _0783_;
+  assign _1493_ = _1247_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1961.8-1963.66" *) _0787_;
+  assign _1494_ = _1250_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1968.8-1970.66" *) _0791_;
+  assign _1495_ = _1253_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1975.8-1977.66" *) _0795_;
+  assign _1496_ = _1256_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1982.8-1984.66" *) _0799_;
+  assign _1497_ = _1259_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1989.8-1991.66" *) _0803_;
+  assign _1498_ = _1262_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1996.8-1998.66" *) _0807_;
+  assign _1499_ = _1265_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2003.8-2005.66" *) _0811_;
+  assign _1500_ = _1268_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2010.8-2012.66" *) _0815_;
+  assign _1501_ = _1271_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2017.8-2019.66" *) _0819_;
+  assign _1502_ = _0820_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.8-2026.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1503_ = _1502_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.8-2027.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1504_ = _1276_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2029.54" *) _0259_;
+  assign _1505_ = _1504_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2030.53" *) _0260_;
+  assign _1506_ = _1505_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2031.53" *) _0261_;
+  assign _1507_ = _1506_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2032.54" *) _0262_;
+  assign _1508_ = _1507_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2035.55" *) _0823_;
+  assign _1509_ = _0264_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2034.9-2035.54" *) _0265_;
+  assign _1510_ = _0824_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.8-2040.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1511_ = _1510_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.8-2041.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1512_ = _1279_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2043.54" *) _0266_;
+  assign _1513_ = _1512_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2044.53" *) _0267_;
+  assign _1514_ = _1513_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2045.53" *) _0268_;
+  assign _1515_ = _1514_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2046.54" *) _0269_;
+  assign _1516_ = _1515_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2050.55" *) _0827_;
+  assign _1517_ = _1280_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2048.9-2049.53" *) _0271_;
+  assign _1518_ = _1517_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2048.9-2050.54" *) _0272_;
+  assign _1519_ = _0828_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.8-2055.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1520_ = _1519_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.8-2056.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1521_ = _1752_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2060.8-2063.53" *) _0834_;
+  assign _1522_ = WILL_FIRE_RL_memory_sysmemResponse || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2068.7-2069.34" *) EN_dmem_client_response_put;
+  assign _1523_ = WILL_FIRE_RL_memory_sysmemResponse || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2071.7-2072.34" *) EN_dmem_client_response_put;
+  assign _1524_ = WILL_FIRE_RL_memory_sysmemRequest || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2074.7-2074.70" *) EN_dmem_client_request_get;
+  assign _1525_ = WILL_FIRE_RL_memory_sysmemResponse || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2076.7-2077.34" *) EN_dmem_client_response_put;
+  assign _1526_ = WILL_FIRE_RL_memory_sysmemRequest || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2079.7-2079.70" *) EN_dmem_client_request_get;
+  assign _1527_ = _0835_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2095.7-2098.24" *) _1283_;
+  assign _1528_ = _1527_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2095.7-2099.37" *) _0273_;
+  assign _1529_ = compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361 || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2096.8-2097.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1530_ = _0836_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2104.24" *) _1284_;
+  assign _1531_ = _1530_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2105.37" *) _0274_;
+  assign _1532_ = _1531_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2106.36" *) _0275_;
+  assign _1533_ = _1532_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2107.37" *) _0276_;
+  assign _1534_ = _1533_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2109.58" *) _0837_;
+  assign _1535_ = compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361 || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2102.8-2103.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1536_ = _1285_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2109.8-2109.57" *) _0278_;
+  assign _1537_ = _0838_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2111.7-2125.27" *) _0839_;
+  assign _1538_ = _1537_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2111.7-2136.8" *) _1844_;
+  assign _1539_ = _1538_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2111.7-2137.39" *) _1289_;
+  assign _1540_ = compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361 || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2112.8-2113.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1541_ = _0281_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2119.7-2120.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1542_ = _1756_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2121.7-2122.35" *) _1757_;
+  assign _1543_ = _1758_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2123.7-2124.36" *) _1759_;
+  assign _1544_ = _1543_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2123.7-2125.24" *) _1288_;
+  assign _1545_ = compute_q_rv[3] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2143.7-2152.59" *) _1848_;
+  assign _1546_ = _0289_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2147.6-2149.60" *) _0843_;
+  assign _1547_ = _0291_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2151.7-2152.56" *) _0845_;
+  assign _1548_ = _0294_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2156.8-2157.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1549_ = _0296_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2162.8-2163.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1550_ = _0298_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2168.8-2169.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1551_ = _0300_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2174.8-2175.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1552_ = _0302_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2180.8-2181.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1553_ = _0304_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2186.8-2187.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1554_ = _0306_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2192.8-2193.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1555_ = _0308_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2198.8-2199.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1556_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2210.8-2211.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1557_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2216.8-2217.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1558_ = _0874_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2216.7-2227.54" *) _0878_;
+  assign _1559_ = _1775_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2224.8-2227.53" *) _0880_;
+  assign _1560_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2234.8-2235.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1561_ = _0883_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2282.8-2284.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1562_ = _1561_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2282.8-2285.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1563_ = _1782_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.5-2294.50" *) _0890_;
+  assign _1564_ = _1300_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2310.8-2311.38" *) \rf$computeStatusSource_read [0];
+  assign _1565_ = _1306_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2342.9-2343.40" *) _1307_;
+  assign _1566_ = \rf$computeStatusSource_read [1] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2344.9-2345.39" *) \rf$computeStatusSource_read [2];
+  assign _1567_ = _1308_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2348.9-2349.39" *) \rf$computeStatusSource_read [2];
+  assign _1568_ = \rf$computeStatusSource_read [1] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2350.9-2351.40" *) _1309_;
+  assign _1569_ = _1310_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2354.9-2355.40" *) _1311_;
+  assign _1570_ = _1569_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2354.9-2356.39" *) \rf$computeStatusSource_read [0];
+  assign _1571_ = \rf$computeStatusSource_read [1] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.9-2358.39" *) \rf$computeStatusSource_read [2];
+  assign _1572_ = _1571_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.9-2359.39" *) \rf$computeStatusSource_read [0];
+  assign _1573_ = _1313_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2362.7-2363.37" *) \rf$computeStatusSource_read [2];
+  assign _1574_ = \rf$computeStatusSource_read [1] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2364.7-2365.38" *) _1314_;
+  assign _1575_ = _1316_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2418.8-2419.38" *) \rf$computeStatusSource_read [0];
+  assign _1576_ = _0898_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2446.8-2449.39" *) _0899_;
+  assign _1577_ = _0900_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2452.8-2455.38" *) _0901_;
+  assign _1578_ = _0903_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2458.8-2463.39" *) _0905_;
+  assign _1579_ = \rf$computeStatusSource_read [0] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2465.6-2467.37" *) _0906_;
+  assign _1580_ = _1579_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2465.6-2469.36" *) _0907_;
+  assign _1581_ = _1333_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2602.8-2603.38" *) \rf$computeStatusSource_read [0];
+  assign _1582_ = _0911_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2630.8-2633.39" *) _0912_;
+  assign _1583_ = _0913_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2636.8-2639.38" *) _0914_;
+  assign _1584_ = _0916_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2642.8-2647.39" *) _0918_;
+  assign _1585_ = \rf$computeStatusSource_read [0] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2649.6-2651.37" *) _0919_;
+  assign _1586_ = _1585_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2649.6-2653.36" *) _0920_;
+  assign _1587_ = _1350_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:593.8-593.68" *) _1785_;
+  assign _1588_ = _1587_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:593.8-594.39" *) _1351_;
+  assign _1589_ = _1354_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:621.8-622.46" *) _1355_;
+  assign _1590_ = _1356_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:628.8-629.46" *) _1357_;
+  assign _1591_ = _1358_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:637.8-638.46" *) _1359_;
+  assign _1592_ = _1360_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:686.8-686.68" *) _1786_;
+  assign _1593_ = _1592_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:686.8-687.39" *) _1361_;
+  assign _1594_ = _0954_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:754.8-756.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1595_ = _1594_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:754.8-757.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1596_ = WILL_FIRE_RL_mkConnectionGetPut || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:781.7-781.62" *) _0329_;
+  assign _1597_ = _0957_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:787.7-790.40" *) _0959_;
+  assign _1598_ = sysmem_client_ack_i || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.7-805.49" *) sysmem_client_err_i;
+  assign _1599_ = _1598_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.7-806.26" *) sysmem_client_rty_i;
+  assign _1600_ = WILL_FIRE_RL_memory_sysmemRequest || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:808.7-808.70" *) EN_dmem_client_request_get;
+  assign _1601_ = _0970_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:828.7-831.40" *) _0972_;
+  assign _1602_ = _1372_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:868.8-870.66" *) _0975_;
+  assign _1603_ = WILL_FIRE_RL_memory_sysmemRequest || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:889.7-889.70" *) EN_dmem_client_request_get;
+  assign _1604_ = WILL_FIRE_RL_memory_sysmemResponse || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:932.7-933.34" *) EN_dmem_client_response_put;
+  assign _1605_ = _0344_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:940.8-940.64" *) _0345_;
+  assign _1606_ = _1605_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:940.8-941.34" *) _0346_;
+  assign _1607_ = memory_q_rv[99:68] < (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2230.7-2230.40" *) 32'd536870912;
+  assign _1608_ = x__read__h818 < (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:600.29-600.57" *) 32'd536870912;
+  assign _1609_ = fetch_pcRequested_rv[31:0] < (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:606.7-606.48" *) 32'd536870912;
+  assign _1610_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1127.7-1127.34" *) 3'h0;
+  assign _1611_ = compute_q_rv[81:79] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1131.4-1131.31" *) 3'h0;
+  assign _1612_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1135.9-1135.36" *) 3'h0;
+  assign _1613_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1136.9-1136.38" *) 3'h2;
+  assign _1614_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1137.9-1137.38" *) 3'h1;
+  assign _1615_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1138.9-1138.38" *) 3'h3;
+  assign _1616_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1139.9-1139.38" *) 3'h4;
+  assign _1617_ = { compute_q_rv[53:51], compute_q_rv[67] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1142.3-1142.52" *) 4'h1;
+  assign _1618_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1175.7-1175.40" *) 5'h02;
+  assign _1619_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1177.7-1177.40" *) 5'h02;
+  assign _1620_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1207.4-1207.50" *) 4'hc;
+  assign _1621_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1208.4-1208.49" *) 3'h4;
+  assign _1622_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1209.4-1209.49" *) 3'h5;
+  assign _1623_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1210.4-1210.50" *) 4'he;
+  assign _1624_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.5-1211.51" *) 4'hf;
+  assign _1625_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1213.5-1213.49" *) 2'h2;
+  assign _1626_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1214.5-1214.50" *) 3'h6;
+  assign _1627_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1224.4-1224.50" *) 4'hc;
+  assign _1628_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1225.4-1225.49" *) 3'h4;
+  assign _1629_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1226.4-1226.49" *) 3'h5;
+  assign _1630_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1227.4-1227.50" *) 4'he;
+  assign _1631_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.5-1228.51" *) 4'hf;
+  assign _1632_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1230.5-1230.49" *) 2'h2;
+  assign _1633_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1231.5-1231.50" *) 3'h6;
+  assign _1634_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1241.4-1241.50" *) 4'hc;
+  assign _1635_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1242.4-1242.49" *) 3'h4;
+  assign _1636_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1243.4-1243.49" *) 3'h5;
+  assign _1637_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1244.4-1244.50" *) 4'he;
+  assign _1638_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.5-1245.51" *) 4'hf;
+  assign _1639_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1247.5-1247.49" *) 2'h2;
+  assign _1640_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1248.5-1248.50" *) 3'h6;
+  assign _1641_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1287.11-1287.57" *) 4'hc;
+  assign _1642_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1288.4-1288.49" *) 3'h4;
+  assign _1643_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1289.4-1289.49" *) 3'h5;
+  assign _1644_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1290.4-1290.50" *) 4'he;
+  assign _1645_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1291.5-1291.51" *) 4'hf;
+  assign _1646_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1293.5-1293.49" *) 2'h2;
+  assign _1647_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1294.5-1294.50" *) 3'h6;
+  assign _1648_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.7-1296.53" *) 4'hc;
+  assign _1649_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1297.7-1297.52" *) 3'h4;
+  assign _1650_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1298.7-1298.52" *) 3'h5;
+  assign _1651_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1299.7-1299.53" *) 4'he;
+  assign _1652_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1300.8-1300.54" *) 4'hf;
+  assign _1653_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1302.8-1302.52" *) 2'h2;
+  assign _1654_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1303.8-1303.53" *) 3'h6;
+  assign _1655_ = memory_q_rv[35:34] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.30-1381.56" *) 2'h1;
+  assign _1656_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1408.7-1408.34" *) 5'h00;
+  assign _1657_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1409.7-1409.34" *) 5'h01;
+  assign _1658_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1410.7-1410.34" *) 5'h02;
+  assign _1659_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1411.7-1411.34" *) 5'h03;
+  assign _1660_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1412.34" *) 5'h04;
+  assign _1661_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1413.7-1413.34" *) 5'h05;
+  assign _1662_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1414.7-1414.34" *) 5'h06;
+  assign _1663_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1415.7-1415.34" *) 5'h07;
+  assign _1664_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1416.7-1416.34" *) 5'h08;
+  assign _1665_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1417.7-1417.34" *) 5'h09;
+  assign _1666_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1418.7-1418.35" *) 5'h0a;
+  assign _1667_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1419.7-1419.35" *) 5'h0b;
+  assign _1668_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1420.7-1420.35" *) 5'h0c;
+  assign _1669_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1421.7-1421.35" *) 5'h0d;
+  assign _1670_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1422.7-1422.35" *) 5'h0e;
+  assign _1671_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1423.7-1423.35" *) 5'h0f;
+  assign _1672_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1424.7-1424.35" *) 5'h10;
+  assign _1673_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1425.7-1425.35" *) 5'h11;
+  assign _1674_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1426.7-1426.35" *) 5'h12;
+  assign _1675_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1427.7-1427.35" *) 5'h13;
+  assign _1676_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1428.7-1428.35" *) 5'h14;
+  assign _1677_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1429.7-1429.35" *) 5'h15;
+  assign _1678_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1430.7-1430.35" *) 5'h16;
+  assign _1679_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1431.7-1431.35" *) 5'h17;
+  assign _1680_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1432.7-1432.35" *) 5'h18;
+  assign _1681_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1433.7-1433.35" *) 5'h19;
+  assign _1682_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1434.7-1434.35" *) 5'h1a;
+  assign _1683_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1435.7-1435.35" *) 5'h1b;
+  assign _1684_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1436.7-1436.35" *) 5'h1c;
+  assign _1685_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1437.7-1437.35" *) 5'h1d;
+  assign _1686_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1438.7-1438.35" *) 5'h1e;
+  assign _1687_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1538.7-1538.34" *) 3'h0;
+  assign _1688_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1539.7-1539.36" *) 3'h2;
+  assign _1689_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1540.7-1540.36" *) 3'h1;
+  assign _1690_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1541.7-1541.36" *) 3'h3;
+  assign _1691_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1542.7-1542.36" *) 3'h4;
+  assign _1692_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1549.7-1549.34" *) 3'h0;
+  assign _1693_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1550.7-1550.36" *) 3'h2;
+  assign _1694_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1551.7-1551.36" *) 3'h1;
+  assign _1695_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1552.7-1552.36" *) 3'h3;
+  assign _1696_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1553.7-1553.36" *) 3'h4;
+  assign _1697_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1561.7-1561.34" *) 3'h0;
+  assign _1698_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1562.7-1562.36" *) 3'h2;
+  assign _1699_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1563.7-1563.36" *) 3'h1;
+  assign _1700_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1564.7-1564.36" *) 3'h3;
+  assign _1701_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1565.7-1565.36" *) 3'h4;
+  assign _1702_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1573.7-1573.34" *) 3'h0;
+  assign _1703_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1574.7-1574.36" *) 3'h2;
+  assign _1704_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1575.7-1575.36" *) 3'h1;
+  assign _1705_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1576.7-1576.36" *) 3'h3;
+  assign _1706_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1577.7-1577.36" *) 3'h4;
+  assign _1707_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1585.7-1585.34" *) 3'h0;
+  assign _1708_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1586.7-1586.36" *) 3'h2;
+  assign _1709_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1587.7-1587.36" *) 3'h1;
+  assign _1710_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1588.7-1588.36" *) 3'h3;
+  assign _1711_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1589.7-1589.36" *) 3'h4;
+  assign _1712_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1597.7-1597.34" *) 3'h0;
+  assign _1713_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1598.7-1598.36" *) 3'h2;
+  assign _1714_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1599.7-1599.36" *) 3'h1;
+  assign _1715_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1600.7-1600.36" *) 3'h3;
+  assign _1716_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1601.7-1601.36" *) 3'h4;
+  assign _1717_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1609.7-1609.34" *) 3'h0;
+  assign _1718_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1610.7-1610.36" *) 3'h2;
+  assign _1719_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1611.7-1611.36" *) 3'h1;
+  assign _1720_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1612.7-1612.36" *) 3'h3;
+  assign _1721_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1613.7-1613.36" *) 3'h4;
+  assign _1722_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1621.7-1621.34" *) 3'h0;
+  assign _1723_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1622.7-1622.36" *) 3'h2;
+  assign _1724_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1623.7-1623.36" *) 3'h1;
+  assign _1725_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1624.7-1624.36" *) 3'h3;
+  assign _1726_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1625.7-1625.36" *) 3'h4;
+  assign _1727_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1633.7-1633.34" *) 3'h0;
+  assign _1728_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1634.7-1634.36" *) 3'h2;
+  assign _1729_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1635.7-1635.36" *) 3'h1;
+  assign _1730_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1636.7-1636.36" *) 3'h3;
+  assign _1731_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1637.7-1637.36" *) 3'h4;
+  assign _1732_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1646.8-1646.57" *) 4'h1;
+  assign _1733_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1653.7-1653.56" *) 4'h0;
+  assign _1734_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1758.7-1758.56" *) 4'h0;
+  assign _1735_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1760.7-1760.56" *) 4'h1;
+  assign _1736_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1761.7-1761.56" *) 4'h2;
+  assign _1737_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1762.7-1762.56" *) 4'h3;
+  assign _1738_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1763.56" *) 4'h4;
+  assign _1739_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1764.7-1764.56" *) 4'h5;
+  assign _1740_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1765.7-1765.56" *) 4'h6;
+  assign _1741_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1766.7-1766.56" *) 4'h7;
+  assign _1742_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1767.7-1767.56" *) 4'h8;
+  assign _1743_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1768.7-1768.56" *) 4'h9;
+  assign _1744_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1769.7-1769.57" *) 4'ha;
+  assign _1745_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1770.7-1770.57" *) 4'hb;
+  assign _1746_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1771.7-1771.57" *) 4'hc;
+  assign _1747_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1772.7-1772.57" *) 4'hd;
+  assign _1748_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1773.7-1773.57" *) 4'he;
+  assign _1749_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2057.7-2057.52" *) 3'h4;
+  assign _1750_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2058.7-2058.52" *) 3'h5;
+  assign _1751_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2059.7-2059.53" *) 4'he;
+  assign _1752_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2060.8-2060.54" *) 4'hf;
+  assign _1753_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2062.52" *) 2'h2;
+  assign _1754_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2063.8-2063.53" *) 3'h6;
+  assign _1755_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.6-2118.55" *) 4'h0;
+  assign _1756_ = compute_q_rv[82:79] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2121.7-2121.37" *) 4'hf;
+  assign _1757_ = compute_q_rv[68:67] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2122.7-2122.35" *) 2'h2;
+  assign _1758_ = compute_q_rv[82:79] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2123.7-2123.37" *) 4'hf;
+  assign _1759_ = compute_q_rv[68:66] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2124.7-2124.36" *) 3'h6;
+  assign _1760_ = compute_q_rv[8:4] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2127.3-2127.28" *) 5'h02;
+  assign _1761_ = _1845_ != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2128.3-2136.7" *) 5'h02;
+  assign _1762_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2148.6-2148.55" *) 4'h1;
+  assign _1763_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2155.7-2155.56" *) 4'h0;
+  assign _1764_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2161.7-2161.56" *) 4'h0;
+  assign _1765_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2167.7-2167.56" *) 4'h0;
+  assign _1766_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2173.7-2173.56" *) 4'h0;
+  assign _1767_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2179.7-2179.56" *) 4'h0;
+  assign _1768_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2185.7-2185.56" *) 4'h0;
+  assign _1769_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2191.7-2191.56" *) 4'h0;
+  assign _1770_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2197.7-2197.56" *) 4'h0;
+  assign _1771_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2220.7-2220.53" *) 4'hc;
+  assign _1772_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2221.7-2221.52" *) 3'h4;
+  assign _1773_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2222.7-2222.52" *) 3'h5;
+  assign _1774_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2223.7-2223.53" *) 4'he;
+  assign _1775_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2224.8-2224.54" *) 4'hf;
+  assign _1776_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2226.8-2226.52" *) 2'h2;
+  assign _1777_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2227.8-2227.53" *) 3'h6;
+  assign _1778_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2287.4-2287.50" *) 4'hc;
+  assign _1779_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2288.4-2288.49" *) 3'h4;
+  assign _1780_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2289.4-2289.49" *) 3'h5;
+  assign _1781_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2290.4-2290.50" *) 4'he;
+  assign _1782_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.5-2291.51" *) 4'hf;
+  assign _1783_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2293.5-2293.49" *) 2'h2;
+  assign _1784_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2294.5-2294.50" *) 3'h6;
+  assign _1785_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:593.35-593.68" *) 5'h02;
+  assign _1786_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:686.35-686.68" *) 5'h02;
+  assign _1787_ = fetch_cycle != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.7-705.27" *) 32'd0;
+  assign _1788_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:711.7-711.40" *) 5'h02;
+  assign _1789_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:714.7-714.40" *) 5'h02;
+  assign _1790_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:788.7-788.40" *) 5'h02;
+  assign _1791_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:790.7-790.40" *) 5'h02;
+  assign _1792_ = { 16'h0000, compute_q_rv[66:51] } << (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2092.7-2093.42" *) _1841_;
+  assign _1793_ = \fetch_fetched_rv$port1__read [63:32] - (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2300.21-2300.64" *) 32'd4;
+  assign _1794_ = \memory_sysmemMaster_fRes_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1001.7-1003.48" *) 34'h0aaaaaaaa : \memory_sysmemMaster_fRes_rv$port1__read ;
+  assign _1795_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1121.9-1124.20" *) _0360_ : compute_q_rv[67];
+  assign _1796_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1125.9-1133.36" *) _1797_ : _0362_;
+  assign _1797_ = _0061_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1126.5-1130.37" *) _0361_ : \rf$computeStatusSource_read [3];
+  assign _1798_ = compute_q_rv[3] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1154.9-1156.62" *) { compute_q_rv[8:4], \compute_alu1$run [63:32] } : IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1212;
+  assign _1799_ = \MUX_compute_regFromMemory$wset_1__SEL_2  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1165.7-1167.20" *) dmem_client_response_put : data__h6437;
+  assign _1800_ = _0067_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1181.8-1187.23" *) compute_q_rv[79] : _1802_;
+  assign _1801_ = _1800_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1181.7-1190.63" *) rs2v__h13460 : { 27'h2aaaaaa, IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d582 };
+  assign _1802_ = _0379_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.4-1187.22" *) compute_q_rv[67] : _0381_;
+  assign _1803_ = _0382_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.7-1200.15" *) imm__h14610 : _1804_;
+  assign _1804_ = _0383_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.10-1200.14" *) 32'd0 : _1805_;
+  assign _1805_ = _0384_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1197.6-1200.13" *) _theResult_____4_fst_ea__h16165 : 32'd0;
+  assign _1806_ = _1394_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1202.7-1217.13" *) _1807_ : 3'h0;
+  assign _1807_ = _0390_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.10-1216.40" *) 3'h0 : \fetch_fetched_rv$port1__read [30:28];
+  assign _1808_ = _1397_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1219.7-1234.13" *) _1809_ : 5'h00;
+  assign _1809_ = _0398_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.10-1233.40" *) 5'h00 : \fetch_fetched_rv$port1__read [27:23];
+  assign _1810_ = _1400_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1236.7-1251.13" *) _1811_ : 5'h00;
+  assign _1811_ = _0406_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.10-1250.40" *) 5'h00 : \fetch_fetched_rv$port1__read [22:18];
+  assign _1812_ = _1403_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1253.7-1258.14" *) \fetch_fetched_rv$port1__read [63:32] : 32'd0;
+  assign _1813_ = _1405_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1260.7-1265.13" *) \fetch_fetched_rv$port1__read [22:18] : 5'h00;
+  assign _1814_ = _1407_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1267.7-1274.13" *) _1815_ : 5'h00;
+  assign _1815_ = _0076_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1271.10-1273.40" *) \fetch_fetched_rv$port1__read [27:23] : \fetch_fetched_rv$port1__read [15:11];
+  assign _1816_ = _1409_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.7-1281.13" *) \fetch_fetched_rv$port1__read [27:23] : 5'h00;
+  assign _1817_ = _1411_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1283.7-1309.13" *) _1818_ : 3'h0;
+  assign _1818_ = _0417_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1287.10-1308.58" *) _1819_ : CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q7;
+  assign _1819_ = _1414_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1295.6-1307.61" *) 3'h0 : CASE_fetch_fetched_rvport1__read_BITS_30_TO_2_ETC__q6;
+  assign _1820_ = _0079_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1314.7-1318.64" *) _theResult_____4_fst_ea__h16074 : _1821_;
+  assign _1821_ = _0080_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1316.10-1318.63" *) 32'd0 : IF_NOT_compute_q_rv_port0__read__54_BITS_82_TO_ETC___d1221;
+  assign _1822_ = _1416_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1320.7-1323.66" *) compute_q_rv[8:4] : IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385;
+  assign _1823_ = _0083_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1325.7-1330.19" *) newPC__h14402 : _1824_;
+  assign _1824_ = _0428_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1327.10-1330.18" *) imm__h14610 : added__h15653;
+  assign _1825_ = _0086_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1332.7-1339.48" *) { compute_q_rv[18:14], added__h15623 } : _1826_;
+  assign _1826_ = _0087_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1334.10-1339.47" *) { 5'h02, newPC__h14402 } : _1827_;
+  assign _1827_ = _0429_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.6-1339.46" *) { compute_q_rv[8:4], imm__h14610 } : { compute_q_rv[18:14], added__h15653 };
+  assign _1828_ = _0430_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1341.7-1344.27" *) compute_q_rv[78:74] : compute_q_rv[13:9];
+  assign _1829_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1349.7-1351.25" *) _0431_ : compute_q_rv[68];
+  assign _1830_ = fetch_mispredictMemoryF_rv_port1__read__35_BIT_ETC___d218 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1364.7-1376.59" *) { 1'h0, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d222, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d225, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d228, NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d238, NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d249, x__h8319 } : _1831_;
+  assign _1831_ = _0098_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1372.10-1376.58" *) { 4'hc, \fetch_fetched_rv$port1__read [27:11], IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d281 } : CASE_fetch_fetched_rvport1__read_BITS_31_TO_2_ETC__q8;
+  assign _1832_ = _0432_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1378.7-1382.44" *) _1018_ : _1420_;
+  assign _1833_ = compute_q_rv[3] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1511.8-1521.7" *) _0109_ : _0111_;
+  assign _1834_ = _0110_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1513.4-1520.26" *) compute_q_rv[18:14] : _1835_;
+  assign _1835_ = _0112_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1515.7-1520.25" *) 5'h02 : _1836_;
+  assign _1836_ = _0518_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1517.10-1520.24" *) compute_q_rv[8:4] : compute_q_rv[18:14];
+  assign _1837_ = _0115_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.8-1532.24" *) _1074_ : _1838_;
+  assign _1838_ = _0521_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1528.4-1532.23" *) _1076_ : _0523_;
+  assign _1839_ = compute_q_rv[68] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2081.7-2081.54" *) added__h15623 : rs1v__h13459;
+  assign _1840_ = compute_q_rv[62] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2083.7-2083.54" *) added__h15653 : rs1v__h13459;
+  assign _1841_ = compute_q_rv[67] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2093.8-2093.41" *) 32'd16 : 32'd0;
+  assign _1842_ = _0279_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2115.8-2125.26" *) _1287_ : _1843_;
+  assign _1843_ = _0280_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2117.4-2125.25" *) _0840_ : _0841_;
+  assign _1844_ = compute_q_rv[3] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2126.8-2136.7" *) _1760_ : _1761_;
+  assign _1845_ = _0282_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2128.4-2135.26" *) compute_q_rv[18:14] : _1846_;
+  assign _1846_ = _0283_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.7-2135.25" *) 5'h02 : _1847_;
+  assign _1847_ = _0842_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.10-2135.24" *) compute_q_rv[8:4] : compute_q_rv[18:14];
+  assign _1848_ = _0287_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2144.8-2152.58" *) compute_q_rv[67] : _1849_;
+  assign _1849_ = _0288_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2146.4-2152.57" *) _1546_ : _0844_;
+  assign _1850_ = compute_q_rv[67] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2202.7-2204.42" *) { compute_q_rv[66:51], 16'hffff } : { 16'hffff, compute_q_rv[66:51] };
+  assign _1851_ = memory_delaySysmemResponse_rv[32] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2206.7-2208.14" *) memory_delaySysmemResponse_rv[31:0] : 32'd0;
+  assign _1852_ = compute_q_rv[52] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2231.26-2231.66" *) x__h15887 : x__h15929;
+  assign _1853_ = _1560_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2234.7-2237.22" *) y_avValue_snd__h7721 : nextPC__h7155;
+  assign _1854_ = _0881_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2239.7-2244.28" *) \compute_regFromMemory$wget [31:0] : _1855_;
+  assign _1855_ = _0310_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2242.10-2244.27" *) compute_q_rv[50:19] : \rf$computeSource1_read ;
+  assign _1856_ = _0882_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2246.7-2253.28" *) \compute_regFromMemory$wget [31:0] : _1857_;
+  assign _1857_ = _0312_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2250.10-2253.27" *) compute_q_rv[50:19] : \rf$computeSource2_read ;
+  assign _1858_ = \fetch_mispredictMemoryF_rv$port1__read [64] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2255.7-2257.55" *) \fetch_mispredictMemoryF_rv$port1__read [63:32] : \fetch_mispredictComputeF_rv$port1__read [63:32];
+  assign _1859_ = \fetch_mispredictMemoryF_rv$port1__read [64] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2259.7-2261.54" *) \fetch_mispredictMemoryF_rv$port1__read [31:0] : \fetch_mispredictComputeF_rv$port1__read [31:0];
+  assign _1860_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2263.7-2265.39" *) _1861_ : _theResult_____4_fst_b__h14972;
+  assign _1861_ = _0313_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2264.10-2264.65" *) rs2v__h13460 : 32'd0;
+  assign _1862_ = compute_q_rv[3] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2267.7-2271.64" *) \compute_alu1$run [63:32] : _1863_;
+  assign _1863_ = _0314_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2269.10-2271.63" *) added__h15623 : IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1198;
+  assign _1864_ = compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1215 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2277.7-2279.67" *) 32'd0 : IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d1223;
+  assign _1865_ = _1562_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2282.7-2297.14" *) _1866_ : 16'h0000;
+  assign _1866_ = _0888_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.10-2296.39" *) 16'h0000 : \fetch_fetched_rv$port1__read [15:0];
+  assign _1867_ = IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2302.7-2304.21" *) nextPC__h7155 : value__h7201;
+  assign _1868_ = _0315_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2492.6-2494.12" *) 3'h6 : 3'h0;
+  assign _1869_ = _0316_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2573.6-2590.47" *) \fetch_fetched_rv$port1__read [31:0] : _1870_;
+  assign _1870_ = _0908_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2575.9-2590.46" *) { 4'hf, \fetch_fetched_rv$port1__read [27:18], 1'h0, \fetch_fetched_rv$port1__read [16:0] } : _1871_;
+  assign _1871_ = _0909_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2581.5-2590.45" *) { 4'hf, \fetch_fetched_rv$port1__read [27:18], 2'h2, \fetch_fetched_rv$port1__read [15:0] } : { 4'hf, \fetch_fetched_rv$port1__read [27:18], 3'h6, \fetch_fetched_rv$port1__read [14:0] };
+  assign _1872_ = _0320_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2669.6-2685.32" *) compute_q_rv[82:51] : _1873_;
+  assign _1873_ = _0921_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2671.9-2685.31" *) { 4'hf, compute_q_rv[78:69], 1'h0, compute_q_rv[67:51] } : _1874_;
+  assign _1874_ = _0922_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2676.5-2685.30" *) { 4'hf, compute_q_rv[78:69], 2'h2, compute_q_rv[66:51] } : { 4'hf, compute_q_rv[78:69], 3'h6, compute_q_rv[65:51] };
+  assign _1875_ = WILL_FIRE_RL_mkConnectionGetPut ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.7-598.62" *) nextPC__h7729 : 32'd0;
+  assign _1876_ = _1589_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:621.7-624.49" *) 32'd0 : \memory_sysmemMaster_outgoing$wget [68:37];
+  assign _1877_ = _1590_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:628.7-633.11" *) 32'd0 : _1878_;
+  assign _1878_ = \memory_sysmemMaster_outgoing$wget [36] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:631.10-633.10" *) \memory_sysmemMaster_outgoing$wget [35:4] : 32'd0;
+  assign _1879_ = _1591_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:637.7-640.47" *) 4'h0 : \memory_sysmemMaster_outgoing$wget [3:0];
+  assign _1880_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:735.7-741.38" *) _1881_ : { 1'h0, compute_q_rv[81:51] };
+  assign _1881_ = _0328_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:736.10-740.60" *) { 4'hc, compute_q_rv[78:62], IF_compute_q_rv_port0__read__54_BITS_61_TO_59__ETC___d525 } : CASE_compute_q_rv_BITS_82_TO_80_0b100_compute__ETC__q10;
+  assign _1882_ = \MUX_compute_regFromMemory$wset_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:783.7-785.48" *) \MUX_compute_regFromMemory$wset_1__VAL_1  : \MUX_compute_regFromMemory$wset_1__VAL_2 ;
+  assign _1883_ = _0965_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.7-803.22" *) { 1'h1, sysmem_client_dat_i } : 33'h100000000;
+  assign _1884_ = \fetch_mispredictComputeF_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:817.7-819.36" *) \fetch_mispredictComputeF_rv$port0__write_1  : fetch_mispredictComputeF_rv;
+  assign _1885_ = \fetch_mispredictComputeF_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:824.7-826.48" *) 65'h0aaaaaaaaaaaaaaaa : \fetch_mispredictComputeF_rv$port1__read ;
+  assign _1886_ = \MUX_fetch_mispredictMemoryF_rv$port0__write_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:833.7-835.61" *) \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_1  : \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_2 ;
+  assign _1887_ = \fetch_mispredictMemoryF_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:837.7-839.35" *) \fetch_mispredictMemoryF_rv$port0__write_1  : fetch_mispredictMemoryF_rv;
+  assign _1888_ = \fetch_mispredictMemoryF_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:844.7-846.47" *) 65'h0aaaaaaaaaaaaaaaa : \fetch_mispredictMemoryF_rv$port1__read ;
+  assign _1889_ = EN_imem_client_response_put ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:848.7-850.29" *) 33'h0aaaaaaaa : fetch_pcRequested_rv;
+  assign _1890_ = EN_imem_client_request_get ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:853.7-855.41" *) \fetch_pcRequested_rv$port1__write_1  : \fetch_pcRequested_rv$port1__read ;
+  assign _1891_ = EN_imem_client_response_put ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:859.7-861.25" *) \fetch_fetched_rv$port0__write_1  : fetch_fetched_rv;
+  assign _1892_ = WILL_FIRE_RL_mkConnectionGetPut ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:863.7-865.37" *) 65'h0aaaaaaaaaaaaaaaa : \fetch_fetched_rv$port1__read ;
+  assign _1893_ = \compute_q_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:872.7-874.21" *) 84'h2aaaaaaaaaaaaaaaaaaaa : compute_q_rv;
+  assign _1894_ = WILL_FIRE_RL_mkConnectionGetPut ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:885.7-887.33" *) \compute_q_rv$port1__write_1  : \compute_q_rv$port1__read ;
+  assign _1895_ = \memory_q_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:891.7-893.20" *) 101'h0aaaaaaaaaaaaaaaaaaaaaaaaa : memory_q_rv;
+  assign _1896_ = compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1215 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:898.9-913.14" *) 2'h0 : _1897_;
+  assign _1897_ = _0335_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:900.5-913.13" *) _1898_ : _1899_;
+  assign _1898_ = compute_q_rv[79] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:901.8-901.38" *) 2'h1 : 2'h2;
+  assign _1899_ = _0336_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:902.8-913.12" *) 2'h0 : _1900_;
+  assign _1900_ = _0976_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:904.11-913.11" *) _1901_ : _1902_;
+  assign _1901_ = compute_q_rv[67] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:906.7-906.37" *) 2'h1 : 2'h2;
+  assign _1902_ = _0977_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:907.7-913.10" *) 2'h0 : _1903_;
+  assign _1903_ = _0978_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:910.10-913.9" *) _1904_ : 2'h0;
+  assign _1904_ = compute_q_rv[65] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:912.6-912.36" *) 2'h1 : 2'h2;
+  assign _1905_ = compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1259 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:915.9-926.12" *) 2'h0 : _1906_;
+  assign _1906_ = _0979_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:917.5-926.11" *) _1907_ : 2'h0;
+  assign _1907_ = _0980_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:919.8-925.15" *) 2'h2 : _1908_;
+  assign _1908_ = _0981_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:921.11-925.14" *) 2'h0 : _1909_;
+  assign _1909_ = _0982_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:923.7-925.13" *) 2'h2 : 2'h0;
+  assign _1910_ = WILL_FIRE_RL_mkConnectionGetPut_1 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:928.7-930.32" *) \memory_q_rv$port1__write_1  : \memory_q_rv$port1__read ;
+  assign _1911_ = \memory_waitRead_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:935.7-937.27" *) 71'h2aaaaaaaaaaaaaaaaa : memory_waitRead_rv;
+  assign _1912_ = \memory_waitRead_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:949.7-951.39" *) \memory_waitRead_rv$port1__write_1  : \memory_waitRead_rv$port1__read ;
+  assign _1913_ = WILL_FIRE_RL_memory_sysmemResponse ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:953.7-955.38" *) 34'h0aaaaaaaa : memory_delaySysmemResponse_rv;
+  assign _1914_ = \memory_delaySysmemResponse_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:962.7-964.50" *) \memory_delaySysmemResponse_rv$port1__write_1  : \memory_delaySysmemResponse_rv$port1__read ;
+  assign _1915_ = WILL_FIRE_RL_memory_sysmemRequest ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:972.7-974.36" *) \memory_sysmemMaster_fReq_rv$port0__write_1  : memory_sysmemMaster_fReq_rv;
+  assign _1916_ = \memory_sysmemMaster_fReq_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:981.7-983.48" *) 70'h0aaaaaaaaaaaaaaaaa : \memory_sysmemMaster_fReq_rv$port1__read ;
+  assign _1917_ = \memory_sysmemMaster_fRes_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:994.7-996.36" *) \memory_sysmemMaster_fRes_rv$port0__write_1  : memory_sysmemMaster_fRes_rv;
   (* module_not_derived = 32'd1 *)
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:658.9-663.19" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:653.9-658.19" *)
   mkALU compute_alu1 (
     .CLK(CLK),
     .EN_run(\compute_alu1$EN_run ),
@@ -8773,7 +8765,7 @@
     .run_op(\compute_alu1$run_op )
   );
   (* module_not_derived = 32'd1 *)
-  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:666.21-686.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:661.21-681.35" *)
   mkCPURegisterFile rf (
     .CLK(CLK),
     .EN_computeWrite_write(\rf$EN_computeWrite_write ),
@@ -8792,51 +8784,51 @@
   );
   always @* begin
     if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
-    _0009_ = _0044_;
-    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2316.5-2375.12" *)
+    _0009_ = _0043_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2307.5-2366.12" *)
     casez ({ compute_q_rv[78:76], compute_q_rv[51] })
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h2:
-          _0044_ = _1565_;
+          _0043_ = _1564_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h3:
-          _0044_ = _0893_;
+          _0043_ = _0891_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h4:
-          _0044_ = \rf$computeStatusSource_read [3];
+          _0043_ = \rf$computeStatusSource_read [3];
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h5:
-          _0044_ = _1304_;
+          _0043_ = _1302_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h6:
-          _0044_ = \rf$computeStatusSource_read [0];
+          _0043_ = \rf$computeStatusSource_read [0];
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h7:
-          _0044_ = _1305_;
+          _0043_ = _1303_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h8:
-          _0044_ = \rf$computeStatusSource_read [2];
+          _0043_ = \rf$computeStatusSource_read [2];
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h9:
-          _0044_ = _1306_;
+          _0043_ = _1304_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'ha:
-          _0044_ = \rf$computeStatusSource_read [1];
+          _0043_ = \rf$computeStatusSource_read [1];
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'hb:
-          _0044_ = _1307_;
+          _0043_ = _1305_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'hc:
-          _0044_ = _0894_;
+          _0043_ = _0892_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'hd:
-          _0044_ = _0895_;
+          _0043_ = _0893_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'he:
-          _0044_ = _0896_;
+          _0043_ = _0894_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       default:
-          _0044_ = _0898_;
+          _0043_ = _0896_;
     endcase
   end
   always @* begin
@@ -8844,18 +8836,18 @@
   end
   always @* begin
     if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
-    _0008_ = _0043_;
-    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2379.5-2388.12" *)
+    _0008_ = _0042_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2370.5-2379.12" *)
     casez (compute_q_rv[61:57])
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       5'h1e:
-          _0043_ = 8'hf0;
+          _0042_ = 8'hf0;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       5'h1f:
-          _0043_ = 8'hf8;
+          _0042_ = 8'hf8;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       default:
-          _0043_ = compute_q_rv[61:54];
+          _0042_ = compute_q_rv[61:54];
     endcase
   end
   always @* begin
@@ -8863,35 +8855,35 @@
   end
   always @* begin
     if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
-    _0001_ = _0036_;
-    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2393.5-2416.12" *)
+    _0001_ = _0035_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2384.5-2407.12" *)
     casez (compute_q_rv[61:59])
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h0:
-          _0036_ = 8'h00;
+          _0035_ = 8'h00;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h1:
-          _0036_ = 8'h20;
+          _0035_ = 8'h20;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h2:
-          _0036_ = 8'h40;
+          _0035_ = 8'h40;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h3:
-          _0036_ = 8'h60;
+          _0035_ = 8'h60;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h4:
-          _0036_ = 8'h80;
+          _0035_ = 8'h80;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h5:
-          _0036_ = 8'ha0;
+          _0035_ = 8'ha0;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h6:
-          _0036_ = 8'hc0;
+          _0035_ = 8'hc0;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h7:
-          _0036_ = IF_compute_q_rv_port0__read__54_BITS_61_TO_57__ETC___d516;
+          _0035_ = IF_compute_q_rv_port0__read__54_BITS_61_TO_57__ETC___d516;
       default:
-          _0036_ = CASE_compute_q_rv_BITS_61_TO_59_0b0_0b0_0b1_0b_ETC__q1;
+          _0035_ = CASE_compute_q_rv_BITS_61_TO_59_0b0_0b0_0b1_0b_ETC__q1;
     endcase
   end
   always @* begin
@@ -8899,51 +8891,51 @@
   end
   always @* begin
     if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
-    _0002_ = _0037_;
-    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2420.5-2479.12" *)
+    _0002_ = _0036_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2411.5-2470.12" *)
     casez ({ compute_q_rv[78:76], compute_q_rv[51] })
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h2:
-          _0037_ = _0899_;
+          _0036_ = _0897_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h3:
-          _0037_ = _1576_;
+          _0036_ = _1575_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h4:
-          _0037_ = _1319_;
+          _0036_ = _1317_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h5:
-          _0037_ = \rf$computeStatusSource_read [3];
+          _0036_ = \rf$computeStatusSource_read [3];
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h6:
-          _0037_ = _1320_;
+          _0036_ = _1318_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h7:
-          _0037_ = \rf$computeStatusSource_read [0];
+          _0036_ = \rf$computeStatusSource_read [0];
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h8:
-          _0037_ = _1321_;
+          _0036_ = _1319_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h9:
-          _0037_ = \rf$computeStatusSource_read [2];
+          _0036_ = \rf$computeStatusSource_read [2];
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'ha:
-          _0037_ = _1322_;
+          _0036_ = _1320_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'hb:
-          _0037_ = \rf$computeStatusSource_read [1];
+          _0036_ = \rf$computeStatusSource_read [1];
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'hc:
-          _0037_ = _1577_;
+          _0036_ = _1576_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'hd:
-          _0037_ = _1578_;
+          _0036_ = _1577_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'he:
-          _0037_ = _1579_;
+          _0036_ = _1578_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       default:
-          _0037_ = _1581_;
+          _0036_ = _1580_;
     endcase
   end
   always @* begin
@@ -8951,37 +8943,37 @@
   end
   always @* begin
     if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
-    _0012_ = _0047_;
-    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2486.5-2493.12" *)
+    _0012_ = _0046_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2477.5-2484.12" *)
     casez (compute_q_rv[81:79])
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h0, 3'h2:
-          _0047_ = aluOp_b__h15174;
+          _0046_ = aluOp_b__h14963;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h4:
-          _0047_ = coshift__h15137;
+          _0046_ = coshift__h14926;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h7:
-          _0047_ = SEXT_compute_q_rv_port0__read__54_BITS_66_TO_5_ETC___d1107;
+          _0046_ = SEXT_compute_q_rv_port0__read__54_BITS_66_TO_5_ETC___d1107;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       default:
-          _0047_ = aluOp_b__h15174;
+          _0046_ = aluOp_b__h14963;
     endcase
   end
   always @* begin
-      _theResult_____4_fst_b__h15183 <= _0012_;
+      _theResult_____4_fst_b__h14972 <= _0012_;
   end
   always @* begin
     if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
-    _0011_ = _0046_;
-    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2497.5-2504.12" *)
+    _0011_ = _0045_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2488.5-2495.12" *)
     casez (\fetch_fetched_rv$port1__read [10:6])
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       5'h1e, 5'h1f:
-          _0046_ = 3'h5;
+          _0045_ = 3'h5;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       default:
-          _0046_ = _1869_;
+          _0045_ = _1868_;
     endcase
   end
   always @* begin
@@ -8989,18 +8981,18 @@
   end
   always @* begin
     if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
-    _0010_ = _0045_;
-    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2508.5-2517.12" *)
+    _0010_ = _0044_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2499.5-2508.12" *)
     casez (\fetch_fetched_rv$port1__read [10:6])
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       5'h1e:
-          _0045_ = 8'hf0;
+          _0044_ = 8'hf0;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       5'h1f:
-          _0045_ = 8'hf8;
+          _0044_ = 8'hf8;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       default:
-          _0045_ = \fetch_fetched_rv$port1__read [10:3];
+          _0044_ = \fetch_fetched_rv$port1__read [10:3];
     endcase
   end
   always @* begin
@@ -9008,35 +9000,35 @@
   end
   always @* begin
     if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
-    _0004_ = _0039_;
-    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2522.5-2539.12" *)
+    _0004_ = _0038_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2513.5-2530.12" *)
     casez (\fetch_fetched_rv$port1__read [10:8])
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h0:
-          _0039_ = 8'h00;
+          _0038_ = 8'h00;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h1:
-          _0039_ = 8'h20;
+          _0038_ = 8'h20;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h2:
-          _0039_ = 8'h40;
+          _0038_ = 8'h40;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h3:
-          _0039_ = 8'h60;
+          _0038_ = 8'h60;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h4:
-          _0039_ = 8'h80;
+          _0038_ = 8'h80;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h5:
-          _0039_ = 8'ha0;
+          _0038_ = 8'ha0;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h6:
-          _0039_ = 8'hc0;
+          _0038_ = 8'hc0;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h7:
-          _0039_ = IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d272;
+          _0038_ = IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d272;
       default:
-          _0039_ = CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q4;
+          _0038_ = CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q4;
     endcase
   end
   always @* begin
@@ -9044,42 +9036,11 @@
   end
   always @* begin
     if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
-    _0006_ = _0041_;
-    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2543.5-2551.12" *)
+    _0006_ = _0040_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2534.5-2542.12" *)
     casez (\fetch_fetched_rv$port1__read [30:28])
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h2, 3'h3:
-          _0041_ = 3'h1;
-      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
-      3'h4:
-          _0041_ = 3'h2;
-      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
-      3'h5:
-          _0041_ = 3'h3;
-      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
-      3'h6:
-          _0041_ = 3'h4;
-      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
-      3'h7:
-          _0041_ = 3'h5;
-      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
-      default:
-          _0041_ = 3'h0;
-    endcase
-  end
-  always @* begin
-      CASE_fetch_fetched_rvport1__read_BITS_30_TO_2_ETC__q6 <= _0006_;
-  end
-  always @* begin
-    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
-    _0005_ = _0040_;
-    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2556.5-2567.12" *)
-    casez (\fetch_fetched_rv$port1__read [10:8])
-      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
-      3'h0, 3'h1:
-          _0040_ = 3'h0;
-      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
-      3'h2, 3'h3:
           _0040_ = 3'h1;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h4:
@@ -9092,9 +9053,40 @@
           _0040_ = 3'h4;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h7:
-          _0040_ = IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d341;
+          _0040_ = 3'h5;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       default:
-          _0040_ = CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q7;
+          _0040_ = 3'h0;
+    endcase
+  end
+  always @* begin
+      CASE_fetch_fetched_rvport1__read_BITS_30_TO_2_ETC__q6 <= _0006_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0005_ = _0039_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2547.5-2558.12" *)
+    casez (\fetch_fetched_rv$port1__read [10:8])
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h0, 3'h1:
+          _0039_ = 3'h0;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h2, 3'h3:
+          _0039_ = 3'h1;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h4:
+          _0039_ = 3'h2;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h5:
+          _0039_ = 3'h3;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h6:
+          _0039_ = 3'h4;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h7:
+          _0039_ = IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d341;
+      default:
+          _0039_ = CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q7;
     endcase
   end
   always @* begin
@@ -9102,18 +9094,18 @@
   end
   always @* begin
     if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
-    _0007_ = _0042_;
-    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2572.5-2600.12" *)
+    _0007_ = _0041_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2563.5-2591.12" *)
     casez (\fetch_fetched_rv$port1__read [31:29])
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h4:
-          _0042_ = \fetch_fetched_rv$port1__read [31:0];
+          _0041_ = \fetch_fetched_rv$port1__read [31:0];
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h5:
-          _0042_ = { 3'h5, \fetch_fetched_rv$port1__read [28:11], IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d281 };
+          _0041_ = { 3'h5, \fetch_fetched_rv$port1__read [28:11], IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d281 };
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       default:
-          _0042_ = _1870_;
+          _0041_ = _1869_;
     endcase
   end
   always @* begin
@@ -9121,51 +9113,51 @@
   end
   always @* begin
     if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
-    _0000_ = _0035_;
-    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2604.5-2663.12" *)
+    _0000_ = _0034_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2595.5-2654.12" *)
     casez ({ compute_q_rv[53:51], compute_q_rv[67] })
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h2:
-          _0035_ = _0912_;
+          _0034_ = _0910_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h3:
-          _0035_ = _1582_;
+          _0034_ = _1581_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h4:
-          _0035_ = _1336_;
+          _0034_ = _1334_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h5:
-          _0035_ = \rf$computeStatusSource_read [3];
+          _0034_ = \rf$computeStatusSource_read [3];
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h6:
-          _0035_ = _1337_;
+          _0034_ = _1335_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h7:
-          _0035_ = \rf$computeStatusSource_read [0];
+          _0034_ = \rf$computeStatusSource_read [0];
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h8:
-          _0035_ = _1338_;
+          _0034_ = _1336_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'h9:
-          _0035_ = \rf$computeStatusSource_read [2];
+          _0034_ = \rf$computeStatusSource_read [2];
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'ha:
-          _0035_ = _1339_;
+          _0034_ = _1337_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'hb:
-          _0035_ = \rf$computeStatusSource_read [1];
+          _0034_ = \rf$computeStatusSource_read [1];
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'hc:
-          _0035_ = _1583_;
+          _0034_ = _1582_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'hd:
-          _0035_ = _1584_;
+          _0034_ = _1583_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       4'he:
-          _0035_ = _1585_;
+          _0034_ = _1584_;
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       default:
-          _0035_ = _1587_;
+          _0034_ = _1586_;
     endcase
   end
   always @* begin
@@ -9173,18 +9165,18 @@
   end
   always @* begin
     if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
-    _0003_ = _0038_;
-    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2668.5-2695.12" *)
+    _0003_ = _0037_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2659.5-2686.12" *)
     casez (compute_q_rv[82:80])
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h4:
-          _0038_ = compute_q_rv[82:51];
+          _0037_ = compute_q_rv[82:51];
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       3'h5:
-          _0038_ = { 3'h5, compute_q_rv[79:62], IF_compute_q_rv_port0__read__54_BITS_61_TO_59__ETC___d525 };
+          _0037_ = { 3'h5, compute_q_rv[79:62], IF_compute_q_rv_port0__read__54_BITS_61_TO_59__ETC___d525 };
       /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
       default:
-          _0038_ = _1873_;
+          _0037_ = _1872_;
     endcase
   end
   always @* begin
@@ -9200,23 +9192,22 @@
     _0018_ = fetch_cMispredictOkCount;
     _0019_ = fetch_cPredictCount;
     _0020_ = fetch_cycle;
-    _0021_ = fetch_delaySysmemResponse_rv;
-    _0022_ = fetch_fetched_rv;
-    _0023_ = fetch_mispredictComputeF_rv;
-    _0024_ = fetch_mispredictMemoryF_rv;
-    _0025_ = fetch_out_rv;
-    _0026_ = fetch_pcRequested_rv;
-    _0027_ = fetch_wantPC;
-    _0028_ = memory_computedPC_rv;
-    _0029_ = memory_delaySysmemResponse_rv;
-    _0030_ = memory_pendingPCLoad;
-    _0031_ = memory_q_rv;
-    _0032_ = memory_sysmemMaster_fReq_rv;
-    _0033_ = memory_sysmemMaster_fRes_rv;
-    _0034_ = memory_waitRead_rv;
-    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2702.5-2791.10" *)
-    casez (_0325_)
-      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2702.9-2702.22" */
+    _0021_ = fetch_fetched_rv;
+    _0022_ = fetch_mispredictComputeF_rv;
+    _0023_ = fetch_mispredictMemoryF_rv;
+    _0024_ = fetch_out_rv;
+    _0025_ = fetch_pcRequested_rv;
+    _0026_ = fetch_wantPC;
+    _0027_ = memory_computedPC_rv;
+    _0028_ = memory_delaySysmemResponse_rv;
+    _0029_ = memory_pendingPCLoad;
+    _0030_ = memory_q_rv;
+    _0031_ = memory_sysmemMaster_fReq_rv;
+    _0032_ = memory_sysmemMaster_fRes_rv;
+    _0033_ = memory_waitRead_rv;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2693.5-2778.10" *)
+    casez (_0324_)
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2693.9-2693.22" */
       1'h1:
         begin
           _0013_ = 65'h0aaaaaaaaaaaaaaaa;
@@ -9227,197 +9218,188 @@
           _0018_ = 32'd0;
           _0019_ = 32'd0;
           _0020_ = 32'd0;
-          _0021_ = 34'h0aaaaaaaa;
+          _0021_ = 65'h0aaaaaaaaaaaaaaaa;
           _0022_ = 65'h0aaaaaaaaaaaaaaaa;
           _0023_ = 65'h0aaaaaaaaaaaaaaaa;
-          _0024_ = 65'h0aaaaaaaaaaaaaaaa;
-          _0025_ = 84'h2aaaaaaaaaaaaaaaaaaaa;
-          _0026_ = 33'h0aaaaaaaa;
-          _0027_ = 32'd0;
-          _0028_ = 65'h0aaaaaaaaaaaaaaaa;
-          _0029_ = 34'h0aaaaaaaa;
-          _0030_ = 1'h0;
-          _0031_ = 101'h0aaaaaaaaaaaaaaaaaaaaaaaaa;
-          _0032_ = 70'h0aaaaaaaaaaaaaaaaa;
-          _0033_ = 34'h0aaaaaaaa;
-          _0034_ = 71'h2aaaaaaaaaaaaaaaaa;
+          _0024_ = 84'h2aaaaaaaaaaaaaaaaaaaa;
+          _0025_ = 33'h0aaaaaaaa;
+          _0026_ = 32'd0;
+          _0027_ = 65'h0aaaaaaaaaaaaaaaa;
+          _0028_ = 34'h0aaaaaaaa;
+          _0029_ = 1'h0;
+          _0030_ = 101'h0aaaaaaaaaaaaaaaaaaaaaaaaa;
+          _0031_ = 70'h0aaaaaaaaaaaaaaaaa;
+          _0032_ = 34'h0aaaaaaaa;
+          _0033_ = 71'h2aaaaaaaaaaaaaaaaa;
         end
-      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2730.5-2730.9" */
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2720.5-2720.9" */
       default:
         begin
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2732.9-2734.35" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2722.9-2724.35" *)
           casez (\compute_computedPC_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2732.13-2732.37" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2722.13-2722.37" */
             1'h1:
                 _0013_ = \compute_computedPC_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2735.2-2737.40" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2725.2-2727.40" *)
           casez (\compute_memoryRegisterLoad$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2735.6-2735.35" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2725.6-2725.35" */
             1'h1:
                 _0014_ = \compute_memoryRegisterLoad$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2738.2-2739.39" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2728.2-2729.39" *)
           casez (\compute_q_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2738.6-2738.21" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2728.6-2728.21" */
             1'h1:
                 _0015_ = \compute_q_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2740.2-2742.41" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2730.2-2732.41" *)
           casez (\fetch_cMispredictErrorCount$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2740.6-2740.36" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2730.6-2730.36" */
             1'h1:
                 _0016_ = \fetch_cMispredictErrorCount$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2743.2-2745.39" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2733.2-2735.39" *)
           casez (\fetch_cMispredictLagCount$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2743.6-2743.34" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2733.6-2733.34" */
             1'h1:
                 _0017_ = \fetch_cMispredictLagCount$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2746.2-2748.38" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2736.2-2738.38" *)
           casez (\fetch_cMispredictOkCount$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2746.6-2746.33" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2736.6-2736.33" */
             1'h1:
                 _0018_ = \fetch_cMispredictOkCount$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2749.2-2751.33" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2739.2-2741.33" *)
           casez (\fetch_cPredictCount$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2749.6-2749.28" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2739.6-2739.28" */
             1'h1:
                 _0019_ = \fetch_cPredictCount$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2752.2-2753.37" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2742.2-2743.37" *)
           casez (\fetch_cycle$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2752.6-2752.20" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2742.6-2742.20" */
             1'h1:
                 _0020_ = \fetch_cycle$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2754.2-2756.42" *)
-          casez (\fetch_delaySysmemResponse_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2754.6-2754.37" */
-            1'h1:
-                _0021_ = \fetch_delaySysmemResponse_rv$D_IN ;
-            default:
-                /* empty */;
-          endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2757.2-2758.47" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2744.2-2745.47" *)
           casez (\fetch_fetched_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2757.6-2757.25" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2744.6-2744.25" */
             1'h1:
-                _0022_ = \fetch_fetched_rv$D_IN ;
+                _0021_ = \fetch_fetched_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2759.2-2761.41" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2746.2-2748.41" *)
           casez (\fetch_mispredictComputeF_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2759.6-2759.36" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2746.6-2746.36" */
             1'h1:
-                _0023_ = \fetch_mispredictComputeF_rv$D_IN ;
+                _0022_ = \fetch_mispredictComputeF_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2762.2-2764.40" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2749.2-2751.40" *)
           casez (\fetch_mispredictMemoryF_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2762.6-2762.35" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2749.6-2749.35" */
             1'h1:
-                _0024_ = \fetch_mispredictMemoryF_rv$D_IN ;
+                _0023_ = \fetch_mispredictMemoryF_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2765.2-2766.39" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2752.2-2753.39" *)
           casez (\fetch_out_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2765.6-2765.21" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2752.6-2752.21" */
             1'h1:
-                _0025_ = \fetch_out_rv$D_IN ;
+                _0024_ = \fetch_out_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2767.2-2769.34" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2754.2-2756.34" *)
           casez (\fetch_pcRequested_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2767.6-2767.29" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2754.6-2754.29" */
             1'h1:
-                _0026_ = \fetch_pcRequested_rv$D_IN ;
+                _0025_ = \fetch_pcRequested_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2770.2-2771.39" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2757.2-2758.39" *)
           casez (\fetch_wantPC$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2770.6-2770.21" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2757.6-2757.21" */
             1'h1:
-                _0027_ = \fetch_wantPC$D_IN ;
+                _0026_ = \fetch_wantPC$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2772.2-2774.34" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2759.2-2761.34" *)
           casez (\memory_computedPC_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2772.6-2772.29" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2759.6-2759.29" */
             1'h1:
-                _0028_ = \memory_computedPC_rv$D_IN ;
+                _0027_ = \memory_computedPC_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2775.2-2777.43" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2762.2-2764.43" *)
           casez (\memory_delaySysmemResponse_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2775.6-2775.38" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2762.6-2762.38" */
             1'h1:
-                _0029_ = \memory_delaySysmemResponse_rv$D_IN ;
+                _0028_ = \memory_delaySysmemResponse_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2778.2-2780.34" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2765.2-2767.34" *)
           casez (\memory_pendingPCLoad$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2778.6-2778.29" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2765.6-2765.29" */
             1'h1:
-                _0030_ = \memory_pendingPCLoad$D_IN ;
+                _0029_ = \memory_pendingPCLoad$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2781.2-2782.37" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2768.2-2769.37" *)
           casez (\memory_q_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2781.6-2781.20" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2768.6-2768.20" */
             1'h1:
-                _0031_ = \memory_q_rv$D_IN ;
+                _0030_ = \memory_q_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2783.2-2785.41" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2770.2-2772.41" *)
           casez (\memory_sysmemMaster_fReq_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2783.6-2783.36" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2770.6-2770.36" */
             1'h1:
-                _0032_ = \memory_sysmemMaster_fReq_rv$D_IN ;
+                _0031_ = \memory_sysmemMaster_fReq_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2786.2-2788.41" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2773.2-2775.41" *)
           casez (\memory_sysmemMaster_fRes_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2786.6-2786.36" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2773.6-2773.36" */
             1'h1:
-                _0033_ = \memory_sysmemMaster_fRes_rv$D_IN ;
+                _0032_ = \memory_sysmemMaster_fRes_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2789.2-2790.51" *)
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2776.2-2777.51" *)
           casez (\memory_waitRead_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2789.6-2789.27" */
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2776.6-2776.27" */
             1'h1:
-                _0034_ = \memory_waitRead_rv$D_IN ;
+                _0033_ = \memory_waitRead_rv$D_IN ;
             default:
                 /* empty */;
           endcase
@@ -9433,53 +9415,52 @@
       fetch_cMispredictOkCount <= _0018_;
       fetch_cPredictCount <= _0019_;
       fetch_cycle <= _0020_;
-      fetch_delaySysmemResponse_rv <= _0021_;
-      fetch_fetched_rv <= _0022_;
-      fetch_mispredictComputeF_rv <= _0023_;
-      fetch_mispredictMemoryF_rv <= _0024_;
-      fetch_out_rv <= _0025_;
-      fetch_pcRequested_rv <= _0026_;
-      fetch_wantPC <= _0027_;
-      memory_computedPC_rv <= _0028_;
-      memory_delaySysmemResponse_rv <= _0029_;
-      memory_pendingPCLoad <= _0030_;
-      memory_q_rv <= _0031_;
-      memory_sysmemMaster_fReq_rv <= _0032_;
-      memory_sysmemMaster_fRes_rv <= _0033_;
-      memory_waitRead_rv <= _0034_;
+      fetch_fetched_rv <= _0021_;
+      fetch_mispredictComputeF_rv <= _0022_;
+      fetch_mispredictMemoryF_rv <= _0023_;
+      fetch_out_rv <= _0024_;
+      fetch_pcRequested_rv <= _0025_;
+      fetch_wantPC <= _0026_;
+      memory_computedPC_rv <= _0027_;
+      memory_delaySysmemResponse_rv <= _0028_;
+      memory_pendingPCLoad <= _0029_;
+      memory_q_rv <= _0030_;
+      memory_sysmemMaster_fReq_rv <= _0031_;
+      memory_sysmemMaster_fRes_rv <= _0032_;
+      memory_waitRead_rv <= _0033_;
   end
-  assign dmem_client_request_get = { memory_q_rv[99:68], _0326_, memory_q_rv[33:0], _0327_, memory_q_rv[67:36] };
-  assign RDY_dmem_client_request_get = _0925_;
-  assign RDY_dmem_client_response_put = _0928_;
-  assign imem_client_request_get = _1876_;
-  assign RDY_imem_client_request_get = _0930_;
-  assign RDY_imem_client_response_put = _0932_;
-  assign sysmem_client_cyc_o = _0933_;
-  assign sysmem_client_stb_o = _0934_;
-  assign sysmem_client_adr_o = _1877_;
-  assign sysmem_client_dat_o = _1878_;
-  assign sysmem_client_sel_o = _1880_;
-  assign sysmem_client_we_o = _0936_;
+  assign dmem_client_request_get = { memory_q_rv[99:68], _0325_, memory_q_rv[33:0], _0326_, memory_q_rv[67:36] };
+  assign RDY_dmem_client_request_get = _0923_;
+  assign RDY_dmem_client_response_put = _0926_;
+  assign imem_client_request_get = _1875_;
+  assign RDY_imem_client_request_get = _0928_;
+  assign RDY_imem_client_response_put = _0930_;
+  assign sysmem_client_cyc_o = _0931_;
+  assign sysmem_client_stb_o = _0932_;
+  assign sysmem_client_adr_o = _1876_;
+  assign sysmem_client_dat_o = _1877_;
+  assign sysmem_client_sel_o = _1879_;
+  assign sysmem_client_we_o = _0934_;
   assign readPC = 32'd2863311530;
   assign RDY_readPC = 1'h1;
-  assign WILL_FIRE_RL_memory_sysmemResponse = _0940_;
-  assign WILL_FIRE_RL_memory_sysmemRequest = _0944_;
-  assign WILL_FIRE_RL_mkConnectionGetPut_1 = _0946_;
-  assign WILL_FIRE_RL_mkConnectionGetPut = _0948_;
-  assign \MUX_compute_regFromMemory$wset_1__SEL_1  = _0950_;
-  assign \MUX_compute_regFromMemory$wset_1__SEL_2  = _0952_;
-  assign \MUX_fetch_mispredictMemoryF_rv$port0__write_1__SEL_1  = _0954_;
-  assign \MUX_compute_regFromMemory$wset_1__VAL_1  = { memory_waitRead_rv[68:64], data__h6648 };
+  assign WILL_FIRE_RL_memory_sysmemResponse = _0938_;
+  assign WILL_FIRE_RL_memory_sysmemRequest = _0942_;
+  assign WILL_FIRE_RL_mkConnectionGetPut_1 = _0944_;
+  assign WILL_FIRE_RL_mkConnectionGetPut = _0946_;
+  assign \MUX_compute_regFromMemory$wset_1__SEL_1  = _0948_;
+  assign \MUX_compute_regFromMemory$wset_1__SEL_2  = _0950_;
+  assign \MUX_fetch_mispredictMemoryF_rv$port0__write_1__SEL_1  = _0952_;
+  assign \MUX_compute_regFromMemory$wset_1__VAL_1  = { memory_waitRead_rv[68:64], data__h6437 };
   assign \MUX_compute_regFromMemory$wset_1__VAL_2  = { memory_waitRead_rv[68:64], dmem_client_response_put };
-  assign \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_1  = { 1'h1, data__h6648, x__h6948 };
-  assign \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_2  = { 1'h1, dmem_client_response_put, x__h6948 };
+  assign \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_1  = { 1'h1, data__h6437, x__h6737 };
+  assign \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_2  = { 1'h1, dmem_client_response_put, x__h6737 };
   assign \compute_busyProbe$PROBE  = WILL_FIRE_RL_mkConnectionGetPut_1;
   assign \compute_busyProbe$PROBE_VALID  = 1'h1;
   assign \compute_busyPutProbe$PROBE  = WILL_FIRE_RL_mkConnectionGetPut;
   assign \compute_busyPutProbe$PROBE_VALID  = 1'h1;
   assign \compute_fullQ$PROBE  = \compute_q_rv$port1__read [83];
   assign \compute_fullQ$PROBE_VALID  = 1'h1;
-  assign \compute_instrProbe$PROBE  = _1881_;
+  assign \compute_instrProbe$PROBE  = _1880_;
   assign \compute_instrProbe$PROBE_VALID  = WILL_FIRE_RL_mkConnectionGetPut_1;
   assign \compute_pcProbe$PROBE  = compute_q_rv[50:19];
   assign \compute_pcProbe$PROBE_VALID  = WILL_FIRE_RL_mkConnectionGetPut_1;
@@ -9490,7 +9471,7 @@
   assign \fetch_mispredictErrorProbe$PROBE  = fetch_cMispredictErrorCount;
   assign \fetch_mispredictErrorProbe$PROBE_VALID  = 1'h1;
   assign \fetch_pcProbe$PROBE  = \fetch_fetched_rv$port1__read [63:32];
-  assign \fetch_pcProbe$PROBE_VALID  = _0955_;
+  assign \fetch_pcProbe$PROBE_VALID  = _0953_;
   assign \fetch_putProbe$PROBE  = fetch_pcRequested_rv[31:0];
   assign \fetch_putProbe$PROBE_VALID  = EN_imem_client_response_put;
   assign \fetch_wantProbe$PROBE  = fetch_wantPC;
@@ -9508,77 +9489,75 @@
   assign \memory_fullWaitRead$PROBE  = \memory_waitRead_rv$port1__read [70];
   assign \memory_fullWaitRead$PROBE_VALID  = 1'h1;
   assign \memory_responseRegProbe$PROBE  = memory_waitRead_rv[68:64];
-  assign \memory_responseRegProbe$PROBE_VALID  = _0957_;
-  assign \fetch_fetchPC$whas  = _1597_;
-  assign \compute_regFromMemory$wget  = _1883_;
-  assign \compute_regFromMemory$whas  = _1598_;
-  assign \memory_startPCLoad$whas  = _0962_;
-  assign \memory_stopPCLoad$whas  = _0965_;
+  assign \memory_responseRegProbe$PROBE_VALID  = _0955_;
+  assign \fetch_fetchPC$whas  = _1596_;
+  assign \compute_regFromMemory$wget  = _1882_;
+  assign \compute_regFromMemory$whas  = _1597_;
+  assign \memory_startPCLoad$whas  = _0960_;
+  assign \memory_stopPCLoad$whas  = _0963_;
   assign \memory_sysmemMaster_outgoing$wget  = { 1'h1, \memory_sysmemMaster_fReq_rv$port1__read [68:0] };
-  assign \memory_sysmemMaster_incoming$wget  = _1884_;
-  assign \memory_sysmemMaster_incoming$whas  = _1600_;
-  assign \memory_busyReq$whas  = _1601_;
-  assign \memory_busyResp$whas  = _0968_;
-  assign \fetch_mispredictComputeF_rv$EN_port0__write  = _0969_;
-  assign \fetch_mispredictComputeF_rv$port0__write_1  = { 1'h1, x__h16019, x__h16257 };
-  assign \fetch_mispredictComputeF_rv$port1__read  = _1885_;
-  assign \fetch_mispredictComputeF_rv$EN_port1__write  = _0970_;
-  assign \fetch_mispredictComputeF_rv$port2__read  = _1886_;
-  assign \fetch_mispredictMemoryF_rv$EN_port0__write  = _1602_;
-  assign \fetch_mispredictMemoryF_rv$port0__write_1  = _1887_;
-  assign \fetch_mispredictMemoryF_rv$port1__read  = _1888_;
-  assign \fetch_mispredictMemoryF_rv$EN_port1__write  = _0975_;
-  assign \fetch_mispredictMemoryF_rv$port2__read  = _1889_;
-  assign \fetch_pcRequested_rv$port1__read  = _1890_;
+  assign \memory_sysmemMaster_incoming$wget  = _1883_;
+  assign \memory_sysmemMaster_incoming$whas  = _1599_;
+  assign \memory_busyReq$whas  = _1600_;
+  assign \memory_busyResp$whas  = _0966_;
+  assign \fetch_mispredictComputeF_rv$EN_port0__write  = _0967_;
+  assign \fetch_mispredictComputeF_rv$port0__write_1  = { 1'h1, x__h15808, x__h16046 };
+  assign \fetch_mispredictComputeF_rv$port1__read  = _1884_;
+  assign \fetch_mispredictComputeF_rv$EN_port1__write  = _0968_;
+  assign \fetch_mispredictComputeF_rv$port2__read  = _1885_;
+  assign \fetch_mispredictMemoryF_rv$EN_port0__write  = _1601_;
+  assign \fetch_mispredictMemoryF_rv$port0__write_1  = _1886_;
+  assign \fetch_mispredictMemoryF_rv$port1__read  = _1887_;
+  assign \fetch_mispredictMemoryF_rv$EN_port1__write  = _0973_;
+  assign \fetch_mispredictMemoryF_rv$port2__read  = _1888_;
+  assign \fetch_pcRequested_rv$port1__read  = _1889_;
   assign \fetch_pcRequested_rv$port1__write_1  = { 1'h1, x_wget__h807 };
-  assign \fetch_pcRequested_rv$port2__read  = _1891_;
+  assign \fetch_pcRequested_rv$port2__read  = _1890_;
   assign \fetch_fetched_rv$port0__write_1  = { 1'h1, fetch_pcRequested_rv[31:0], imem_client_response_put };
-  assign \fetch_fetched_rv$port1__read  = _1892_;
-  assign \fetch_fetched_rv$port2__read  = _1893_;
-  assign \compute_q_rv$EN_port0__write  = _0976_;
-  assign \compute_q_rv$port1__read  = _1894_;
+  assign \fetch_fetched_rv$port1__read  = _1891_;
+  assign \fetch_fetched_rv$port2__read  = _1892_;
+  assign \compute_q_rv$EN_port0__write  = _0974_;
+  assign \compute_q_rv$port1__read  = _1893_;
   assign \compute_q_rv$port1__write_1  = { 1'h1, IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d308, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d309, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d310, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d315, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d316, NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d319, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d349 };
-  assign \compute_q_rv$port2__read  = _1895_;
-  assign \memory_q_rv$EN_port0__write  = _1604_;
-  assign \memory_q_rv$port1__read  = _1896_;
-  assign \memory_q_rv$port1__write_1  = { 1'h1, x__h16750, compute_q_rv[50:19], _1897_, IF_IF_compute_q_rv_port0__read__54_BITS_82_TO__ETC___d1251, _1906_ };
-  assign \memory_q_rv$port2__read  = _1911_;
-  assign \memory_waitRead_rv$EN_port0__write  = _1605_;
-  assign \memory_waitRead_rv$port1__read  = _1912_;
-  assign \memory_waitRead_rv$EN_port1__write  = _0985_;
-  assign \memory_waitRead_rv$port1__write_1  = { 1'h1, _0348_, memory_q_rv[6:2], memory_q_rv[67:36], memory_q_rv[99:68] };
-  assign \memory_waitRead_rv$port2__read  = _1913_;
-  assign \memory_delaySysmemResponse_rv$port1__read  = _1914_;
-  assign \memory_delaySysmemResponse_rv$EN_port1__write  = _0986_;
+  assign \compute_q_rv$port2__read  = _1894_;
+  assign \memory_q_rv$EN_port0__write  = _1603_;
+  assign \memory_q_rv$port1__read  = _1895_;
+  assign \memory_q_rv$port1__write_1  = { 1'h1, x__h16539, compute_q_rv[50:19], _1896_, IF_IF_compute_q_rv_port0__read__54_BITS_82_TO__ETC___d1251, _1905_ };
+  assign \memory_q_rv$port2__read  = _1910_;
+  assign \memory_waitRead_rv$EN_port0__write  = _1604_;
+  assign \memory_waitRead_rv$port1__read  = _1911_;
+  assign \memory_waitRead_rv$EN_port1__write  = _0983_;
+  assign \memory_waitRead_rv$port1__write_1  = { 1'h1, _0347_, memory_q_rv[6:2], memory_q_rv[67:36], memory_q_rv[99:68] };
+  assign \memory_waitRead_rv$port2__read  = _1912_;
+  assign \memory_delaySysmemResponse_rv$port1__read  = _1913_;
+  assign \memory_delaySysmemResponse_rv$EN_port1__write  = _0984_;
   assign \memory_delaySysmemResponse_rv$port1__write_1  = { 1'h1, \memory_sysmemMaster_fRes_rv$port1__read [32:0] };
-  assign \memory_delaySysmemResponse_rv$port2__read  = _1915_;
-  assign \memory_sysmemMaster_fReq_rv$port0__write_1  = { 1'h1, memory_q_rv[99:68], _0349_, memory_q_rv[33:2], 4'hf };
-  assign \memory_sysmemMaster_fReq_rv$port1__read  = _1916_;
-  assign \memory_sysmemMaster_fReq_rv$EN_port1__write  = _0989_;
-  assign \memory_sysmemMaster_fReq_rv$port2__read  = _1917_;
-  assign \memory_sysmemMaster_fRes_rv$EN_port0__write  = _0992_;
-  assign \memory_sysmemMaster_fRes_rv$port0__write_1  = { 1'h1, _1383_, \memory_sysmemMaster_incoming$wget [31:0] };
-  assign \memory_sysmemMaster_fRes_rv$port1__read  = _1918_;
-  assign \memory_sysmemMaster_fRes_rv$EN_port1__write  = _0351_;
-  assign \memory_sysmemMaster_fRes_rv$port2__read  = _1795_;
+  assign \memory_delaySysmemResponse_rv$port2__read  = _1914_;
+  assign \memory_sysmemMaster_fReq_rv$port0__write_1  = { 1'h1, memory_q_rv[99:68], _0348_, memory_q_rv[33:2], 4'hf };
+  assign \memory_sysmemMaster_fReq_rv$port1__read  = _1915_;
+  assign \memory_sysmemMaster_fReq_rv$EN_port1__write  = _0987_;
+  assign \memory_sysmemMaster_fReq_rv$port2__read  = _1916_;
+  assign \memory_sysmemMaster_fRes_rv$EN_port0__write  = _0990_;
+  assign \memory_sysmemMaster_fRes_rv$port0__write_1  = { 1'h1, _1381_, \memory_sysmemMaster_incoming$wget [31:0] };
+  assign \memory_sysmemMaster_fRes_rv$port1__read  = _1917_;
+  assign \memory_sysmemMaster_fRes_rv$EN_port1__write  = _0991_;
+  assign \memory_sysmemMaster_fRes_rv$port2__read  = _1794_;
   assign \compute_computedPC_rv$D_IN  = compute_computedPC_rv;
   assign \compute_computedPC_rv$EN  = 1'h1;
   assign \compute_memoryRegisterLoad$D_IN  = { NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d580, IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d582 };
   assign \compute_memoryRegisterLoad$EN  = WILL_FIRE_RL_mkConnectionGetPut_1;
   assign \compute_q_rv$D_IN  = \compute_q_rv$port2__read ;
   assign \compute_q_rv$EN  = 1'h1;
-  assign \fetch_cMispredictErrorCount$D_IN  = _0048_;
-  assign \fetch_cMispredictErrorCount$EN  = _0353_;
-  assign \fetch_cMispredictLagCount$D_IN  = _0049_;
-  assign \fetch_cMispredictLagCount$EN  = _0357_;
-  assign \fetch_cMispredictOkCount$D_IN  = _0050_;
-  assign \fetch_cMispredictOkCount$EN  = _0360_;
-  assign \fetch_cPredictCount$D_IN  = _0051_;
-  assign \fetch_cPredictCount$EN  = _0361_;
-  assign \fetch_cycle$D_IN  = _0052_;
+  assign \fetch_cMispredictErrorCount$D_IN  = _0047_;
+  assign \fetch_cMispredictErrorCount$EN  = _0351_;
+  assign \fetch_cMispredictLagCount$D_IN  = _0048_;
+  assign \fetch_cMispredictLagCount$EN  = _0355_;
+  assign \fetch_cMispredictOkCount$D_IN  = _0049_;
+  assign \fetch_cMispredictOkCount$EN  = _0358_;
+  assign \fetch_cPredictCount$D_IN  = _0050_;
+  assign \fetch_cPredictCount$EN  = _0359_;
+  assign \fetch_cycle$D_IN  = _0051_;
   assign \fetch_cycle$EN  = 1'h1;
-  assign \fetch_delaySysmemResponse_rv$D_IN  = fetch_delaySysmemResponse_rv;
-  assign \fetch_delaySysmemResponse_rv$EN  = 1'h1;
   assign \fetch_fetched_rv$D_IN  = \fetch_fetched_rv$port2__read ;
   assign \fetch_fetched_rv$EN  = 1'h1;
   assign \fetch_mispredictComputeF_rv$D_IN  = \fetch_mispredictComputeF_rv$port2__read ;
@@ -9589,14 +9568,14 @@
   assign \fetch_out_rv$EN  = 1'h1;
   assign \fetch_pcRequested_rv$D_IN  = \fetch_pcRequested_rv$port2__read ;
   assign \fetch_pcRequested_rv$EN  = 1'h1;
-  assign \fetch_wantPC$D_IN  = nextPC__h7940;
+  assign \fetch_wantPC$D_IN  = nextPC__h7729;
   assign \fetch_wantPC$EN  = WILL_FIRE_RL_mkConnectionGetPut;
   assign \memory_computedPC_rv$D_IN  = memory_computedPC_rv;
   assign \memory_computedPC_rv$EN  = 1'h1;
   assign \memory_delaySysmemResponse_rv$D_IN  = \memory_delaySysmemResponse_rv$port2__read ;
   assign \memory_delaySysmemResponse_rv$EN  = 1'h1;
   assign \memory_pendingPCLoad$D_IN  = \memory_startPCLoad$whas ;
-  assign \memory_pendingPCLoad$EN  = _1388_;
+  assign \memory_pendingPCLoad$EN  = _1387_;
   assign \memory_q_rv$D_IN  = \memory_q_rv$port2__read ;
   assign \memory_q_rv$EN  = 1'h1;
   assign \memory_sysmemMaster_fReq_rv$D_IN  = \memory_sysmemMaster_fReq_rv$port2__read ;
@@ -9605,177 +9584,177 @@
   assign \memory_sysmemMaster_fRes_rv$EN  = 1'h1;
   assign \memory_waitRead_rv$D_IN  = \memory_waitRead_rv$port2__read ;
   assign \memory_waitRead_rv$EN  = 1'h1;
-  assign \compute_alu1$run_op  = { rs1v__h13670, x__h15266, compute_q_rv[2:0], _1796_, _1797_, _0371_ };
-  assign \compute_alu1$EN_run  = _0373_;
+  assign \compute_alu1$run_op  = { rs1v__h13459, x__h15055, compute_q_rv[2:0], _1795_, _1796_, _0369_ };
+  assign \compute_alu1$EN_run  = _0371_;
   assign \rf$computeSource1_read_ix  = compute_q_rv[18:14];
   assign \rf$computeSource2_read_ix  = IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385;
-  assign \rf$computeWrite_write_rd  = { compute_q_rv_port0__read__54_BIT_3_89_OR_compu_ETC___d1180, _1799_ };
-  assign \rf$computeWrite_write_sw  = { _0374_, 28'h0000000, \compute_alu1$run [3:0] };
+  assign \rf$computeWrite_write_rd  = { compute_q_rv_port0__read__54_BIT_3_89_OR_compu_ETC___d1180, _1798_ };
+  assign \rf$computeWrite_write_sw  = { _0372_, 28'h0000000, \compute_alu1$run [3:0] };
   assign \rf$fetchRead_read_ix  = 5'h00;
   assign \rf$memoryWrite_write_rd  = memory_waitRead_rv[68:64];
-  assign \rf$memoryWrite_write_value  = _1800_;
-  assign \rf$EN_computeWrite_write  = _0375_;
-  assign \rf$EN_memoryWrite_write  = _1393_;
-  assign IF_IF_compute_q_rv_port0__read__54_BITS_82_TO__ETC___d1251 = _1802_;
-  assign IF_NOT_compute_q_rv_port0__read__54_BITS_82_TO_ETC___d1221 = _1804_;
-  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d222 = _1807_;
-  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d225 = _1809_;
-  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d228 = _1811_;
-  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d309 = _1813_;
-  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d310 = _1814_;
-  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d315 = _1815_;
-  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d316 = _1817_;
-  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d349 = _1818_;
+  assign \rf$memoryWrite_write_value  = _1799_;
+  assign \rf$EN_computeWrite_write  = _0373_;
+  assign \rf$EN_memoryWrite_write  = _1392_;
+  assign IF_IF_compute_q_rv_port0__read__54_BITS_82_TO__ETC___d1251 = _1801_;
+  assign IF_NOT_compute_q_rv_port0__read__54_BITS_82_TO_ETC___d1221 = _1803_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d222 = _1806_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d225 = _1808_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d228 = _1810_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d309 = _1812_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d310 = _1813_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d315 = _1814_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d316 = _1816_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d349 = _1817_;
   assign IF_compute_q_rv_port0__read__54_BITS_61_TO_59__ETC___d525 = { CASE_compute_q_rv_BITS_61_TO_59_0b0_0b0_0b1_0b_ETC__q1, compute_q_rv[53:51] };
-  assign IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d1223 = _1821_;
-  assign IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d582 = _1823_;
-  assign IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1198 = _1824_;
-  assign IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1212 = _1826_;
-  assign IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385 = _1829_;
-  assign IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386 = _0093_;
-  assign IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136 = _1830_;
+  assign IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d1223 = _1820_;
+  assign IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d582 = _1822_;
+  assign IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1198 = _1823_;
+  assign IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1212 = _1825_;
+  assign IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385 = _1828_;
+  assign IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386 = _0092_;
+  assign IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136 = _1829_;
   assign IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d281 = { CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q4, \fetch_fetched_rv$port1__read [2:0] };
-  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144 = _0095_;
-  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148 = _0096_;
-  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d154 = _0097_;
-  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d156 = _0098_;
-  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d308 = _1831_;
-  assign IF_memory_q_rv_port0__read__5_BIT_100_6_AND_me_ETC___d61 = _1833_;
-  assign NOT_IF_fetch_mispredictMemoryF_rv_port1__read__ETC___d174 = _0437_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1004 = _0440_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1009 = _0444_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1074 = _0478_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1077 = _0480_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1138 = _0483_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1143 = _0487_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1147 = _0491_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1151 = _0495_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1155 = _0499_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1159 = _0503_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1163 = _0507_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1167 = _0511_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1171 = _0515_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1183 = _0518_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d580 = _0521_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d605 = _0532_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d611 = _0541_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d616 = _0550_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d621 = _0559_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d626 = _0568_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d631 = _0577_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d636 = _0586_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d641 = _0595_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d646 = _0604_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d708 = _0607_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d712 = _0612_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d716 = _0615_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d721 = _0619_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d726 = _0623_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d731 = _0627_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d736 = _0631_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d741 = _0635_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d746 = _0639_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d751 = _0643_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d756 = _0645_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d761 = _0651_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d766 = _0655_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d771 = _0659_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d776 = _0663_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d781 = _0667_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d813 = _0685_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d818 = _0687_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d823 = _0689_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d828 = _0691_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d833 = _0693_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d838 = _0695_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d843 = _0697_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d848 = _0699_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d853 = _0701_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d854 = _0704_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d859 = _0708_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d864 = _0712_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d869 = _0716_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d874 = _0720_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d879 = _0724_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d884 = _0728_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d889 = _0732_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d894 = _0736_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d899 = _0740_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d904 = _0744_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d909 = _0748_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d914 = _0752_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d919 = _0756_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d924 = _0760_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d929 = _0764_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d934 = _0768_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d939 = _0772_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d944 = _0776_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d949 = _0780_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d954 = _0784_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d959 = _0788_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d964 = _0792_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d969 = _0796_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d974 = _0800_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d979 = _0804_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d984 = _0808_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d989 = _0812_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d994 = _0816_;
-  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d999 = _0820_;
-  assign NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d238 = _0824_;
-  assign NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d249 = _0828_;
-  assign NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d319 = _0834_;
+  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144 = _0094_;
+  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148 = _0095_;
+  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d154 = _0096_;
+  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d156 = _0097_;
+  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d308 = _1830_;
+  assign IF_memory_q_rv_port0__read__5_BIT_100_6_AND_me_ETC___d61 = _1832_;
+  assign NOT_IF_fetch_mispredictMemoryF_rv_port1__read__ETC___d174 = _0435_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1004 = _0438_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1009 = _0442_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1074 = _0476_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1077 = _0478_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1138 = _0481_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1143 = _0485_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1147 = _0489_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1151 = _0493_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1155 = _0497_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1159 = _0501_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1163 = _0505_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1167 = _0509_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1171 = _0513_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1183 = _0516_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d580 = _0519_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d605 = _0530_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d611 = _0539_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d616 = _0548_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d621 = _0557_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d626 = _0566_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d631 = _0575_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d636 = _0584_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d641 = _0593_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d646 = _0602_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d708 = _0605_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d712 = _0610_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d716 = _0613_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d721 = _0617_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d726 = _0621_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d731 = _0625_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d736 = _0629_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d741 = _0633_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d746 = _0637_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d751 = _0641_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d756 = _0643_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d761 = _0649_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d766 = _0653_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d771 = _0657_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d776 = _0661_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d781 = _0665_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d813 = _0683_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d818 = _0685_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d823 = _0687_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d828 = _0689_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d833 = _0691_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d838 = _0693_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d843 = _0695_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d848 = _0697_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d853 = _0699_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d854 = _0702_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d859 = _0706_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d864 = _0710_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d869 = _0714_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d874 = _0718_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d879 = _0722_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d884 = _0726_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d889 = _0730_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d894 = _0734_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d899 = _0738_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d904 = _0742_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d909 = _0746_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d914 = _0750_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d919 = _0754_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d924 = _0758_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d929 = _0762_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d934 = _0766_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d939 = _0770_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d944 = _0774_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d949 = _0778_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d954 = _0782_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d959 = _0786_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d964 = _0790_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d969 = _0794_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d974 = _0798_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d979 = _0802_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d984 = _0806_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d989 = _0810_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d994 = _0814_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d999 = _0818_;
+  assign NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d238 = _0822_;
+  assign NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d249 = _0826_;
+  assign NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d319 = _0832_;
   assign SEXT_compute_q_rv_port0__read__54_BITS_66_TO_5_ETC___d1107 = { compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3 };
-  assign \_dor1memory_busyResp$EN_wset  = _1523_;
-  assign \_dor1memory_responseRegProbe$EN__write  = _1524_;
-  assign \_dor1memory_startPCLoad$EN_wset  = _1525_;
-  assign \_dor1memory_stopPCLoad$EN_wset  = _1526_;
-  assign \_dor1memory_waitRead_rv$EN_port1__write  = _1527_;
-  assign _theResult_____4_fst_ea__h16285 = _1840_;
-  assign _theResult_____4_fst_ea__h16376 = _1841_;
-  assign added__h15834 = _0053_;
-  assign added__h15864 = _0054_;
-  assign aluOp_b__h15174 = _1793_;
-  assign compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1215 = _1529_;
-  assign compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1259 = _1535_;
-  assign compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d497 = _1540_;
+  assign \_dor1memory_busyResp$EN_wset  = _1522_;
+  assign \_dor1memory_responseRegProbe$EN__write  = _1523_;
+  assign \_dor1memory_startPCLoad$EN_wset  = _1524_;
+  assign \_dor1memory_stopPCLoad$EN_wset  = _1525_;
+  assign \_dor1memory_waitRead_rv$EN_port1__write  = _1526_;
+  assign _theResult_____4_fst_ea__h16074 = _1839_;
+  assign _theResult_____4_fst_ea__h16165 = _1840_;
+  assign added__h15623 = _0052_;
+  assign added__h15653 = _0053_;
+  assign aluOp_b__h14963 = _1792_;
+  assign compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1215 = _1528_;
+  assign compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1259 = _1534_;
+  assign compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d497 = _1539_;
   assign compute_q_rv_BITS_60_TO_51__q5 = compute_q_rv[60:51];
   assign compute_q_rv_BITS_66_TO_51__q3 = compute_q_rv[66:51];
-  assign compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361 = _0287_;
-  assign compute_q_rv_port0__read__54_BIT_3_89_OR_compu_ETC___d1180 = _1546_;
-  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d817 = _0850_;
-  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d822 = _0853_;
-  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d827 = _0856_;
-  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d832 = _0859_;
-  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d837 = _0862_;
-  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d842 = _0865_;
-  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d847 = _0868_;
-  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d852 = _0871_;
-  assign coshift__h15137 = _1851_;
-  assign data__h6648 = _1852_;
-  assign fetch_mispredictMemoryF_rv_port1__read__35_BIT_ETC___d165 = _0874_;
-  assign fetch_mispredictMemoryF_rv_port1__read__35_BIT_ETC___d218 = _1559_;
-  assign imm__h14821 = { 11'h000, compute_q_rv[73:69], compute_q_rv[66:51] };
-  assign memory_q_rv_port0__read__5_BITS_99_TO_68_6_ULT_ETC___d67 = _1608_;
-  assign newPC__h14613 = _1853_;
-  assign nextPC__h7366 = _0055_;
-  assign nextPC__h7940 = _1854_;
-  assign rs1v__h13670 = _1855_;
-  assign rs2v__h13671 = _1857_;
-  assign value__h7412 = _1859_;
-  assign value__h7456 = _1860_;
-  assign x__h15266 = _1861_;
-  assign x__h16019 = _1863_;
-  assign x__h16098 = _0056_;
-  assign x__h16104 = { compute_q_rv[73:53], 2'h0 };
-  assign x__h16140 = { 9'h000, x__h16104 };
-  assign x__h16257 = _0057_;
-  assign x__h16750 = _1865_;
-  assign x__h6948 = _0058_;
-  assign x__h8530 = _1866_;
+  assign compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361 = _0286_;
+  assign compute_q_rv_port0__read__54_BIT_3_89_OR_compu_ETC___d1180 = _1545_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d817 = _0848_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d822 = _0851_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d827 = _0854_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d832 = _0857_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d837 = _0860_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d842 = _0863_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d847 = _0866_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d852 = _0869_;
+  assign coshift__h14926 = _1850_;
+  assign data__h6437 = _1851_;
+  assign fetch_mispredictMemoryF_rv_port1__read__35_BIT_ETC___d165 = _0872_;
+  assign fetch_mispredictMemoryF_rv_port1__read__35_BIT_ETC___d218 = _1558_;
+  assign imm__h14610 = { 11'h000, compute_q_rv[73:69], compute_q_rv[66:51] };
+  assign memory_q_rv_port0__read__5_BITS_99_TO_68_6_ULT_ETC___d67 = _1607_;
+  assign newPC__h14402 = _1852_;
+  assign nextPC__h7155 = _0054_;
+  assign nextPC__h7729 = _1853_;
+  assign rs1v__h13459 = _1854_;
+  assign rs2v__h13460 = _1856_;
+  assign value__h7201 = _1858_;
+  assign value__h7245 = _1859_;
+  assign x__h15055 = _1860_;
+  assign x__h15808 = _1862_;
+  assign x__h15887 = _0055_;
+  assign x__h15893 = { compute_q_rv[73:53], 2'h0 };
+  assign x__h15929 = { 9'h000, x__h15893 };
+  assign x__h16046 = _0056_;
+  assign x__h16539 = _1864_;
+  assign x__h6737 = _0057_;
+  assign x__h8319 = _1865_;
   assign x__read__h818 = imem_client_request_get;
   assign x_wget__h807 = imem_client_request_get;
-  assign y__h7716 = _1794_;
-  assign y_avValue_snd__h7932 = _1868_;
+  assign y__h7505 = _1793_;
+  assign y_avValue_snd__h7721 = _1867_;
 endmodule
 
 (* hdlname = "\\mkRFReg" *)
diff --git a/verilog/rtl/mkQF100Fabric.v b/verilog/rtl/mkQF100Fabric.v
index 0d6b090..32ab7ca 100644
--- a/verilog/rtl/mkQF100Fabric.v
+++ b/verilog/rtl/mkQF100Fabric.v
@@ -2,657 +2,973 @@
 
 (* hdlname = "\\mkQF100Fabric" *)
 (* top =  1  *)
-(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:105.1-646.10" *)
+(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:159.1-903.10" *)
 module mkQF100Fabric(
 `ifdef USE_POWER_PINS
     VPWR,
     VGND,
 `endif
     CLK, RST_N, cpu_cyc_i, cpu_stb_i, cpu_adr_i, cpu_dat_i, cpu_sel_i, cpu_we_i, cpu_ack_o, cpu_err_o, cpu_rty_o, cpu_dat_o, spi_cyc_o, spi_stb_o, spi_adr_o, spi_dat_o, spi_sel_o, spi_we_o, spi_ack_i, spi_err_i, spi_rty_i
-, spi_dat_i);
+, spi_dat_i, gpio_cyc_o, gpio_stb_o, gpio_adr_o, gpio_dat_o, gpio_sel_o, gpio_we_o, gpio_ack_i, gpio_err_i, gpio_rty_i, gpio_dat_i);
 `ifdef USE_POWER_PINS
     inout VPWR;
     inout VGND;
 `endif
-  reg \$auto$verilog_backend.cc:2083:dump_module$116  = 0;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.3-601.6" *)
+  reg \$auto$verilog_backend.cc:2083:dump_module$182  = 0;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:827.3-883.6" *)
   reg [69:0] _000_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.3-601.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:827.3-883.6" *)
   reg [33:0] _001_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.3-601.6" *)
-  reg _002_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.3-601.6" *)
-  reg [69:0] _003_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.3-601.6" *)
-  reg [33:0] _004_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.3-601.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:827.3-883.6" *)
+  reg [69:0] _002_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:827.3-883.6" *)
+  reg [33:0] _003_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:827.3-883.6" *)
+  reg _004_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:827.3-883.6" *)
   reg _005_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.3-601.6" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:827.3-883.6" *)
   reg [69:0] _006_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:444.7-444.67" *)
-  wire _007_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:495.7-495.67" *)
-  wire _008_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:504.7-504.67" *)
-  wire _009_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:561.9-561.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:827.3-883.6" *)
+  reg [33:0] _007_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:827.3-883.6" *)
+  reg _008_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:827.3-883.6" *)
+  reg [69:0] _009_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:648.7-648.68" *)
   wire _010_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:289.7-290.58" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:692.7-692.68" *)
   wire _011_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:309.7-310.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:737.7-737.68" *)
   wire _012_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:314.7-315.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:741.7-741.68" *)
   wire _013_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:342.7-343.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:750.7-750.68" *)
   wire _014_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:342.7-344.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:761.7-761.68" *)
   wire _015_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:348.7-349.67" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:829.9-829.22" *)
   wire _016_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:353.7-354.48" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:423.7-424.58" *)
   wire _017_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:353.7-355.76" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:443.7-444.54" *)
   wire _018_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:359.7-360.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:448.7-449.54" *)
   wire _019_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:359.7-361.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:476.7-477.54" *)
   wire _020_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:365.7-366.58" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:476.7-478.54" *)
   wire _021_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:393.7-393.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:482.7-483.54" *)
   wire _022_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.8-404.31" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:487.7-488.54" *)
   wire _023_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.8-404.45" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:515.7-516.54" *)
   wire _024_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:416.7-417.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:515.7-517.54" *)
   wire _025_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:423.7-424.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:521.7-522.60" *)
   wire _026_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:423.7-425.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:521.7-523.53" *)
   wire _027_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:441.7-442.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:527.7-528.60" *)
   wire _028_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:441.7-443.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:527.7-529.53" *)
   wire _029_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:441.7-444.67" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:533.7-534.67" *)
   wire _030_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:452.7-453.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:538.7-539.48" *)
   wire _031_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:452.7-454.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:538.7-540.76" *)
   wire _032_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:452.7-455.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:544.7-545.42" *)
   wire _033_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:461.7-462.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:547.7-548.54" *)
   wire _034_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:461.7-463.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:547.7-549.49" *)
   wire _035_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:461.7-464.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.7-560.58" *)
   wire _036_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:474.7-475.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:587.7-587.29" *)
   wire _037_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:474.7-476.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:598.8-598.31" *)
   wire _038_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:482.7-483.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:598.8-598.45" *)
   wire _039_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.7-493.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:607.8-607.33" *)
   wire _040_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.7-494.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:607.8-607.48" *)
   wire _041_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.7-495.67" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:619.7-620.40" *)
   wire _042_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:501.7-502.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:627.7-628.42" *)
   wire _043_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:501.7-503.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:645.7-646.49" *)
   wire _044_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:501.7-504.67" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:645.7-647.37" *)
   wire _045_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:509.7-510.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:645.7-648.68" *)
   wire _046_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:509.7-511.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:651.10-654.13" *)
   wire _047_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:513.7-514.48" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:663.7-664.49" *)
   wire _048_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:543.7-544.76" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:663.7-665.50" *)
   wire _049_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:548.7-549.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:663.7-666.54" *)
   wire _050_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:548.7-550.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:672.7-673.49" *)
   wire _051_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:300.8-300.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:672.7-674.50" *)
   wire _052_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:301.8-301.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:672.7-675.54" *)
   wire _053_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:319.8-319.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:689.7-690.49" *)
   wire _054_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:320.8-320.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:689.7-691.37" *)
   wire _055_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:326.8-326.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:689.7-692.68" *)
   wire _056_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:327.8-327.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:698.7-699.49" *)
   wire _057_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:335.8-335.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:698.7-700.50" *)
   wire _058_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:336.8-336.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:698.7-701.54" *)
   wire _059_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:355.7-355.76" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:707.7-708.49" *)
   wire _060_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:359.7-359.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:707.7-709.50" *)
   wire _061_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:361.7-361.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:707.7-710.54" *)
   wire _062_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:375.8-375.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:724.7-725.40" *)
   wire _063_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:376.8-376.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:734.7-735.49" *)
   wire _064_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.21-404.31" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:734.7-736.37" *)
   wire _065_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.35-404.45" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:734.7-737.68" *)
   wire _066_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:417.7-417.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:738.7-739.49" *)
   wire _067_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:425.7-425.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:738.7-740.37" *)
   wire _068_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:442.7-442.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:738.7-741.68" *)
   wire _069_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:443.7-443.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:747.7-748.49" *)
   wire _070_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:453.7-453.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:747.7-749.37" *)
   wire _071_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:462.7-462.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:747.7-750.68" *)
   wire _072_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:467.9-467.63" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:755.7-756.48" *)
   wire _073_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:476.7-476.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:758.7-759.49" *)
   wire _074_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:483.7-483.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:758.7-760.37" *)
   wire _075_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:493.7-493.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:758.7-761.68" *)
   wire _076_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:494.7-494.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:766.7-767.48" *)
   wire _077_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:502.7-502.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:811.7-812.76" *)
   wire _078_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:503.7-503.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:816.7-817.54" *)
   wire _079_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:511.7-511.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:816.7-818.49" *)
   wire _080_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:513.7-513.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:434.8-434.56" *)
   wire _081_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:543.7-543.71" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:435.8-435.60" *)
   wire _082_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:544.7-544.76" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:453.8-453.62" *)
   wire _083_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:548.7-548.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:454.8-454.56" *)
   wire _084_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:550.7-550.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:460.8-460.62" *)
   wire _085_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:300.8-301.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:461.8-461.56" *)
   wire _086_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:319.8-320.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:469.8-469.62" *)
   wire _087_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:326.8-327.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:470.8-470.56" *)
   wire _088_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:335.8-336.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.8-492.62" *)
   wire _089_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:375.8-376.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:493.8-493.56" *)
   wire _090_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:398.7-399.75" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:499.8-499.62" *)
   wire _091_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:408.7-408.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:500.8-500.56" *)
   wire _092_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:408.7-408.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:508.8-508.62" *)
   wire _093_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:434.7-435.75" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:509.8-509.56" *)
   wire _094_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:506.7-507.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:523.7-523.53" *)
   wire _095_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:546.7-547.75" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:529.7-529.53" *)
   wire _096_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:546.7-550.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:540.7-540.76" *)
   wire _097_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:300.7-305.11" *)
-  wire [31:0] _098_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:303.10-305.10" *)
-  wire [31:0] _099_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:319.7-322.59" *)
-  wire [31:0] _100_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:326.7-331.11" *)
-  wire [31:0] _101_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:329.10-331.10" *)
-  wire [31:0] _102_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:335.7-338.57" *)
-  wire [3:0] _103_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:375.7-380.11" *)
-  wire [31:0] _104_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:378.10-380.10" *)
-  wire [31:0] _105_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.7-406.22" *)
-  wire [32:0] _106_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:412.7-414.50" *)
-  wire [69:0] _107_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:419.7-421.62" *)
-  wire [69:0] _108_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:430.7-432.50" *)
-  wire [33:0] _109_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:437.7-439.62" *)
-  wire [33:0] _110_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:448.7-450.46" *)
-  wire [69:0] _111_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:457.7-459.58" *)
-  wire [69:0] _112_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:470.7-472.46" *)
-  wire [33:0] _113_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:478.7-480.58" *)
-  wire [33:0] _114_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:488.7-490.37" *)
-  wire [69:0] _115_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:497.7-499.49" *)
-  wire [69:0] _116_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:139.10-139.13" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:545.7-545.42" *)
+  wire _098_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:547.7-547.53" *)
+  wire _099_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:549.7-549.49" *)
+  wire _100_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:569.8-569.56" *)
+  wire _101_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:570.8-570.60" *)
+  wire _102_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:598.21-598.31" *)
+  wire _103_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:598.35-598.45" *)
+  wire _104_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:607.22-607.33" *)
+  wire _105_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:607.37-607.48" *)
+  wire _106_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:620.7-620.40" *)
+  wire _107_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:628.7-628.42" *)
+  wire _108_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:646.7-646.49" *)
+  wire _109_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:647.7-647.37" *)
+  wire _110_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:664.7-664.49" *)
+  wire _111_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:673.7-673.49" *)
+  wire _112_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:678.9-678.63" *)
+  wire _113_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:690.7-690.49" *)
+  wire _114_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:691.7-691.37" *)
+  wire _115_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:699.7-699.49" *)
+  wire _116_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:708.7-708.49" *)
+  wire _117_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:713.9-713.63" *)
+  wire _118_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:725.7-725.40" *)
+  wire _119_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:735.7-735.49" *)
+  wire _120_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:736.7-736.37" *)
+  wire _121_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:739.7-739.49" *)
+  wire _122_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:740.7-740.37" *)
+  wire _123_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:748.7-748.49" *)
+  wire _124_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:749.7-749.37" *)
+  wire _125_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:755.7-755.42" *)
+  wire _126_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:759.7-759.49" *)
+  wire _127_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:760.7-760.37" *)
+  wire _128_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:766.7-766.75" *)
+  wire _129_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:811.7-811.71" *)
+  wire _130_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:812.7-812.76" *)
+  wire _131_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:816.7-816.53" *)
+  wire _132_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:818.7-818.49" *)
+  wire _133_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:434.8-435.60" *)
+  wire _134_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:453.8-454.56" *)
+  wire _135_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:460.8-461.56" *)
+  wire _136_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:469.8-470.56" *)
+  wire _137_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.8-493.56" *)
+  wire _138_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:499.8-500.56" *)
+  wire _139_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:508.8-509.56" *)
+  wire _140_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:569.8-570.60" *)
+  wire _141_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:592.7-593.75" *)
+  wire _142_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:602.7-602.29" *)
+  wire _143_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:602.7-602.42" *)
+  wire _144_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:611.7-611.31" *)
+  wire _145_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:611.7-611.45" *)
+  wire _146_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:626.7-628.42" *)
+  wire _147_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:638.7-639.75" *)
+  wire _148_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:734.7-741.68" *)
+  wire _149_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:752.7-753.36" *)
+  wire _150_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:763.7-764.36" *)
+  wire _151_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:814.7-815.75" *)
+  wire _152_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:814.7-818.49" *)
+  wire _153_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:651.10-652.13" *)
+  wire _154_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:653.3-654.13" *)
+  wire _155_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:434.7-439.11" *)
+  wire [31:0] _156_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:437.10-439.10" *)
+  wire [31:0] _157_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:453.7-456.59" *)
+  wire [31:0] _158_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:460.7-465.11" *)
+  wire [31:0] _159_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:463.10-465.10" *)
+  wire [31:0] _160_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:469.7-472.57" *)
+  wire [3:0] _161_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.7-495.59" *)
+  wire [31:0] _162_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:499.7-504.11" *)
+  wire [31:0] _163_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:502.10-504.10" *)
+  wire [31:0] _164_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:508.7-511.57" *)
+  wire [3:0] _165_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:569.7-574.11" *)
+  wire [31:0] _166_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:572.10-574.10" *)
+  wire [31:0] _167_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:598.7-600.22" *)
+  wire [32:0] _168_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:607.7-609.22" *)
+  wire [32:0] _169_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:615.7-617.50" *)
+  wire [69:0] _170_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:622.7-624.62" *)
+  wire [69:0] _171_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:630.7-632.76" *)
+  wire [33:0] _172_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:634.7-636.50" *)
+  wire [33:0] _173_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:641.7-643.62" *)
+  wire [33:0] _174_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:651.9-656.62" *)
+  wire [31:0] _175_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:659.7-661.46" *)
+  wire [69:0] _176_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:668.7-670.58" *)
+  wire [69:0] _177_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:681.7-683.46" *)
+  wire [33:0] _178_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:685.7-687.58" *)
+  wire [33:0] _179_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:694.7-696.46" *)
+  wire [69:0] _180_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:703.7-705.58" *)
+  wire [69:0] _181_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:716.7-718.46" *)
+  wire [33:0] _182_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:720.7-722.58" *)
+  wire [33:0] _183_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:730.7-732.37" *)
+  wire [69:0] _184_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:743.7-745.49" *)
+  wire [69:0] _185_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:410.8-410.43" *)
+  wire CAN_FIRE_RL_fabric_response_route_1;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:210.10-210.13" *)
   input CLK;
   wire CLK;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:285.8-285.68" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:418.8-418.75" *)
+  wire \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__SEL_2 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:416.17-416.84" *)
+  wire [33:0] \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:417.3-417.70" *)
+  wire [33:0] \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_2 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:419.8-419.68" *)
   wire \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3 ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:140.10-140.15" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:211.10-211.15" *)
   input RST_N;
   wire RST_N;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:281.8-281.71" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:411.8-411.42" *)
+  wire WILL_FIRE_RL_fabric_response_route;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:412.8-412.71" *)
   wire WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:282.8-282.76" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:413.8-413.76" *)
   wire WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:151.10-151.19" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:222.10-222.19" *)
   output cpu_ack_o;
   wire cpu_ack_o;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:145.19-145.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:216.19-216.28" *)
   input [31:0] cpu_adr_i;
   wire [31:0] cpu_adr_i;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:143.10-143.19" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:214.10-214.19" *)
   input cpu_cyc_i;
   wire cpu_cyc_i;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:146.19-146.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:217.19-217.28" *)
   input [31:0] cpu_dat_i;
   wire [31:0] cpu_dat_i;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:160.19-160.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:231.19-231.28" *)
   output [31:0] cpu_dat_o;
   wire [31:0] cpu_dat_o;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:154.10-154.19" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:225.10-225.19" *)
   output cpu_err_o;
   wire cpu_err_o;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:157.10-157.19" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:228.10-228.19" *)
   output cpu_rty_o;
   wire cpu_rty_o;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:147.18-147.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:218.18-218.27" *)
   input [3:0] cpu_sel_i;
   wire [3:0] cpu_sel_i;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:144.10-144.19" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:215.10-215.19" *)
   input cpu_stb_i;
   wire cpu_stb_i;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:148.10-148.18" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:219.10-219.18" *)
   input cpu_we_i;
   wire cpu_we_i;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:247.16-247.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:362.16-362.53" *)
   reg [69:0] fabric_downstreamConnectors_0_fReq_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:248.17-248.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:363.17-363.59" *)
   wire [69:0] \fabric_downstreamConnectors_0_fReq_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:249.8-249.48" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:364.8-364.48" *)
   wire \fabric_downstreamConnectors_0_fReq_rv$EN ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:229.8-229.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:339.8-339.61" *)
   wire \fabric_downstreamConnectors_0_fReq_rv$EN_port0__write ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:230.8-230.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:340.8-340.61" *)
   wire \fabric_downstreamConnectors_0_fReq_rv$EN_port1__write ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:210.17-210.69" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:313.17-313.69" *)
   wire [69:0] \fabric_downstreamConnectors_0_fReq_rv$port0__write_1 ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:211.3-211.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:314.3-314.52" *)
   wire [69:0] \fabric_downstreamConnectors_0_fReq_rv$port1__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:212.3-212.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:315.3-315.52" *)
   wire [69:0] \fabric_downstreamConnectors_0_fReq_rv$port2__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:252.16-252.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:367.16-367.53" *)
   reg [33:0] fabric_downstreamConnectors_0_fRes_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:253.17-253.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:368.17-368.59" *)
   wire [33:0] \fabric_downstreamConnectors_0_fRes_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:254.8-254.48" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:369.8-369.48" *)
   wire \fabric_downstreamConnectors_0_fRes_rv$EN ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:231.8-231.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:341.8-341.61" *)
   wire \fabric_downstreamConnectors_0_fRes_rv$EN_port0__write ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:232.8-232.61" *)
-  wire \fabric_downstreamConnectors_0_fRes_rv$EN_port1__write ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:221.17-221.69" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:327.17-327.69" *)
   wire [33:0] \fabric_downstreamConnectors_0_fRes_rv$port0__write_1 ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:222.3-222.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:328.3-328.52" *)
   wire [33:0] \fabric_downstreamConnectors_0_fRes_rv$port1__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:223.3-223.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:329.3-329.52" *)
   wire [33:0] \fabric_downstreamConnectors_0_fRes_rv$port2__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:228.17-228.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:337.17-337.60" *)
   wire [32:0] \fabric_downstreamConnectors_0_incoming$wget ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:233.8-233.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:342.8-342.51" *)
   wire \fabric_downstreamConnectors_0_incoming$whas ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:213.3-213.46" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:316.3-316.46" *)
   wire [69:0] \fabric_downstreamConnectors_0_outgoing$wget ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:257.7-257.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:372.16-372.53" *)
+  reg [69:0] fabric_downstreamConnectors_1_fReq_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:373.17-373.59" *)
+  wire [69:0] \fabric_downstreamConnectors_1_fReq_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:374.8-374.48" *)
+  wire \fabric_downstreamConnectors_1_fReq_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:343.8-343.61" *)
+  wire \fabric_downstreamConnectors_1_fReq_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:344.8-344.61" *)
+  wire \fabric_downstreamConnectors_1_fReq_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:317.3-317.52" *)
+  wire [69:0] \fabric_downstreamConnectors_1_fReq_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:318.3-318.52" *)
+  wire [69:0] \fabric_downstreamConnectors_1_fReq_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:377.16-377.53" *)
+  reg [33:0] fabric_downstreamConnectors_1_fRes_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:378.17-378.59" *)
+  wire [33:0] \fabric_downstreamConnectors_1_fRes_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:379.8-379.48" *)
+  wire \fabric_downstreamConnectors_1_fRes_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:345.8-345.61" *)
+  wire \fabric_downstreamConnectors_1_fRes_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:330.3-330.55" *)
+  wire [33:0] \fabric_downstreamConnectors_1_fRes_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:331.3-331.52" *)
+  wire [33:0] \fabric_downstreamConnectors_1_fRes_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:332.3-332.52" *)
+  wire [33:0] \fabric_downstreamConnectors_1_fRes_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:338.3-338.46" *)
+  wire [32:0] \fabric_downstreamConnectors_1_incoming$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:346.8-346.51" *)
+  wire \fabric_downstreamConnectors_1_incoming$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:319.3-319.46" *)
+  wire [69:0] \fabric_downstreamConnectors_1_outgoing$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:382.7-382.36" *)
   reg fabric_downstreamPending_0_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:258.8-258.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:383.8-383.42" *)
   wire \fabric_downstreamPending_0_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:258.44-258.76" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:383.44-383.76" *)
   wire \fabric_downstreamPending_0_rv$EN ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:234.8-234.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:347.8-347.53" *)
   wire \fabric_downstreamPending_0_rv$EN_port0__write ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:235.8-235.53" *)
-  wire \fabric_downstreamPending_0_rv$EN_port1__write ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:236.8-236.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:348.8-348.49" *)
   wire \fabric_downstreamPending_0_rv$port1__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:237.8-237.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:349.8-349.49" *)
   wire \fabric_downstreamPending_0_rv$port2__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:261.16-261.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:386.7-386.36" *)
+  reg fabric_downstreamPending_1_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:387.8-387.42" *)
+  wire \fabric_downstreamPending_1_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:387.44-387.76" *)
+  wire \fabric_downstreamPending_1_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:350.8-350.53" *)
+  wire \fabric_downstreamPending_1_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:351.8-351.49" *)
+  wire \fabric_downstreamPending_1_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:352.8-352.49" *)
+  wire \fabric_downstreamPending_1_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:390.16-390.57" *)
   reg [69:0] fabric_upstreamConnectors_0_inner_fReq_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:262.17-262.63" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:391.17-391.63" *)
   wire [69:0] \fabric_upstreamConnectors_0_inner_fReq_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:263.8-263.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:392.8-392.52" *)
   wire \fabric_upstreamConnectors_0_inner_fReq_rv$EN ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:238.8-238.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:353.8-353.65" *)
   wire \fabric_upstreamConnectors_0_inner_fReq_rv$EN_port1__write ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:214.3-214.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:320.3-320.59" *)
   wire [69:0] \fabric_upstreamConnectors_0_inner_fReq_rv$port0__write_1 ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:215.3-215.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:321.3-321.56" *)
   wire [69:0] \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:216.3-216.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:322.3-322.56" *)
   wire [69:0] \fabric_upstreamConnectors_0_inner_fReq_rv$port2__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:266.16-266.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:395.16-395.57" *)
   reg [33:0] fabric_upstreamConnectors_0_inner_fRes_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:267.17-267.63" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:396.17-396.63" *)
   wire [33:0] \fabric_upstreamConnectors_0_inner_fRes_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:268.8-268.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:397.8-397.52" *)
   wire \fabric_upstreamConnectors_0_inner_fRes_rv$EN ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:239.8-239.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:354.8-354.65" *)
   wire \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port0__write ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:240.8-240.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:355.8-355.65" *)
   wire \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port1__write ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:224.3-224.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:333.3-333.59" *)
   wire [33:0] \fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1 ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:225.3-225.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:334.3-334.56" *)
   wire [33:0] \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:226.3-226.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:335.3-335.56" *)
   wire [33:0] \fabric_upstreamConnectors_0_inner_fRes_rv$port2__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:220.17-220.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:326.17-326.64" *)
   wire [68:0] \fabric_upstreamConnectors_0_inner_incoming$wget ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:241.8-241.55" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:356.8-356.55" *)
   wire \fabric_upstreamConnectors_0_inner_incoming$whas ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:227.3-227.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:336.3-336.50" *)
   wire [33:0] \fabric_upstreamConnectors_0_inner_outgoing$wget ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:242.8-242.55" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:357.8-357.55" *)
   wire \fabric_upstreamConnectors_0_inner_outgoing$whas ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:271.7-271.48" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:400.7-400.48" *)
   reg fabric_upstreamConnectors_0_inner_pending;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:272.8-272.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:401.8-401.54" *)
   wire \fabric_upstreamConnectors_0_inner_pending$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:273.8-273.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:402.8-402.52" *)
   wire \fabric_upstreamConnectors_0_inner_pending$EN ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:196.8-196.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:299.8-299.56" *)
   wire \fabric_upstreamConnectors_0_inner_probeAck$PROBE ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:197.8-197.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:300.8-300.62" *)
   wire \fabric_upstreamConnectors_0_inner_probeAck$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:192.17-192.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:295.17-295.65" *)
   wire [31:0] \fabric_upstreamConnectors_0_inner_probeAdr$PROBE ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:198.8-198.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:301.8-301.62" *)
   wire \fabric_upstreamConnectors_0_inner_probeAdr$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:199.8-199.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:302.8-302.56" *)
   wire \fabric_upstreamConnectors_0_inner_probeCyc$PROBE ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:200.8-200.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:303.8-303.62" *)
   wire \fabric_upstreamConnectors_0_inner_probeCyc$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:193.3-193.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:296.3-296.54" *)
   wire [31:0] \fabric_upstreamConnectors_0_inner_probeDataIn$PROBE ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:201.8-201.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:304.8-304.65" *)
   wire \fabric_upstreamConnectors_0_inner_probeDataIn$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:194.3-194.55" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:297.3-297.55" *)
   wire [31:0] \fabric_upstreamConnectors_0_inner_probeDataOut$PROBE ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:202.8-202.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:305.8-305.66" *)
   wire \fabric_upstreamConnectors_0_inner_probeDataOut$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:195.16-195.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:298.16-298.64" *)
   wire [3:0] \fabric_upstreamConnectors_0_inner_probeSel$PROBE ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:203.8-203.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:306.8-306.62" *)
   wire \fabric_upstreamConnectors_0_inner_probeSel$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:204.8-204.56" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:307.8-307.56" *)
   wire \fabric_upstreamConnectors_0_inner_probeStb$PROBE ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:205.8-205.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:308.8-308.62" *)
   wire \fabric_upstreamConnectors_0_inner_probeStb$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:206.8-206.55" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:309.8-309.55" *)
   wire \fabric_upstreamConnectors_0_inner_probeWe$PROBE ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:207.8-207.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:310.8-310.61" *)
   wire \fabric_upstreamConnectors_0_inner_probeWe$PROBE_VALID ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:276.16-276.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:405.16-405.44" *)
   reg [69:0] fabric_upstreamRequests_0_rv;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:277.17-277.50" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:406.17-406.50" *)
   wire [69:0] \fabric_upstreamRequests_0_rv$D_IN ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:278.8-278.39" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:407.8-407.39" *)
   wire \fabric_upstreamRequests_0_rv$EN ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:243.8-243.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:358.8-358.52" *)
   wire \fabric_upstreamRequests_0_rv$EN_port0__write ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:244.8-244.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:359.8-359.52" *)
   wire \fabric_upstreamRequests_0_rv$EN_port1__write ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:217.3-217.46" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:323.3-323.46" *)
   wire [69:0] \fabric_upstreamRequests_0_rv$port0__write_1 ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:218.3-218.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:324.3-324.43" *)
   wire [69:0] \fabric_upstreamRequests_0_rv$port1__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:219.3-219.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:325.3-325.43" *)
   wire [69:0] \fabric_upstreamRequests_0_rv$port2__read ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:181.10-181.19" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:276.10-276.20" *)
+  input gpio_ack_i;
+  wire gpio_ack_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:264.19-264.29" *)
+  output [31:0] gpio_adr_o;
+  wire [31:0] gpio_adr_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:258.10-258.20" *)
+  output gpio_cyc_o;
+  wire gpio_cyc_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:279.19-279.29" *)
+  input [31:0] gpio_dat_i;
+  wire [31:0] gpio_dat_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:267.19-267.29" *)
+  output [31:0] gpio_dat_o;
+  wire [31:0] gpio_dat_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:277.10-277.20" *)
+  input gpio_err_i;
+  wire gpio_err_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:278.10-278.20" *)
+  input gpio_rty_i;
+  wire gpio_rty_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:270.18-270.28" *)
+  output [3:0] gpio_sel_o;
+  wire [3:0] gpio_sel_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:261.10-261.20" *)
+  output gpio_stb_o;
+  wire gpio_stb_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:273.10-273.19" *)
+  output gpio_we_o;
+  wire gpio_we_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:252.10-252.19" *)
   input spi_ack_i;
   wire spi_ack_i;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:169.19-169.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:240.19-240.28" *)
   output [31:0] spi_adr_o;
   wire [31:0] spi_adr_o;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:163.10-163.19" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:234.10-234.19" *)
   output spi_cyc_o;
   wire spi_cyc_o;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:184.19-184.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:255.19-255.28" *)
   input [31:0] spi_dat_i;
   wire [31:0] spi_dat_i;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:172.19-172.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:243.19-243.28" *)
   output [31:0] spi_dat_o;
   wire [31:0] spi_dat_o;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:182.10-182.19" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:253.10-253.19" *)
   input spi_err_i;
   wire spi_err_i;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:183.10-183.19" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:254.10-254.19" *)
   input spi_rty_i;
   wire spi_rty_i;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:175.18-175.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:246.18-246.27" *)
   output [3:0] spi_sel_o;
   wire [3:0] spi_sel_o;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:166.10-166.19" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:237.10-237.19" *)
   output spi_stb_o;
   wire spi_stb_o;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:178.10-178.18" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:249.10-249.18" *)
   output spi_we_o;
   wire spi_we_o;
-  assign _007_ = \fabric_upstreamRequests_0_rv$port1__read [68:49] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:444.7-444.67" *) 20'h40013;
-  assign _008_ = \fabric_upstreamRequests_0_rv$port1__read [68:49] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:495.7-495.67" *) 20'h40013;
-  assign _009_ = \fabric_upstreamRequests_0_rv$port1__read [68:49] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:504.7-504.67" *) 20'h40013;
-  assign _010_ = RST_N == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:561.9-561.22" *) 1'h0;
-  assign _011_ = \fabric_upstreamConnectors_0_inner_outgoing$whas  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:289.7-290.58" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
-  assign _012_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:309.7-310.54" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
-  assign _013_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:314.7-315.54" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
-  assign _014_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:342.7-343.54" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
-  assign _015_ = _014_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:342.7-344.54" *) \fabric_downstreamConnectors_0_outgoing$wget [36];
-  assign _016_ = \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:348.7-349.67" *) \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3 ;
-  assign _017_ = \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:353.7-354.48" *) fabric_upstreamConnectors_0_inner_pending;
-  assign _018_ = _017_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:353.7-355.76" *) _060_;
-  assign _019_ = _061_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:359.7-360.54" *) \fabric_upstreamConnectors_0_inner_incoming$whas ;
-  assign _020_ = _019_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:359.7-361.49" *) _062_;
-  assign _021_ = \fabric_upstreamConnectors_0_inner_outgoing$whas  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:365.7-366.58" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
-  assign _022_ = cpu_cyc_i && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:393.7-393.29" *) cpu_stb_i;
-  assign _023_ = spi_ack_i && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.8-404.31" *) _065_;
-  assign _024_ = _023_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.8-404.45" *) _066_;
-  assign _025_ = \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:416.7-417.40" *) _067_;
-  assign _026_ = \fabric_downstreamPending_0_rv$port1__read  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:423.7-424.60" *) \fabric_downstreamConnectors_0_fRes_rv$port1__read [33];
-  assign _027_ = _026_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:423.7-425.53" *) _068_;
-  assign _028_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:441.7-442.49" *) _069_;
-  assign _029_ = _028_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:441.7-443.37" *) _070_;
-  assign _030_ = _029_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:441.7-444.67" *) _007_;
-  assign _031_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:452.7-453.49" *) _071_;
-  assign _032_ = _031_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:452.7-454.50" *) \fabric_downstreamConnectors_0_incoming$whas ;
-  assign _033_ = _032_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:452.7-455.54" *) \fabric_downstreamConnectors_0_incoming$wget [32];
-  assign _034_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:461.7-462.49" *) _072_;
-  assign _035_ = _034_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:461.7-463.50" *) \fabric_downstreamConnectors_0_incoming$whas ;
-  assign _036_ = _035_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:461.7-464.54" *) \fabric_downstreamConnectors_0_incoming$wget [32];
-  assign _037_ = \fabric_downstreamPending_0_rv$port1__read  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:474.7-475.60" *) \fabric_downstreamConnectors_0_fRes_rv$port1__read [33];
-  assign _038_ = _037_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:474.7-476.53" *) _074_;
-  assign _039_ = \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:482.7-483.40" *) _075_;
-  assign _040_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.7-493.49" *) _076_;
-  assign _041_ = _040_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.7-494.37" *) _077_;
-  assign _042_ = _041_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.7-495.67" *) _008_;
-  assign _043_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:501.7-502.49" *) _078_;
-  assign _044_ = _043_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:501.7-503.37" *) _079_;
-  assign _045_ = _044_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:501.7-504.67" *) _009_;
-  assign _046_ = \fabric_downstreamPending_0_rv$port1__read  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:509.7-510.60" *) \fabric_downstreamConnectors_0_fRes_rv$port1__read [33];
-  assign _047_ = _046_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:509.7-511.53" *) _080_;
-  assign _048_ = _081_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:513.7-514.48" *) \fabric_downstreamPending_0_rv$port1__read ;
-  assign _049_ = _082_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:543.7-544.76" *) _083_;
-  assign _050_ = _084_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:548.7-549.54" *) \fabric_upstreamConnectors_0_inner_incoming$whas ;
-  assign _051_ = _050_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:548.7-550.49" *) _085_;
-  assign _052_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:300.8-300.56" *) \fabric_upstreamConnectors_0_inner_outgoing$whas ;
-  assign _053_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:301.8-301.60" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
-  assign _054_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:319.8-319.62" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [69];
-  assign _055_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:320.8-320.56" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
-  assign _056_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:326.8-326.62" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [69];
-  assign _057_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:327.8-327.56" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
-  assign _058_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:335.8-335.62" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [69];
-  assign _059_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:336.8-336.56" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
-  assign _060_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:355.7-355.76" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
-  assign _061_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:359.7-359.53" *) fabric_upstreamConnectors_0_inner_fReq_rv[69];
-  assign _062_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:361.7-361.49" *) fabric_upstreamConnectors_0_inner_pending;
-  assign _063_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:375.8-375.56" *) \fabric_upstreamConnectors_0_inner_outgoing$whas ;
-  assign _064_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:376.8-376.60" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
-  assign _065_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.21-404.31" *) spi_err_i;
-  assign _066_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.35-404.45" *) spi_rty_i;
-  assign _067_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:417.7-417.40" *) fabric_upstreamRequests_0_rv[69];
-  assign _068_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:425.7-425.53" *) fabric_upstreamConnectors_0_inner_fRes_rv[33];
-  assign _069_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:442.7-442.49" *) fabric_downstreamConnectors_0_fReq_rv[69];
-  assign _070_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:443.7-443.37" *) fabric_downstreamPending_0_rv;
-  assign _071_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:453.7-453.49" *) fabric_downstreamConnectors_0_fRes_rv[33];
-  assign _072_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:462.7-462.49" *) fabric_downstreamConnectors_0_fRes_rv[33];
-  assign _073_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:467.9-467.63" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [36];
-  assign _074_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:476.7-476.53" *) fabric_upstreamConnectors_0_inner_fRes_rv[33];
-  assign _075_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:483.7-483.40" *) fabric_upstreamRequests_0_rv[69];
-  assign _076_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:493.7-493.49" *) fabric_downstreamConnectors_0_fReq_rv[69];
-  assign _077_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:494.7-494.37" *) fabric_downstreamPending_0_rv;
-  assign _078_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:502.7-502.49" *) fabric_downstreamConnectors_0_fReq_rv[69];
-  assign _079_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:503.7-503.37" *) fabric_downstreamPending_0_rv;
-  assign _080_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:511.7-511.53" *) fabric_upstreamConnectors_0_inner_fRes_rv[33];
-  assign _081_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:513.7-513.53" *) \fabric_downstreamPending_0_rv$EN_port1__write ;
-  assign _082_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:543.7-543.71" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing;
-  assign _083_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:544.7-544.76" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
-  assign _084_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:548.7-548.53" *) fabric_upstreamConnectors_0_inner_fReq_rv[69];
-  assign _085_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:550.7-550.49" *) fabric_upstreamConnectors_0_inner_pending;
-  assign _086_ = _052_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:300.8-301.60" *) _053_;
-  assign _087_ = _054_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:319.8-320.56" *) _055_;
-  assign _088_ = _056_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:326.8-327.56" *) _057_;
-  assign _089_ = _058_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:335.8-336.56" *) _059_;
-  assign _090_ = _063_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:375.8-376.60" *) _064_;
-  assign _091_ = WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:398.7-399.75" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
-  assign _092_ = spi_ack_i || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:408.7-408.29" *) spi_err_i;
-  assign _093_ = _092_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:408.7-408.42" *) spi_rty_i;
-  assign _094_ = WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:434.7-435.75" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
-  assign _095_ = \fabric_downstreamPending_0_rv$EN_port0__write  || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:506.7-507.36" *) fabric_downstreamPending_0_rv;
-  assign _096_ = WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:546.7-547.75" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
-  assign _097_ = _096_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:546.7-550.49" *) _051_;
-  assign _098_ = _086_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:300.7-305.11" *) 32'd0 : _099_;
-  assign _099_ = \fabric_upstreamConnectors_0_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:303.10-305.10" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [31:0] : 32'd0;
-  assign _100_ = _087_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:319.7-322.59" *) 32'd0 : \fabric_downstreamConnectors_0_outgoing$wget [68:37];
-  assign _101_ = _088_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:326.7-331.11" *) 32'd0 : _102_;
-  assign _102_ = \fabric_downstreamConnectors_0_outgoing$wget [36] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:329.10-331.10" *) \fabric_downstreamConnectors_0_outgoing$wget [35:4] : 32'd0;
-  assign _103_ = _089_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:335.7-338.57" *) 4'h0 : \fabric_downstreamConnectors_0_outgoing$wget [3:0];
-  assign _104_ = _090_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:375.7-380.11" *) 32'd0 : _105_;
-  assign _105_ = \fabric_upstreamConnectors_0_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:378.10-380.10" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [31:0] : 32'd0;
-  assign _106_ = _024_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.7-406.22" *) { 1'h1, spi_dat_i } : 33'h100000000;
-  assign _107_ = \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:412.7-414.50" *) \fabric_upstreamConnectors_0_inner_fReq_rv$port0__write_1  : fabric_upstreamConnectors_0_inner_fReq_rv;
-  assign _108_ = \fabric_upstreamConnectors_0_inner_fReq_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:419.7-421.62" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read ;
-  assign _109_ = \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:430.7-432.50" *) \fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1  : fabric_upstreamConnectors_0_inner_fRes_rv;
-  assign _110_ = \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:437.7-439.62" *) 34'h0aaaaaaaa : \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read ;
-  assign _111_ = \fabric_downstreamConnectors_0_fReq_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:448.7-450.46" *) \fabric_downstreamConnectors_0_fReq_rv$port0__write_1  : fabric_downstreamConnectors_0_fReq_rv;
-  assign _112_ = \fabric_downstreamConnectors_0_fReq_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:457.7-459.58" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_downstreamConnectors_0_fReq_rv$port1__read ;
-  assign _113_ = \fabric_downstreamConnectors_0_fRes_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:470.7-472.46" *) \fabric_downstreamConnectors_0_fRes_rv$port0__write_1  : fabric_downstreamConnectors_0_fRes_rv;
-  assign _114_ = \fabric_downstreamConnectors_0_fRes_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:478.7-480.58" *) 34'h0aaaaaaaa : \fabric_downstreamConnectors_0_fRes_rv$port1__read ;
-  assign _115_ = \fabric_upstreamRequests_0_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:488.7-490.37" *) \fabric_upstreamRequests_0_rv$port0__write_1  : fabric_upstreamRequests_0_rv;
-  assign _116_ = \fabric_upstreamRequests_0_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:497.7-499.49" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_upstreamRequests_0_rv$port1__read ;
+  assign _010_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:648.7-648.68" *) 24'h400130;
+  assign _011_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:692.7-692.68" *) 24'h400108;
+  assign _012_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:737.7-737.68" *) 24'h400108;
+  assign _013_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:741.7-741.68" *) 24'h400130;
+  assign _014_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:750.7-750.68" *) 24'h400130;
+  assign _015_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:761.7-761.68" *) 24'h400108;
+  assign _016_ = RST_N == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:829.9-829.22" *) 1'h0;
+  assign _017_ = \fabric_upstreamConnectors_0_inner_outgoing$whas  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:423.7-424.58" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
+  assign _018_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:443.7-444.54" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
+  assign _019_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:448.7-449.54" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
+  assign _020_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:476.7-477.54" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
+  assign _021_ = _020_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:476.7-478.54" *) \fabric_downstreamConnectors_0_outgoing$wget [36];
+  assign _022_ = \fabric_downstreamConnectors_1_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:482.7-483.54" *) \fabric_downstreamConnectors_1_outgoing$wget [69];
+  assign _023_ = \fabric_downstreamConnectors_1_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:487.7-488.54" *) \fabric_downstreamConnectors_1_outgoing$wget [69];
+  assign _024_ = \fabric_downstreamConnectors_1_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:515.7-516.54" *) \fabric_downstreamConnectors_1_outgoing$wget [69];
+  assign _025_ = _024_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:515.7-517.54" *) \fabric_downstreamConnectors_1_outgoing$wget [36];
+  assign _026_ = \fabric_downstreamPending_0_rv$port1__read  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:521.7-522.60" *) \fabric_downstreamConnectors_0_fRes_rv$port1__read [33];
+  assign _027_ = _026_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:521.7-523.53" *) _095_;
+  assign _028_ = \fabric_downstreamPending_1_rv$port1__read  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:527.7-528.60" *) \fabric_downstreamConnectors_1_fRes_rv$port1__read [33];
+  assign _029_ = _028_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:527.7-529.53" *) _096_;
+  assign _030_ = \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:533.7-534.67" *) \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3 ;
+  assign _031_ = \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:538.7-539.48" *) fabric_upstreamConnectors_0_inner_pending;
+  assign _032_ = _031_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:538.7-540.76" *) _097_;
+  assign _033_ = CAN_FIRE_RL_fabric_response_route_1 && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:544.7-545.42" *) _098_;
+  assign _034_ = _099_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:547.7-548.54" *) \fabric_upstreamConnectors_0_inner_incoming$whas ;
+  assign _035_ = _034_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:547.7-549.49" *) _100_;
+  assign _036_ = \fabric_upstreamConnectors_0_inner_outgoing$whas  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.7-560.58" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
+  assign _037_ = cpu_cyc_i && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:587.7-587.29" *) cpu_stb_i;
+  assign _038_ = spi_ack_i && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:598.8-598.31" *) _103_;
+  assign _039_ = _038_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:598.8-598.45" *) _104_;
+  assign _040_ = gpio_ack_i && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:607.8-607.33" *) _105_;
+  assign _041_ = _040_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:607.8-607.48" *) _106_;
+  assign _042_ = \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:619.7-620.40" *) _107_;
+  assign _043_ = CAN_FIRE_RL_fabric_response_route_1 && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:627.7-628.42" *) _108_;
+  assign _044_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:645.7-646.49" *) _109_;
+  assign _045_ = _044_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:645.7-647.37" *) _110_;
+  assign _046_ = _045_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:645.7-648.68" *) _010_;
+  assign _047_ = _154_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:651.10-654.13" *) _155_;
+  assign _048_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:663.7-664.49" *) _111_;
+  assign _049_ = _048_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:663.7-665.50" *) \fabric_downstreamConnectors_0_incoming$whas ;
+  assign _050_ = _049_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:663.7-666.54" *) \fabric_downstreamConnectors_0_incoming$wget [32];
+  assign _051_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:672.7-673.49" *) _112_;
+  assign _052_ = _051_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:672.7-674.50" *) \fabric_downstreamConnectors_0_incoming$whas ;
+  assign _053_ = _052_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:672.7-675.54" *) \fabric_downstreamConnectors_0_incoming$wget [32];
+  assign _054_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:689.7-690.49" *) _114_;
+  assign _055_ = _054_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:689.7-691.37" *) _115_;
+  assign _056_ = _055_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:689.7-692.68" *) _011_;
+  assign _057_ = \fabric_downstreamConnectors_1_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:698.7-699.49" *) _116_;
+  assign _058_ = _057_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:698.7-700.50" *) \fabric_downstreamConnectors_1_incoming$whas ;
+  assign _059_ = _058_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:698.7-701.54" *) \fabric_downstreamConnectors_1_incoming$wget [32];
+  assign _060_ = \fabric_downstreamConnectors_1_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:707.7-708.49" *) _117_;
+  assign _061_ = _060_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:707.7-709.50" *) \fabric_downstreamConnectors_1_incoming$whas ;
+  assign _062_ = _061_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:707.7-710.54" *) \fabric_downstreamConnectors_1_incoming$wget [32];
+  assign _063_ = \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:724.7-725.40" *) _119_;
+  assign _064_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:734.7-735.49" *) _120_;
+  assign _065_ = _064_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:734.7-736.37" *) _121_;
+  assign _066_ = _065_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:734.7-737.68" *) _012_;
+  assign _067_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:738.7-739.49" *) _122_;
+  assign _068_ = _067_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:738.7-740.37" *) _123_;
+  assign _069_ = _068_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:738.7-741.68" *) _013_;
+  assign _070_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:747.7-748.49" *) _124_;
+  assign _071_ = _070_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:747.7-749.37" *) _125_;
+  assign _072_ = _071_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:747.7-750.68" *) _014_;
+  assign _073_ = _126_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:755.7-756.48" *) \fabric_downstreamPending_0_rv$port1__read ;
+  assign _074_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:758.7-759.49" *) _127_;
+  assign _075_ = _074_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:758.7-760.37" *) _128_;
+  assign _076_ = _075_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:758.7-761.68" *) _015_;
+  assign _077_ = _129_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:766.7-767.48" *) \fabric_downstreamPending_1_rv$port1__read ;
+  assign _078_ = _130_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:811.7-812.76" *) _131_;
+  assign _079_ = _132_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:816.7-817.54" *) \fabric_upstreamConnectors_0_inner_incoming$whas ;
+  assign _080_ = _079_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:816.7-818.49" *) _133_;
+  assign _081_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:434.8-434.56" *) \fabric_upstreamConnectors_0_inner_outgoing$whas ;
+  assign _082_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:435.8-435.60" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
+  assign _083_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:453.8-453.62" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [69];
+  assign _084_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:454.8-454.56" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
+  assign _085_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:460.8-460.62" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [69];
+  assign _086_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:461.8-461.56" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
+  assign _087_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:469.8-469.62" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [69];
+  assign _088_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:470.8-470.56" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
+  assign _089_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.8-492.62" *) \fabric_downstreamConnectors_1_fReq_rv$port1__read [69];
+  assign _090_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:493.8-493.56" *) \fabric_downstreamConnectors_1_outgoing$wget [69];
+  assign _091_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:499.8-499.62" *) \fabric_downstreamConnectors_1_fReq_rv$port1__read [69];
+  assign _092_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:500.8-500.56" *) \fabric_downstreamConnectors_1_outgoing$wget [69];
+  assign _093_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:508.8-508.62" *) \fabric_downstreamConnectors_1_fReq_rv$port1__read [69];
+  assign _094_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:509.8-509.56" *) \fabric_downstreamConnectors_1_outgoing$wget [69];
+  assign _095_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:523.7-523.53" *) fabric_upstreamConnectors_0_inner_fRes_rv[33];
+  assign _096_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:529.7-529.53" *) fabric_upstreamConnectors_0_inner_fRes_rv[33];
+  assign _097_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:540.7-540.76" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
+  assign _098_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:545.7-545.42" *) WILL_FIRE_RL_fabric_response_route;
+  assign _099_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:547.7-547.53" *) fabric_upstreamConnectors_0_inner_fReq_rv[69];
+  assign _100_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:549.7-549.49" *) fabric_upstreamConnectors_0_inner_pending;
+  assign _101_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:569.8-569.56" *) \fabric_upstreamConnectors_0_inner_outgoing$whas ;
+  assign _102_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:570.8-570.60" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
+  assign _103_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:598.21-598.31" *) spi_err_i;
+  assign _104_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:598.35-598.45" *) spi_rty_i;
+  assign _105_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:607.22-607.33" *) gpio_err_i;
+  assign _106_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:607.37-607.48" *) gpio_rty_i;
+  assign _107_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:620.7-620.40" *) fabric_upstreamRequests_0_rv[69];
+  assign _108_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:628.7-628.42" *) WILL_FIRE_RL_fabric_response_route;
+  assign _109_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:646.7-646.49" *) fabric_downstreamConnectors_0_fReq_rv[69];
+  assign _110_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:647.7-647.37" *) fabric_downstreamPending_0_rv;
+  assign _111_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:664.7-664.49" *) fabric_downstreamConnectors_0_fRes_rv[33];
+  assign _112_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:673.7-673.49" *) fabric_downstreamConnectors_0_fRes_rv[33];
+  assign _113_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:678.9-678.63" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [36];
+  assign _114_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:690.7-690.49" *) fabric_downstreamConnectors_1_fReq_rv[69];
+  assign _115_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:691.7-691.37" *) fabric_downstreamPending_1_rv;
+  assign _116_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:699.7-699.49" *) fabric_downstreamConnectors_1_fRes_rv[33];
+  assign _117_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:708.7-708.49" *) fabric_downstreamConnectors_1_fRes_rv[33];
+  assign _118_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:713.9-713.63" *) \fabric_downstreamConnectors_1_fReq_rv$port1__read [36];
+  assign _119_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:725.7-725.40" *) fabric_upstreamRequests_0_rv[69];
+  assign _120_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:735.7-735.49" *) fabric_downstreamConnectors_1_fReq_rv[69];
+  assign _121_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:736.7-736.37" *) fabric_downstreamPending_1_rv;
+  assign _122_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:739.7-739.49" *) fabric_downstreamConnectors_0_fReq_rv[69];
+  assign _123_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:740.7-740.37" *) fabric_downstreamPending_0_rv;
+  assign _124_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:748.7-748.49" *) fabric_downstreamConnectors_0_fReq_rv[69];
+  assign _125_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:749.7-749.37" *) fabric_downstreamPending_0_rv;
+  assign _126_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:755.7-755.42" *) WILL_FIRE_RL_fabric_response_route;
+  assign _127_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:759.7-759.49" *) fabric_downstreamConnectors_1_fReq_rv[69];
+  assign _128_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:760.7-760.37" *) fabric_downstreamPending_1_rv;
+  assign _129_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:766.7-766.75" *) \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__SEL_2 ;
+  assign _130_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:811.7-811.71" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing;
+  assign _131_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:812.7-812.76" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
+  assign _132_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:816.7-816.53" *) fabric_upstreamConnectors_0_inner_fReq_rv[69];
+  assign _133_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:818.7-818.49" *) fabric_upstreamConnectors_0_inner_pending;
+  assign _134_ = _081_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:434.8-435.60" *) _082_;
+  assign _135_ = _083_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:453.8-454.56" *) _084_;
+  assign _136_ = _085_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:460.8-461.56" *) _086_;
+  assign _137_ = _087_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:469.8-470.56" *) _088_;
+  assign _138_ = _089_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.8-493.56" *) _090_;
+  assign _139_ = _091_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:499.8-500.56" *) _092_;
+  assign _140_ = _093_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:508.8-509.56" *) _094_;
+  assign _141_ = _101_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:569.8-570.60" *) _102_;
+  assign _142_ = WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:592.7-593.75" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
+  assign _143_ = spi_ack_i || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:602.7-602.29" *) spi_err_i;
+  assign _144_ = _143_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:602.7-602.42" *) spi_rty_i;
+  assign _145_ = gpio_ack_i || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:611.7-611.31" *) gpio_err_i;
+  assign _146_ = _145_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:611.7-611.45" *) gpio_rty_i;
+  assign _147_ = WILL_FIRE_RL_fabric_response_route || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:626.7-628.42" *) _043_;
+  assign _148_ = WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:638.7-639.75" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
+  assign _149_ = _066_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:734.7-741.68" *) _069_;
+  assign _150_ = \fabric_downstreamPending_0_rv$EN_port0__write  || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:752.7-753.36" *) fabric_downstreamPending_0_rv;
+  assign _151_ = \fabric_downstreamPending_1_rv$EN_port0__write  || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:763.7-764.36" *) fabric_downstreamPending_1_rv;
+  assign _152_ = WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:814.7-815.75" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
+  assign _153_ = _152_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:814.7-818.49" *) _080_;
+  assign _154_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:651.10-652.13" *) 24'h400130;
+  assign _155_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:653.3-654.13" *) 24'h400108;
+  assign _156_ = _134_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:434.7-439.11" *) 32'd0 : _157_;
+  assign _157_ = \fabric_upstreamConnectors_0_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:437.10-439.10" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [31:0] : 32'd0;
+  assign _158_ = _135_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:453.7-456.59" *) 32'd0 : \fabric_downstreamConnectors_0_outgoing$wget [68:37];
+  assign _159_ = _136_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:460.7-465.11" *) 32'd0 : _160_;
+  assign _160_ = \fabric_downstreamConnectors_0_outgoing$wget [36] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:463.10-465.10" *) \fabric_downstreamConnectors_0_outgoing$wget [35:4] : 32'd0;
+  assign _161_ = _137_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:469.7-472.57" *) 4'h0 : \fabric_downstreamConnectors_0_outgoing$wget [3:0];
+  assign _162_ = _138_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.7-495.59" *) 32'd0 : \fabric_downstreamConnectors_1_outgoing$wget [68:37];
+  assign _163_ = _139_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:499.7-504.11" *) 32'd0 : _164_;
+  assign _164_ = \fabric_downstreamConnectors_1_outgoing$wget [36] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:502.10-504.10" *) \fabric_downstreamConnectors_1_outgoing$wget [35:4] : 32'd0;
+  assign _165_ = _140_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:508.7-511.57" *) 4'h0 : \fabric_downstreamConnectors_1_outgoing$wget [3:0];
+  assign _166_ = _141_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:569.7-574.11" *) 32'd0 : _167_;
+  assign _167_ = \fabric_upstreamConnectors_0_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:572.10-574.10" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [31:0] : 32'd0;
+  assign _168_ = _039_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:598.7-600.22" *) { 1'h1, spi_dat_i } : 33'h100000000;
+  assign _169_ = _041_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:607.7-609.22" *) { 1'h1, gpio_dat_i } : 33'h100000000;
+  assign _170_ = \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:615.7-617.50" *) \fabric_upstreamConnectors_0_inner_fReq_rv$port0__write_1  : fabric_upstreamConnectors_0_inner_fReq_rv;
+  assign _171_ = \fabric_upstreamConnectors_0_inner_fReq_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:622.7-624.62" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read ;
+  assign _172_ = WILL_FIRE_RL_fabric_response_route ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:630.7-632.76" *) \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_1  : \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_2 ;
+  assign _173_ = \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:634.7-636.50" *) \fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1  : fabric_upstreamConnectors_0_inner_fRes_rv;
+  assign _174_ = \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:641.7-643.62" *) 34'h0aaaaaaaa : \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read ;
+  assign _175_ = _047_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:651.9-656.62" *) \fabric_upstreamRequests_0_rv$port1__read [68:37] : { 24'h000000, \fabric_upstreamRequests_0_rv$port1__read [44:37] };
+  assign _176_ = \fabric_downstreamConnectors_0_fReq_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:659.7-661.46" *) \fabric_downstreamConnectors_0_fReq_rv$port0__write_1  : fabric_downstreamConnectors_0_fReq_rv;
+  assign _177_ = \fabric_downstreamConnectors_0_fReq_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:668.7-670.58" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_downstreamConnectors_0_fReq_rv$port1__read ;
+  assign _178_ = \fabric_downstreamConnectors_0_fRes_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:681.7-683.46" *) \fabric_downstreamConnectors_0_fRes_rv$port0__write_1  : fabric_downstreamConnectors_0_fRes_rv;
+  assign _179_ = WILL_FIRE_RL_fabric_response_route ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:685.7-687.58" *) 34'h0aaaaaaaa : \fabric_downstreamConnectors_0_fRes_rv$port1__read ;
+  assign _180_ = \fabric_downstreamConnectors_1_fReq_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:694.7-696.46" *) \fabric_downstreamConnectors_0_fReq_rv$port0__write_1  : fabric_downstreamConnectors_1_fReq_rv;
+  assign _181_ = \fabric_downstreamConnectors_1_fReq_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:703.7-705.58" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_downstreamConnectors_1_fReq_rv$port1__read ;
+  assign _182_ = \fabric_downstreamConnectors_1_fRes_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:716.7-718.46" *) \fabric_downstreamConnectors_1_fRes_rv$port0__write_1  : fabric_downstreamConnectors_1_fRes_rv;
+  assign _183_ = \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__SEL_2  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:720.7-722.58" *) 34'h0aaaaaaaa : \fabric_downstreamConnectors_1_fRes_rv$port1__read ;
+  assign _184_ = \fabric_upstreamRequests_0_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:730.7-732.37" *) \fabric_upstreamRequests_0_rv$port0__write_1  : fabric_upstreamRequests_0_rv;
+  assign _185_ = \fabric_upstreamRequests_0_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:743.7-745.49" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_upstreamRequests_0_rv$port1__read ;
   always @* begin
-    if (\$auto$verilog_backend.cc:2083:dump_module$116 ) begin end
+    if (\$auto$verilog_backend.cc:2083:dump_module$182 ) begin end
     _000_ = fabric_downstreamConnectors_0_fReq_rv;
     _001_ = fabric_downstreamConnectors_0_fRes_rv;
-    _002_ = fabric_downstreamPending_0_rv;
-    _003_ = fabric_upstreamConnectors_0_inner_fReq_rv;
-    _004_ = fabric_upstreamConnectors_0_inner_fRes_rv;
-    _005_ = fabric_upstreamConnectors_0_inner_pending;
-    _006_ = fabric_upstreamRequests_0_rv;
-    (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:561.5-600.10" *)
-    casez (_010_)
-      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:561.9-561.22" */
+    _002_ = fabric_downstreamConnectors_1_fReq_rv;
+    _003_ = fabric_downstreamConnectors_1_fRes_rv;
+    _004_ = fabric_downstreamPending_0_rv;
+    _005_ = fabric_downstreamPending_1_rv;
+    _006_ = fabric_upstreamConnectors_0_inner_fReq_rv;
+    _007_ = fabric_upstreamConnectors_0_inner_fRes_rv;
+    _008_ = fabric_upstreamConnectors_0_inner_pending;
+    _009_ = fabric_upstreamRequests_0_rv;
+    (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:829.5-882.10" *)
+    casez (_016_)
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:829.9-829.22" */
       1'h1:
         begin
           _000_ = 70'h0aaaaaaaaaaaaaaaaa;
           _001_ = 34'h0aaaaaaaa;
-          _002_ = 1'h0;
-          _003_ = 70'h0aaaaaaaaaaaaaaaaa;
-          _004_ = 34'h0aaaaaaaa;
+          _002_ = 70'h0aaaaaaaaaaaaaaaaa;
+          _003_ = 34'h0aaaaaaaa;
+          _004_ = 1'h0;
           _005_ = 1'h0;
           _006_ = 70'h0aaaaaaaaaaaaaaaaa;
+          _007_ = 34'h0aaaaaaaa;
+          _008_ = 1'h0;
+          _009_ = 70'h0aaaaaaaaaaaaaaaaa;
         end
-      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:577.5-577.9" */
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:850.5-850.9" */
       default:
         begin
-          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:579.9-581.51" *)
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:852.9-854.51" *)
           casez (\fabric_downstreamConnectors_0_fReq_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:579.13-579.53" */
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:852.13-852.53" */
             1'h1:
                 _000_ = \fabric_downstreamConnectors_0_fReq_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:582.2-584.51" *)
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:855.2-857.51" *)
           casez (\fabric_downstreamConnectors_0_fRes_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:582.6-582.46" */
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:855.6-855.46" */
             1'h1:
                 _001_ = \fabric_downstreamConnectors_0_fRes_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:585.2-587.43" *)
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:858.2-860.51" *)
+          casez (\fabric_downstreamConnectors_1_fReq_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:858.6-858.46" */
+            1'h1:
+                _002_ = \fabric_downstreamConnectors_1_fReq_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:861.2-863.51" *)
+          casez (\fabric_downstreamConnectors_1_fRes_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:861.6-861.46" */
+            1'h1:
+                _003_ = \fabric_downstreamConnectors_1_fRes_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:864.2-866.43" *)
           casez (\fabric_downstreamPending_0_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:585.6-585.38" */
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:864.6-864.38" */
             1'h1:
-                _002_ = \fabric_downstreamPending_0_rv$D_IN ;
+                _004_ = \fabric_downstreamPending_0_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:588.2-590.55" *)
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:867.2-869.43" *)
+          casez (\fabric_downstreamPending_1_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:867.6-867.38" */
+            1'h1:
+                _005_ = \fabric_downstreamPending_1_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:870.2-872.55" *)
           casez (\fabric_upstreamConnectors_0_inner_fReq_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:588.6-588.50" */
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:870.6-870.50" */
             1'h1:
-                _003_ = \fabric_upstreamConnectors_0_inner_fReq_rv$D_IN ;
+                _006_ = \fabric_upstreamConnectors_0_inner_fReq_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:591.2-593.55" *)
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:873.2-875.55" *)
           casez (\fabric_upstreamConnectors_0_inner_fRes_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:591.6-591.50" */
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:873.6-873.50" */
             1'h1:
-                _004_ = \fabric_upstreamConnectors_0_inner_fRes_rv$D_IN ;
+                _007_ = \fabric_upstreamConnectors_0_inner_fRes_rv$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:594.2-596.55" *)
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:876.2-878.55" *)
           casez (\fabric_upstreamConnectors_0_inner_pending$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:594.6-594.50" */
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:876.6-876.50" */
             1'h1:
-                _005_ = \fabric_upstreamConnectors_0_inner_pending$D_IN ;
+                _008_ = \fabric_upstreamConnectors_0_inner_pending$D_IN ;
             default:
                 /* empty */;
           endcase
-          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:597.2-599.42" *)
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:879.2-881.42" *)
           casez (\fabric_upstreamRequests_0_rv$EN )
-            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:597.6-597.37" */
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:879.6-879.37" */
             1'h1:
-                _006_ = \fabric_upstreamRequests_0_rv$D_IN ;
+                _009_ = \fabric_upstreamRequests_0_rv$D_IN ;
             default:
                 /* empty */;
           endcase
@@ -662,26 +978,40 @@
   always @(posedge CLK) begin
       fabric_downstreamConnectors_0_fReq_rv <= _000_;
       fabric_downstreamConnectors_0_fRes_rv <= _001_;
-      fabric_downstreamPending_0_rv <= _002_;
-      fabric_upstreamConnectors_0_inner_fReq_rv <= _003_;
-      fabric_upstreamConnectors_0_inner_fRes_rv <= _004_;
-      fabric_upstreamConnectors_0_inner_pending <= _005_;
-      fabric_upstreamRequests_0_rv <= _006_;
+      fabric_downstreamConnectors_1_fReq_rv <= _002_;
+      fabric_downstreamConnectors_1_fRes_rv <= _003_;
+      fabric_downstreamPending_0_rv <= _004_;
+      fabric_downstreamPending_1_rv <= _005_;
+      fabric_upstreamConnectors_0_inner_fReq_rv <= _006_;
+      fabric_upstreamConnectors_0_inner_fRes_rv <= _007_;
+      fabric_upstreamConnectors_0_inner_pending <= _008_;
+      fabric_upstreamRequests_0_rv <= _009_;
   end
-  assign cpu_ack_o = _011_;
+  assign cpu_ack_o = _017_;
   assign cpu_err_o = 1'h0;
   assign cpu_rty_o = 1'h0;
-  assign cpu_dat_o = _098_;
-  assign spi_cyc_o = _012_;
-  assign spi_stb_o = _013_;
-  assign spi_adr_o = _100_;
-  assign spi_dat_o = _101_;
-  assign spi_sel_o = _103_;
-  assign spi_we_o = _015_;
-  assign WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast = _016_;
-  assign WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing = _018_;
-  assign \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3  = _020_;
-  assign \fabric_upstreamConnectors_0_inner_probeAck$PROBE  = _021_;
+  assign cpu_dat_o = _156_;
+  assign spi_cyc_o = _018_;
+  assign spi_stb_o = _019_;
+  assign spi_adr_o = _158_;
+  assign spi_dat_o = _159_;
+  assign spi_sel_o = _161_;
+  assign spi_we_o = _021_;
+  assign gpio_cyc_o = _022_;
+  assign gpio_stb_o = _023_;
+  assign gpio_adr_o = _162_;
+  assign gpio_dat_o = _163_;
+  assign gpio_sel_o = _165_;
+  assign gpio_we_o = _025_;
+  assign WILL_FIRE_RL_fabric_response_route = _027_;
+  assign CAN_FIRE_RL_fabric_response_route_1 = _029_;
+  assign WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast = _030_;
+  assign WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing = _032_;
+  assign \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__SEL_2  = _033_;
+  assign \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3  = _035_;
+  assign \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_1  = { 1'h1, \fabric_downstreamConnectors_0_fRes_rv$port1__read [32:0] };
+  assign \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_2  = { 1'h1, \fabric_downstreamConnectors_1_fRes_rv$port1__read [32:0] };
+  assign \fabric_upstreamConnectors_0_inner_probeAck$PROBE  = _036_;
   assign \fabric_upstreamConnectors_0_inner_probeAck$PROBE_VALID  = 1'h1;
   assign \fabric_upstreamConnectors_0_inner_probeAdr$PROBE  = cpu_adr_i;
   assign \fabric_upstreamConnectors_0_inner_probeAdr$PROBE_VALID  = 1'h1;
@@ -689,7 +1019,7 @@
   assign \fabric_upstreamConnectors_0_inner_probeCyc$PROBE_VALID  = 1'h1;
   assign \fabric_upstreamConnectors_0_inner_probeDataIn$PROBE  = cpu_dat_i;
   assign \fabric_upstreamConnectors_0_inner_probeDataIn$PROBE_VALID  = 1'h1;
-  assign \fabric_upstreamConnectors_0_inner_probeDataOut$PROBE  = _104_;
+  assign \fabric_upstreamConnectors_0_inner_probeDataOut$PROBE  = _166_;
   assign \fabric_upstreamConnectors_0_inner_probeDataOut$PROBE_VALID  = 1'h1;
   assign \fabric_upstreamConnectors_0_inner_probeSel$PROBE  = cpu_sel_i;
   assign \fabric_upstreamConnectors_0_inner_probeSel$PROBE_VALID  = 1'h1;
@@ -698,52 +1028,70 @@
   assign \fabric_upstreamConnectors_0_inner_probeWe$PROBE  = cpu_we_i;
   assign \fabric_upstreamConnectors_0_inner_probeWe$PROBE_VALID  = 1'h1;
   assign \fabric_upstreamConnectors_0_inner_incoming$wget  = { cpu_adr_i, cpu_we_i, cpu_dat_i, cpu_sel_i };
-  assign \fabric_upstreamConnectors_0_inner_incoming$whas  = _022_;
+  assign \fabric_upstreamConnectors_0_inner_incoming$whas  = _037_;
   assign \fabric_upstreamConnectors_0_inner_outgoing$wget  = { 1'h1, \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read [32:0] };
-  assign \fabric_upstreamConnectors_0_inner_outgoing$whas  = _091_;
+  assign \fabric_upstreamConnectors_0_inner_outgoing$whas  = _142_;
   assign \fabric_downstreamConnectors_0_outgoing$wget  = { 1'h1, \fabric_downstreamConnectors_0_fReq_rv$port1__read [68:0] };
-  assign \fabric_downstreamConnectors_0_incoming$wget  = _106_;
-  assign \fabric_downstreamConnectors_0_incoming$whas  = _093_;
+  assign \fabric_downstreamConnectors_0_incoming$wget  = _168_;
+  assign \fabric_downstreamConnectors_0_incoming$whas  = _144_;
+  assign \fabric_downstreamConnectors_1_outgoing$wget  = { 1'h1, \fabric_downstreamConnectors_1_fReq_rv$port1__read [68:0] };
+  assign \fabric_downstreamConnectors_1_incoming$wget  = _169_;
+  assign \fabric_downstreamConnectors_1_incoming$whas  = _146_;
   assign \fabric_upstreamConnectors_0_inner_fReq_rv$port0__write_1  = { 1'h1, \fabric_upstreamConnectors_0_inner_incoming$wget  };
-  assign \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read  = _107_;
-  assign \fabric_upstreamConnectors_0_inner_fReq_rv$EN_port1__write  = _025_;
-  assign \fabric_upstreamConnectors_0_inner_fReq_rv$port2__read  = _108_;
-  assign \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port0__write  = _027_;
-  assign \fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1  = { 1'h1, \fabric_downstreamConnectors_0_fRes_rv$port1__read [32:0] };
-  assign \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read  = _109_;
-  assign \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port1__write  = _094_;
-  assign \fabric_upstreamConnectors_0_inner_fRes_rv$port2__read  = _110_;
-  assign \fabric_downstreamConnectors_0_fReq_rv$EN_port0__write  = _030_;
-  assign \fabric_downstreamConnectors_0_fReq_rv$port0__write_1  = { 21'h100000, \fabric_upstreamRequests_0_rv$port1__read [48:0] };
-  assign \fabric_downstreamConnectors_0_fReq_rv$port1__read  = _111_;
-  assign \fabric_downstreamConnectors_0_fReq_rv$EN_port1__write  = _033_;
-  assign \fabric_downstreamConnectors_0_fReq_rv$port2__read  = _112_;
-  assign \fabric_downstreamConnectors_0_fRes_rv$EN_port0__write  = _036_;
-  assign \fabric_downstreamConnectors_0_fRes_rv$port0__write_1  = { 1'h1, _073_, \fabric_downstreamConnectors_0_incoming$wget [31:0] };
-  assign \fabric_downstreamConnectors_0_fRes_rv$port1__read  = _113_;
-  assign \fabric_downstreamConnectors_0_fRes_rv$EN_port1__write  = _038_;
-  assign \fabric_downstreamConnectors_0_fRes_rv$port2__read  = _114_;
-  assign \fabric_upstreamRequests_0_rv$EN_port0__write  = _039_;
+  assign \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read  = _170_;
+  assign \fabric_upstreamConnectors_0_inner_fReq_rv$EN_port1__write  = _042_;
+  assign \fabric_upstreamConnectors_0_inner_fReq_rv$port2__read  = _171_;
+  assign \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port0__write  = _147_;
+  assign \fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1  = _172_;
+  assign \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read  = _173_;
+  assign \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port1__write  = _148_;
+  assign \fabric_upstreamConnectors_0_inner_fRes_rv$port2__read  = _174_;
+  assign \fabric_downstreamConnectors_0_fReq_rv$EN_port0__write  = _046_;
+  assign \fabric_downstreamConnectors_0_fReq_rv$port0__write_1  = { 1'h1, _175_, \fabric_upstreamRequests_0_rv$port1__read [36:0] };
+  assign \fabric_downstreamConnectors_0_fReq_rv$port1__read  = _176_;
+  assign \fabric_downstreamConnectors_0_fReq_rv$EN_port1__write  = _050_;
+  assign \fabric_downstreamConnectors_0_fReq_rv$port2__read  = _177_;
+  assign \fabric_downstreamConnectors_0_fRes_rv$EN_port0__write  = _053_;
+  assign \fabric_downstreamConnectors_0_fRes_rv$port0__write_1  = { 1'h1, _113_, \fabric_downstreamConnectors_0_incoming$wget [31:0] };
+  assign \fabric_downstreamConnectors_0_fRes_rv$port1__read  = _178_;
+  assign \fabric_downstreamConnectors_0_fRes_rv$port2__read  = _179_;
+  assign \fabric_downstreamConnectors_1_fReq_rv$EN_port0__write  = _056_;
+  assign \fabric_downstreamConnectors_1_fReq_rv$port1__read  = _180_;
+  assign \fabric_downstreamConnectors_1_fReq_rv$EN_port1__write  = _059_;
+  assign \fabric_downstreamConnectors_1_fReq_rv$port2__read  = _181_;
+  assign \fabric_downstreamConnectors_1_fRes_rv$EN_port0__write  = _062_;
+  assign \fabric_downstreamConnectors_1_fRes_rv$port0__write_1  = { 1'h1, _118_, \fabric_downstreamConnectors_1_incoming$wget [31:0] };
+  assign \fabric_downstreamConnectors_1_fRes_rv$port1__read  = _182_;
+  assign \fabric_downstreamConnectors_1_fRes_rv$port2__read  = _183_;
+  assign \fabric_upstreamRequests_0_rv$EN_port0__write  = _063_;
   assign \fabric_upstreamRequests_0_rv$port0__write_1  = { 1'h1, \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read [68:0] };
-  assign \fabric_upstreamRequests_0_rv$port1__read  = _115_;
-  assign \fabric_upstreamRequests_0_rv$EN_port1__write  = _042_;
-  assign \fabric_upstreamRequests_0_rv$port2__read  = _116_;
-  assign \fabric_downstreamPending_0_rv$EN_port0__write  = _045_;
-  assign \fabric_downstreamPending_0_rv$port1__read  = _095_;
-  assign \fabric_downstreamPending_0_rv$EN_port1__write  = _047_;
-  assign \fabric_downstreamPending_0_rv$port2__read  = _048_;
+  assign \fabric_upstreamRequests_0_rv$port1__read  = _184_;
+  assign \fabric_upstreamRequests_0_rv$EN_port1__write  = _149_;
+  assign \fabric_upstreamRequests_0_rv$port2__read  = _185_;
+  assign \fabric_downstreamPending_0_rv$EN_port0__write  = _072_;
+  assign \fabric_downstreamPending_0_rv$port1__read  = _150_;
+  assign \fabric_downstreamPending_0_rv$port2__read  = _073_;
+  assign \fabric_downstreamPending_1_rv$EN_port0__write  = _076_;
+  assign \fabric_downstreamPending_1_rv$port1__read  = _151_;
+  assign \fabric_downstreamPending_1_rv$port2__read  = _077_;
   assign \fabric_downstreamConnectors_0_fReq_rv$D_IN  = \fabric_downstreamConnectors_0_fReq_rv$port2__read ;
   assign \fabric_downstreamConnectors_0_fReq_rv$EN  = 1'h1;
   assign \fabric_downstreamConnectors_0_fRes_rv$D_IN  = \fabric_downstreamConnectors_0_fRes_rv$port2__read ;
   assign \fabric_downstreamConnectors_0_fRes_rv$EN  = 1'h1;
+  assign \fabric_downstreamConnectors_1_fReq_rv$D_IN  = \fabric_downstreamConnectors_1_fReq_rv$port2__read ;
+  assign \fabric_downstreamConnectors_1_fReq_rv$EN  = 1'h1;
+  assign \fabric_downstreamConnectors_1_fRes_rv$D_IN  = \fabric_downstreamConnectors_1_fRes_rv$port2__read ;
+  assign \fabric_downstreamConnectors_1_fRes_rv$EN  = 1'h1;
   assign \fabric_downstreamPending_0_rv$D_IN  = \fabric_downstreamPending_0_rv$port2__read ;
   assign \fabric_downstreamPending_0_rv$EN  = 1'h1;
+  assign \fabric_downstreamPending_1_rv$D_IN  = \fabric_downstreamPending_1_rv$port2__read ;
+  assign \fabric_downstreamPending_1_rv$EN  = 1'h1;
   assign \fabric_upstreamConnectors_0_inner_fReq_rv$D_IN  = \fabric_upstreamConnectors_0_inner_fReq_rv$port2__read ;
   assign \fabric_upstreamConnectors_0_inner_fReq_rv$EN  = 1'h1;
   assign \fabric_upstreamConnectors_0_inner_fRes_rv$D_IN  = \fabric_upstreamConnectors_0_inner_fRes_rv$port2__read ;
   assign \fabric_upstreamConnectors_0_inner_fRes_rv$EN  = 1'h1;
-  assign \fabric_upstreamConnectors_0_inner_pending$D_IN  = _049_;
-  assign \fabric_upstreamConnectors_0_inner_pending$EN  = _097_;
+  assign \fabric_upstreamConnectors_0_inner_pending$D_IN  = _078_;
+  assign \fabric_upstreamConnectors_0_inner_pending$EN  = _153_;
   assign \fabric_upstreamRequests_0_rv$D_IN  = \fabric_upstreamRequests_0_rv$port2__read ;
   assign \fabric_upstreamRequests_0_rv$EN  = 1'h1;
 endmodule
diff --git a/verilog/rtl/mkQF100GPIO.v b/verilog/rtl/mkQF100GPIO.v
new file mode 100644
index 0000000..2c0ebc3
--- /dev/null
+++ b/verilog/rtl/mkQF100GPIO.v
@@ -0,0 +1,2160 @@
+/* Generated by Yosys 0.15 (git sha1 yosys-0.15, gcc 10.3.0 -fPIC -Os) */
+
+(* hdlname = "\\mkQF100GPIO" *)
+(* top =  1  *)
+(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:42.1-1159.10" *)
+module mkQF100GPIO(
+`ifdef USE_POWER_PINS
+    VPWR,
+    VGND,
+`endif
+    CLK, RST_N, slave_cyc_i, slave_stb_i, slave_adr_i, slave_dat_i, slave_sel_i, slave_we_i, slave_ack_o, slave_err_o, slave_rty_o, slave_dat_o, oe, out, in);
+`ifdef USE_POWER_PINS
+    inout VPWR;
+    inout VGND;
+`endif
+  reg \$auto$verilog_backend.cc:2083:dump_module$360  = 0;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:936.3-946.6" *)
+  reg _000_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:859.3-935.6" *)
+  reg [31:0] _001_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [69:0] _002_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [33:0] _003_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg _004_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _005_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _006_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _007_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _008_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _009_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _010_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _011_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _012_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _013_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _014_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _015_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _016_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _017_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _018_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _019_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _020_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [32:0] _021_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [32:0] _022_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _023_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _024_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _025_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _026_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _027_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _028_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _029_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _030_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _031_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _032_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _033_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _034_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _035_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _036_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _037_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [1:0] _038_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [15:0] _039_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
+  reg [15:0] _040_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:936.3-946.6" *)
+  reg _041_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:859.3-935.6" *)
+  reg [31:0] _042_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:379.33-379.56" *)
+  wire _043_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:380.33-380.56" *)
+  wire _044_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:381.33-381.56" *)
+  wire _045_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:382.33-382.56" *)
+  wire _046_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:383.33-383.56" *)
+  wire _047_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:384.33-384.56" *)
+  wire _048_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:385.32-385.54" *)
+  wire _049_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:386.32-386.54" *)
+  wire _050_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:387.32-387.54" *)
+  wire _051_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:388.32-388.54" *)
+  wire _052_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:389.32-389.54" *)
+  wire _053_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:390.32-390.54" *)
+  wire _054_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:391.32-391.54" *)
+  wire _055_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:392.32-392.54" *)
+  wire _056_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:393.32-393.54" *)
+  wire _057_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:394.32-394.54" *)
+  wire _058_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.33-398.56" *)
+  wire _059_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.33-400.56" *)
+  wire _060_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.33-402.56" *)
+  wire _061_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.33-404.56" *)
+  wire _062_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.33-406.56" *)
+  wire _063_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.33-408.56" *)
+  wire _064_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.32-410.54" *)
+  wire _065_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.32-412.54" *)
+  wire _066_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.32-414.54" *)
+  wire _067_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.32-416.54" *)
+  wire _068_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.32-418.54" *)
+  wire _069_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.32-420.54" *)
+  wire _070_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.32-422.54" *)
+  wire _071_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.32-424.54" *)
+  wire _072_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.32-426.54" *)
+  wire _073_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.32-428.54" *)
+  wire _074_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:434.9-434.46" *)
+  wire _075_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:494.9-494.29" *)
+  wire _076_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:495.10-495.33" *)
+  wire _077_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:495.37-495.60" *)
+  wire _078_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:496.9-496.29" *)
+  wire _079_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:497.10-497.33" *)
+  wire _080_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:497.37-497.60" *)
+  wire _081_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:498.9-498.29" *)
+  wire _082_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:499.10-499.33" *)
+  wire _083_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:499.37-499.60" *)
+  wire _084_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:500.9-500.29" *)
+  wire _085_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:501.10-501.33" *)
+  wire _086_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:501.37-501.60" *)
+  wire _087_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:502.9-502.29" *)
+  wire _088_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:503.10-503.33" *)
+  wire _089_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:503.37-503.60" *)
+  wire _090_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:504.9-504.29" *)
+  wire _091_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:505.10-505.33" *)
+  wire _092_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:505.37-505.60" *)
+  wire _093_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:506.9-506.28" *)
+  wire _094_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:507.10-507.32" *)
+  wire _095_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:507.36-507.58" *)
+  wire _096_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:508.9-508.28" *)
+  wire _097_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:509.10-509.32" *)
+  wire _098_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:509.36-509.58" *)
+  wire _099_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:510.9-510.28" *)
+  wire _100_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:511.10-511.32" *)
+  wire _101_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:511.36-511.58" *)
+  wire _102_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:512.9-512.28" *)
+  wire _103_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:513.10-513.32" *)
+  wire _104_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:513.36-513.58" *)
+  wire _105_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:514.9-514.28" *)
+  wire _106_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:515.10-515.32" *)
+  wire _107_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:515.36-515.58" *)
+  wire _108_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:516.9-516.28" *)
+  wire _109_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:517.10-517.32" *)
+  wire _110_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:517.36-517.58" *)
+  wire _111_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:518.9-518.28" *)
+  wire _112_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:519.10-519.32" *)
+  wire _113_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:519.36-519.58" *)
+  wire _114_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:520.9-520.28" *)
+  wire _115_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:521.10-521.32" *)
+  wire _116_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:521.36-521.58" *)
+  wire _117_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:522.9-522.28" *)
+  wire _118_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:523.10-523.32" *)
+  wire _119_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:523.36-523.58" *)
+  wire _120_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:524.9-524.28" *)
+  wire _121_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:525.10-525.32" *)
+  wire _122_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:525.36-525.58" *)
+  wire _123_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:559.7-559.44" *)
+  wire _124_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:573.7-573.44" *)
+  wire _125_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:791.7-791.51" *)
+  wire _126_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:796.9-796.29" *)
+  wire _127_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:797.10-797.33" *)
+  wire _128_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:797.37-797.60" *)
+  wire _129_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:799.9-799.29" *)
+  wire _130_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:800.10-800.33" *)
+  wire _131_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:800.37-800.60" *)
+  wire _132_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:802.9-802.29" *)
+  wire _133_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:803.10-803.33" *)
+  wire _134_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:803.37-803.60" *)
+  wire _135_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:805.9-805.29" *)
+  wire _136_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:806.10-806.33" *)
+  wire _137_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:806.37-806.60" *)
+  wire _138_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:808.9-808.29" *)
+  wire _139_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:809.10-809.33" *)
+  wire _140_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:809.37-809.60" *)
+  wire _141_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:811.9-811.29" *)
+  wire _142_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:812.10-812.33" *)
+  wire _143_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:812.37-812.60" *)
+  wire _144_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:814.9-814.28" *)
+  wire _145_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:815.10-815.32" *)
+  wire _146_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:815.36-815.58" *)
+  wire _147_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:817.9-817.28" *)
+  wire _148_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:818.10-818.32" *)
+  wire _149_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:818.36-818.58" *)
+  wire _150_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:820.9-820.28" *)
+  wire _151_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:821.10-821.32" *)
+  wire _152_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:821.36-821.58" *)
+  wire _153_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:823.9-823.28" *)
+  wire _154_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:824.10-824.32" *)
+  wire _155_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:824.36-824.58" *)
+  wire _156_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:826.9-826.28" *)
+  wire _157_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:827.10-827.32" *)
+  wire _158_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:827.36-827.58" *)
+  wire _159_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:829.9-829.28" *)
+  wire _160_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:830.10-830.32" *)
+  wire _161_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:830.36-830.58" *)
+  wire _162_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:832.9-832.28" *)
+  wire _163_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:833.10-833.32" *)
+  wire _164_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:833.36-833.58" *)
+  wire _165_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:835.9-835.28" *)
+  wire _166_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:836.10-836.32" *)
+  wire _167_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:836.36-836.58" *)
+  wire _168_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:838.9-838.28" *)
+  wire _169_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:839.10-839.32" *)
+  wire _170_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:839.36-839.58" *)
+  wire _171_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:846.8-846.27" *)
+  wire _172_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:847.9-847.31" *)
+  wire _173_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:847.35-847.57" *)
+  wire _174_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:943.6-943.50" *)
+  wire _175_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:952.9-952.22" *)
+  wire _176_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:359.7-360.38" *)
+  wire _177_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:379.9-379.56" *)
+  wire _178_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:380.9-380.56" *)
+  wire _179_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:381.9-381.56" *)
+  wire _180_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:382.9-382.56" *)
+  wire _181_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:383.9-383.56" *)
+  wire _182_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:384.9-384.56" *)
+  wire _183_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:385.9-385.54" *)
+  wire _184_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:386.9-386.54" *)
+  wire _185_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:387.9-387.54" *)
+  wire _186_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:388.9-388.54" *)
+  wire _187_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:389.9-389.54" *)
+  wire _188_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:390.9-390.54" *)
+  wire _189_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:391.9-391.54" *)
+  wire _190_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:392.9-392.54" *)
+  wire _191_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:393.9-393.54" *)
+  wire _192_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:394.9-394.54" *)
+  wire _193_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.9-398.56" *)
+  wire _194_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.9-399.34" *)
+  wire _195_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.9-400.56" *)
+  wire _196_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.9-401.34" *)
+  wire _197_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.9-402.56" *)
+  wire _198_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.9-403.34" *)
+  wire _199_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.9-404.56" *)
+  wire _200_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.9-405.34" *)
+  wire _201_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.9-406.56" *)
+  wire _202_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.9-407.34" *)
+  wire _203_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.9-408.56" *)
+  wire _204_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.9-409.34" *)
+  wire _205_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.9-410.54" *)
+  wire _206_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.9-411.33" *)
+  wire _207_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.9-412.54" *)
+  wire _208_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.9-413.33" *)
+  wire _209_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.9-414.54" *)
+  wire _210_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.9-415.33" *)
+  wire _211_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.9-416.54" *)
+  wire _212_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.9-417.33" *)
+  wire _213_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.9-418.54" *)
+  wire _214_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.9-419.33" *)
+  wire _215_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.9-420.54" *)
+  wire _216_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.9-421.33" *)
+  wire _217_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.9-422.54" *)
+  wire _218_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.9-423.33" *)
+  wire _219_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.9-424.54" *)
+  wire _220_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.9-425.33" *)
+  wire _221_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.9-426.54" *)
+  wire _222_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.9-427.33" *)
+  wire _223_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.9-428.54" *)
+  wire _224_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.9-429.33" *)
+  wire _225_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:433.7-433.62" *)
+  wire _226_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:433.7-438.24" *)
+  wire _227_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:442.7-442.69" *)
+  wire _228_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:446.7-447.34" *)
+  wire _229_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:446.7-448.29" *)
+  wire _230_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:452.7-453.38" *)
+  wire _231_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:494.9-495.61" *)
+  wire _232_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:496.9-497.61" *)
+  wire _233_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:498.9-499.61" *)
+  wire _234_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:500.9-501.61" *)
+  wire _235_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:502.9-503.61" *)
+  wire _236_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:504.9-505.61" *)
+  wire _237_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:506.9-507.59" *)
+  wire _238_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:508.9-509.59" *)
+  wire _239_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:510.9-511.59" *)
+  wire _240_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:512.9-513.59" *)
+  wire _241_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:514.9-515.59" *)
+  wire _242_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:516.9-517.59" *)
+  wire _243_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:518.9-519.59" *)
+  wire _244_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:520.9-521.59" *)
+  wire _245_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:522.9-523.59" *)
+  wire _246_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:524.9-525.59" *)
+  wire _247_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:552.7-552.33" *)
+  wire _248_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:552.7-554.41" *)
+  wire _249_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:558.7-559.44" *)
+  wire _250_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:558.7-560.32" *)
+  wire _251_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:572.7-573.44" *)
+  wire _252_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:572.7-574.32" *)
+  wire _253_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:619.7-620.34" *)
+  wire _254_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:619.7-621.29" *)
+  wire _255_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:790.7-791.51" *)
+  wire _256_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:790.7-792.32" *)
+  wire _257_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:796.9-797.61" *)
+  wire _258_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:796.9-798.15" *)
+  wire _259_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:799.9-800.61" *)
+  wire _260_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:799.9-801.15" *)
+  wire _261_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:802.9-803.61" *)
+  wire _262_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:802.9-804.15" *)
+  wire _263_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:805.9-806.61" *)
+  wire _264_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:805.9-807.15" *)
+  wire _265_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:808.9-809.61" *)
+  wire _266_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:808.9-810.15" *)
+  wire _267_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:811.9-812.61" *)
+  wire _268_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:811.9-813.15" *)
+  wire _269_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:814.9-815.59" *)
+  wire _270_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:814.9-816.14" *)
+  wire _271_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:817.9-818.59" *)
+  wire _272_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:817.9-819.14" *)
+  wire _273_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:820.9-821.59" *)
+  wire _274_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:820.9-822.14" *)
+  wire _275_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:823.9-824.59" *)
+  wire _276_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:823.9-825.14" *)
+  wire _277_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:826.9-827.59" *)
+  wire _278_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:826.9-828.14" *)
+  wire _279_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:829.9-830.59" *)
+  wire _280_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:829.9-831.14" *)
+  wire _281_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:832.9-833.59" *)
+  wire _282_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:832.9-834.14" *)
+  wire _283_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:835.9-836.59" *)
+  wire _284_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:835.9-837.14" *)
+  wire _285_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:838.9-839.59" *)
+  wire _286_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:838.9-840.14" *)
+  wire _287_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:846.8-847.58" *)
+  wire _288_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:943.6-944.32" *)
+  wire _289_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:370.8-370.52" *)
+  wire _290_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:371.8-371.40" *)
+  wire _291_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:433.36-433.62" *)
+  wire _292_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:435.3-435.29" *)
+  wire _293_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:435.33-435.53" *)
+  wire _294_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:437.3-437.29" *)
+  wire _295_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:438.3-438.23" *)
+  wire _296_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:446.7-446.45" *)
+  wire _297_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:448.7-448.29" *)
+  wire _298_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:462.8-462.52" *)
+  wire _299_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:463.8-463.40" *)
+  wire _300_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:553.8-553.52" *)
+  wire _301_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:554.8-554.40" *)
+  wire _302_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:616.7-616.51" *)
+  wire _303_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:619.7-619.45" *)
+  wire _304_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:621.7-621.29" *)
+  wire _305_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:941.8-941.34" *)
+  wire _306_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:944.6-944.32" *)
+  wire _307_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:370.8-371.40" *)
+  wire _308_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:435.3-435.53" *)
+  wire _309_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:436.3-437.29" *)
+  wire _310_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:436.3-438.23" *)
+  wire _311_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:462.8-463.40" *)
+  wire _312_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:495.10-495.60" *)
+  wire _313_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:497.10-497.60" *)
+  wire _314_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:499.10-499.60" *)
+  wire _315_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:501.10-501.60" *)
+  wire _316_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:503.10-503.60" *)
+  wire _317_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:505.10-505.60" *)
+  wire _318_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:507.10-507.58" *)
+  wire _319_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:509.10-509.58" *)
+  wire _320_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:511.10-511.58" *)
+  wire _321_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:513.10-513.58" *)
+  wire _322_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:515.10-515.58" *)
+  wire _323_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:517.10-517.58" *)
+  wire _324_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:519.10-519.58" *)
+  wire _325_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:521.10-521.58" *)
+  wire _326_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:523.10-523.58" *)
+  wire _327_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:525.10-525.58" *)
+  wire _328_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:553.8-554.40" *)
+  wire _329_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:618.7-621.29" *)
+  wire _330_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:797.10-797.60" *)
+  wire _331_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:800.10-800.60" *)
+  wire _332_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:803.10-803.60" *)
+  wire _333_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:806.10-806.60" *)
+  wire _334_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:809.10-809.60" *)
+  wire _335_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:812.10-812.60" *)
+  wire _336_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:815.10-815.58" *)
+  wire _337_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:818.10-818.58" *)
+  wire _338_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:821.10-821.58" *)
+  wire _339_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:824.10-824.58" *)
+  wire _340_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:827.10-827.58" *)
+  wire _341_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:830.10-830.58" *)
+  wire _342_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:833.10-833.58" *)
+  wire _343_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:836.10-836.58" *)
+  wire _344_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:839.10-839.58" *)
+  wire _345_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:847.9-847.57" *)
+  wire _346_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:379.9-379.29" *)
+  wire _347_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:380.9-380.29" *)
+  wire _348_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:381.9-381.29" *)
+  wire _349_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:382.9-382.29" *)
+  wire _350_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:383.9-383.29" *)
+  wire _351_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:384.9-384.29" *)
+  wire _352_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:385.9-385.28" *)
+  wire _353_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:386.9-386.28" *)
+  wire _354_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:387.9-387.28" *)
+  wire _355_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:388.9-388.28" *)
+  wire _356_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:389.9-389.28" *)
+  wire _357_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:390.9-390.28" *)
+  wire _358_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:391.9-391.28" *)
+  wire _359_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:392.9-392.28" *)
+  wire _360_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:393.9-393.28" *)
+  wire _361_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:394.9-394.28" *)
+  wire _362_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.9-398.29" *)
+  wire _363_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.9-400.29" *)
+  wire _364_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.9-402.29" *)
+  wire _365_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.9-404.29" *)
+  wire _366_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.9-406.29" *)
+  wire _367_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.9-408.29" *)
+  wire _368_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.9-410.28" *)
+  wire _369_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.9-412.28" *)
+  wire _370_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.9-414.28" *)
+  wire _371_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.9-416.28" *)
+  wire _372_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.9-418.28" *)
+  wire _373_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.9-420.28" *)
+  wire _374_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.9-422.28" *)
+  wire _375_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.9-424.28" *)
+  wire _376_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.9-426.28" *)
+  wire _377_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.9-428.28" *)
+  wire _378_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:436.3-436.40" *)
+  wire _379_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:370.7-375.11" *)
+  wire [31:0] _380_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:373.10-375.10" *)
+  wire [31:0] _381_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:434.8-438.23" *)
+  wire _382_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:462.7-467.11" *)
+  wire [31:0] _383_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:465.10-467.10" *)
+  wire [31:0] _384_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:564.7-566.24" *)
+  wire [32:0] _385_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:568.7-570.36" *)
+  wire [32:0] _386_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:576.7-578.24" *)
+  wire [32:0] _387_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:580.7-582.36" *)
+  wire [32:0] _388_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:584.7-586.30" *)
+  wire [69:0] _389_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:590.7-592.42" *)
+  wire [69:0] _390_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:598.7-600.30" *)
+  wire [33:0] _391_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:602.7-604.42" *)
+  wire [33:0] _392_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:846.7-849.14" *)
+  wire [15:0] _393_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:857.27-857.48" *)
+  wire [15:0] _394_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:348.7-348.61" *)
+  reg CASE_res_bus_inner_fReq_rv_BITS_68_TO_37_0_NOT_ETC__q1;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:65.10-65.13" *)
+  input CLK;
+  wire CLK;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:347.16-347.73" *)
+  reg [31:0] IF_res_bus_inner_fReq_rv_port0__read__89_BITS__ETC___d452;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:354.17-354.74" *)
+  wire [15:0] IF_res_modes_0_3_EQ_0_58_AND_res_controls_0_7__ETC___d536;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:344.8-344.48" *)
+  wire \MUX_res_bus_inner_pending$write_1__SEL_2 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:66.10-66.15" *)
+  input RST_N;
+  wire RST_N;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:340.8-340.51" *)
+  wire WILL_FIRE_RL_res_bus_inner_process_outgoing;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:341.8-341.34" *)
+  wire WILL_FIRE_RL_res_wbRequest;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:95.19-95.21" *)
+  input [15:0] in;
+  wire [15:0] in;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:349.17-349.29" *)
+  wire [31:0] octl__h19407;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:89.19-89.21" *)
+  output [15:0] oe;
+  wire [15:0] oe;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:92.19-92.22" *)
+  output [15:0] out;
+  wire [15:0] out;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:146.16-146.37" *)
+  reg [69:0] res_bus_inner_fReq_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:147.17-147.43" *)
+  wire [69:0] \res_bus_inner_fReq_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:148.8-148.32" *)
+  wire \res_bus_inner_fReq_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:128.17-128.50" *)
+  wire [69:0] \res_bus_inner_fReq_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:129.3-129.39" *)
+  wire [69:0] \res_bus_inner_fReq_rv$port1__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:130.3-130.36" *)
+  wire [69:0] \res_bus_inner_fReq_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:350.3-350.41" *)
+  wire [31:0] res_bus_inner_fReq_rv_BITS_35_TO_4__q4;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:151.16-151.37" *)
+  reg [33:0] res_bus_inner_fRes_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:152.17-152.43" *)
+  wire [33:0] \res_bus_inner_fRes_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:153.8-153.32" *)
+  wire \res_bus_inner_fRes_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:132.17-132.53" *)
+  wire [33:0] \res_bus_inner_fRes_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:133.3-133.36" *)
+  wire [33:0] \res_bus_inner_fRes_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:134.3-134.36" *)
+  wire [33:0] \res_bus_inner_fRes_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:131.17-131.44" *)
+  wire [68:0] \res_bus_inner_incoming$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:141.8-141.35" *)
+  wire \res_bus_inner_incoming$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:135.3-135.30" *)
+  wire [33:0] \res_bus_inner_outgoing$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:156.7-156.28" *)
+  reg res_bus_inner_pending;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:157.8-157.34" *)
+  wire \res_bus_inner_pending$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:157.36-157.60" *)
+  wire \res_bus_inner_pending$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:110.8-110.36" *)
+  wire \res_bus_inner_probeAck$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:111.8-111.42" *)
+  wire \res_bus_inner_probeAck$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:103.17-103.45" *)
+  wire [31:0] \res_bus_inner_probeAdr$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:112.8-112.42" *)
+  wire \res_bus_inner_probeAdr$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:113.8-113.36" *)
+  wire \res_bus_inner_probeCyc$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:114.8-114.42" *)
+  wire \res_bus_inner_probeCyc$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:104.3-104.34" *)
+  wire [31:0] \res_bus_inner_probeDataIn$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:115.8-115.45" *)
+  wire \res_bus_inner_probeDataIn$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:105.3-105.35" *)
+  wire [31:0] \res_bus_inner_probeDataOut$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:116.8-116.46" *)
+  wire \res_bus_inner_probeDataOut$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:109.16-109.44" *)
+  wire [3:0] \res_bus_inner_probeSel$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:117.8-117.42" *)
+  wire \res_bus_inner_probeSel$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:118.8-118.36" *)
+  wire \res_bus_inner_probeStb$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:119.8-119.42" *)
+  wire \res_bus_inner_probeStb$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:120.8-120.35" *)
+  wire \res_bus_inner_probeWe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:121.8-121.41" *)
+  wire \res_bus_inner_probeWe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:160.15-160.29" *)
+  reg [1:0] res_controls_0;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:161.16-161.35" *)
+  wire [1:0] \res_controls_0$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:162.8-162.25" *)
+  wire \res_controls_0$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:165.15-165.29" *)
+  reg [1:0] res_controls_1;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:166.16-166.35" *)
+  wire [1:0] \res_controls_1$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:167.8-167.25" *)
+  wire \res_controls_1$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:170.15-170.30" *)
+  reg [1:0] res_controls_10;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:171.16-171.36" *)
+  wire [1:0] \res_controls_10$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:172.8-172.26" *)
+  wire \res_controls_10$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:175.15-175.30" *)
+  reg [1:0] res_controls_11;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:176.16-176.36" *)
+  wire [1:0] \res_controls_11$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:177.8-177.26" *)
+  wire \res_controls_11$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:180.15-180.30" *)
+  reg [1:0] res_controls_12;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:181.16-181.36" *)
+  wire [1:0] \res_controls_12$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:182.8-182.26" *)
+  wire \res_controls_12$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:185.15-185.30" *)
+  reg [1:0] res_controls_13;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:186.16-186.36" *)
+  wire [1:0] \res_controls_13$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:187.8-187.26" *)
+  wire \res_controls_13$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:190.15-190.30" *)
+  reg [1:0] res_controls_14;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:191.16-191.36" *)
+  wire [1:0] \res_controls_14$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:192.8-192.26" *)
+  wire \res_controls_14$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:195.15-195.30" *)
+  reg [1:0] res_controls_15;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:196.16-196.36" *)
+  wire [1:0] \res_controls_15$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:197.8-197.26" *)
+  wire \res_controls_15$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:200.15-200.29" *)
+  reg [1:0] res_controls_2;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:201.16-201.35" *)
+  wire [1:0] \res_controls_2$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:202.8-202.25" *)
+  wire \res_controls_2$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:205.15-205.29" *)
+  reg [1:0] res_controls_3;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:206.16-206.35" *)
+  wire [1:0] \res_controls_3$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:207.8-207.25" *)
+  wire \res_controls_3$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:210.15-210.29" *)
+  reg [1:0] res_controls_4;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:211.16-211.35" *)
+  wire [1:0] \res_controls_4$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:212.8-212.25" *)
+  wire \res_controls_4$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:215.15-215.29" *)
+  reg [1:0] res_controls_5;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:216.16-216.35" *)
+  wire [1:0] \res_controls_5$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:217.8-217.25" *)
+  wire \res_controls_5$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:220.15-220.29" *)
+  reg [1:0] res_controls_6;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:221.16-221.35" *)
+  wire [1:0] \res_controls_6$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:222.8-222.25" *)
+  wire \res_controls_6$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:225.15-225.29" *)
+  reg [1:0] res_controls_7;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:226.16-226.35" *)
+  wire [1:0] \res_controls_7$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:227.8-227.25" *)
+  wire \res_controls_7$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:230.15-230.29" *)
+  reg [1:0] res_controls_8;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:231.16-231.35" *)
+  wire [1:0] \res_controls_8$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:232.8-232.25" *)
+  wire \res_controls_8$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:235.15-235.29" *)
+  reg [1:0] res_controls_9;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:236.16-236.35" *)
+  wire [1:0] \res_controls_9$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:237.8-237.25" *)
+  wire \res_controls_9$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:240.16-240.31" *)
+  reg [32:0] res_fNewCtl0_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:241.17-241.37" *)
+  wire [32:0] \res_fNewCtl0_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:242.8-242.26" *)
+  wire \res_fNewCtl0_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:142.8-142.39" *)
+  wire \res_fNewCtl0_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:136.17-136.47" *)
+  wire [32:0] \res_fNewCtl0_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:137.3-137.30" *)
+  wire [32:0] \res_fNewCtl0_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:138.3-138.30" *)
+  wire [32:0] \res_fNewCtl0_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:351.3-351.46" *)
+  wire [31:0] res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:245.16-245.31" *)
+  reg [32:0] res_fNewCtl1_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:246.17-246.37" *)
+  wire [32:0] \res_fNewCtl1_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:247.8-247.26" *)
+  wire \res_fNewCtl1_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:143.8-143.39" *)
+  wire \res_fNewCtl1_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:139.3-139.30" *)
+  wire [32:0] \res_fNewCtl1_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:140.3-140.30" *)
+  wire [32:0] \res_fNewCtl1_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:352.3-352.46" *)
+  wire [31:0] res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:250.15-250.26" *)
+  reg [1:0] res_modes_0;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:251.16-251.32" *)
+  wire [1:0] \res_modes_0$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:252.8-252.22" *)
+  wire \res_modes_0$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:255.15-255.26" *)
+  reg [1:0] res_modes_1;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:256.16-256.32" *)
+  wire [1:0] \res_modes_1$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:257.8-257.22" *)
+  wire \res_modes_1$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:260.15-260.27" *)
+  reg [1:0] res_modes_10;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:261.16-261.33" *)
+  wire [1:0] \res_modes_10$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:262.8-262.23" *)
+  wire \res_modes_10$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:265.15-265.27" *)
+  reg [1:0] res_modes_11;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:266.16-266.33" *)
+  wire [1:0] \res_modes_11$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:267.8-267.23" *)
+  wire \res_modes_11$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:270.15-270.27" *)
+  reg [1:0] res_modes_12;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:271.16-271.33" *)
+  wire [1:0] \res_modes_12$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:272.8-272.23" *)
+  wire \res_modes_12$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:275.15-275.27" *)
+  reg [1:0] res_modes_13;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:276.16-276.33" *)
+  wire [1:0] \res_modes_13$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:277.8-277.23" *)
+  wire \res_modes_13$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:280.15-280.27" *)
+  reg [1:0] res_modes_14;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:281.16-281.33" *)
+  wire [1:0] \res_modes_14$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:282.8-282.23" *)
+  wire \res_modes_14$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:285.15-285.27" *)
+  reg [1:0] res_modes_15;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:286.16-286.33" *)
+  wire [1:0] \res_modes_15$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:287.8-287.23" *)
+  wire \res_modes_15$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:290.15-290.26" *)
+  reg [1:0] res_modes_2;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:291.16-291.32" *)
+  wire [1:0] \res_modes_2$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:292.8-292.22" *)
+  wire \res_modes_2$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:295.15-295.26" *)
+  reg [1:0] res_modes_3;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:296.16-296.32" *)
+  wire [1:0] \res_modes_3$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:297.8-297.22" *)
+  wire \res_modes_3$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:300.15-300.26" *)
+  reg [1:0] res_modes_4;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:301.16-301.32" *)
+  wire [1:0] \res_modes_4$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:302.8-302.22" *)
+  wire \res_modes_4$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:305.15-305.26" *)
+  reg [1:0] res_modes_5;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:306.16-306.32" *)
+  wire [1:0] \res_modes_5$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:307.8-307.22" *)
+  wire \res_modes_5$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:310.15-310.26" *)
+  reg [1:0] res_modes_6;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:311.16-311.32" *)
+  wire [1:0] \res_modes_6$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:312.8-312.22" *)
+  wire \res_modes_6$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:315.15-315.26" *)
+  reg [1:0] res_modes_7;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:316.16-316.32" *)
+  wire [1:0] \res_modes_7$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:317.8-317.22" *)
+  wire \res_modes_7$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:320.15-320.26" *)
+  reg [1:0] res_modes_8;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:321.16-321.32" *)
+  wire [1:0] \res_modes_8$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:322.8-322.22" *)
+  wire \res_modes_8$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:325.15-325.26" *)
+  reg [1:0] res_modes_9;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:326.16-326.32" *)
+  wire [1:0] \res_modes_9$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:327.8-327.22" *)
+  wire \res_modes_9$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:106.3-106.26" *)
+  wire [31:0] \res_probeControls$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:122.8-122.37" *)
+  wire \res_probeControls$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:108.17-108.39" *)
+  wire [15:0] \res_probeIsInput$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:123.8-123.36" *)
+  wire \res_probeIsInput$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:108.41-108.64" *)
+  wire [15:0] \res_probeIsOutput$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:124.8-124.37" *)
+  wire \res_probeIsOutput$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:107.3-107.23" *)
+  wire [31:0] \res_probeModes$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:125.8-125.34" *)
+  wire \res_probeModes$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:330.16-330.37" *)
+  reg [15:0] res_registeredOutputs;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:331.17-331.43" *)
+  wire [15:0] \res_registeredOutputs$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:332.8-332.32" *)
+  wire \res_registeredOutputs$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:335.16-335.33" *)
+  reg [15:0] res_sampledInputs;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:336.17-336.39" *)
+  wire [15:0] \res_sampledInputs$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:337.8-337.28" *)
+  wire \res_sampledInputs$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:355.3-355.17" *)
+  wire [15:0] result__h24228;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:77.10-77.21" *)
+  output slave_ack_o;
+  wire slave_ack_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:71.19-71.30" *)
+  input [31:0] slave_adr_i;
+  wire [31:0] slave_adr_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:69.10-69.21" *)
+  input slave_cyc_i;
+  wire slave_cyc_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:72.19-72.30" *)
+  input [31:0] slave_dat_i;
+  wire [31:0] slave_dat_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:86.19-86.30" *)
+  output [31:0] slave_dat_o;
+  wire [31:0] slave_dat_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:80.10-80.21" *)
+  output slave_err_o;
+  wire slave_err_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:83.10-83.21" *)
+  output slave_rty_o;
+  wire slave_rty_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:73.18-73.29" *)
+  input [3:0] slave_sel_i;
+  wire [3:0] slave_sel_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:70.10-70.21" *)
+  input slave_stb_i;
+  wire slave_stb_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:74.10-74.20" *)
+  input slave_we_i;
+  wire slave_we_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:353.3-353.15" *)
+  wire [31:0] stat__h19437;
+  assign _043_ = res_controls_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:379.33-379.56" *) 2'h0;
+  assign _044_ = res_controls_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:380.33-380.56" *) 2'h0;
+  assign _045_ = res_controls_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:381.33-381.56" *) 2'h0;
+  assign _046_ = res_controls_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:382.33-382.56" *) 2'h0;
+  assign _047_ = res_controls_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:383.33-383.56" *) 2'h0;
+  assign _048_ = res_controls_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:384.33-384.56" *) 2'h0;
+  assign _049_ = res_controls_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:385.32-385.54" *) 2'h0;
+  assign _050_ = res_controls_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:386.32-386.54" *) 2'h0;
+  assign _051_ = res_controls_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:387.32-387.54" *) 2'h0;
+  assign _052_ = res_controls_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:388.32-388.54" *) 2'h0;
+  assign _053_ = res_controls_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:389.32-389.54" *) 2'h0;
+  assign _054_ = res_controls_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:390.32-390.54" *) 2'h0;
+  assign _055_ = res_controls_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:391.32-391.54" *) 2'h0;
+  assign _056_ = res_controls_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:392.32-392.54" *) 2'h0;
+  assign _057_ = res_controls_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:393.32-393.54" *) 2'h0;
+  assign _058_ = res_controls_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:394.32-394.54" *) 2'h0;
+  assign _059_ = res_controls_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.33-398.56" *) 2'h0;
+  assign _060_ = res_controls_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.33-400.56" *) 2'h0;
+  assign _061_ = res_controls_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.33-402.56" *) 2'h0;
+  assign _062_ = res_controls_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.33-404.56" *) 2'h0;
+  assign _063_ = res_controls_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.33-406.56" *) 2'h0;
+  assign _064_ = res_controls_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.33-408.56" *) 2'h0;
+  assign _065_ = res_controls_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.32-410.54" *) 2'h0;
+  assign _066_ = res_controls_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.32-412.54" *) 2'h0;
+  assign _067_ = res_controls_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.32-414.54" *) 2'h0;
+  assign _068_ = res_controls_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.32-416.54" *) 2'h0;
+  assign _069_ = res_controls_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.32-418.54" *) 2'h0;
+  assign _070_ = res_controls_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.32-420.54" *) 2'h0;
+  assign _071_ = res_controls_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.32-422.54" *) 2'h0;
+  assign _072_ = res_controls_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.32-424.54" *) 2'h0;
+  assign _073_ = res_controls_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.32-426.54" *) 2'h0;
+  assign _074_ = res_controls_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.32-428.54" *) 2'h0;
+  assign _075_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:434.9-434.46" *) 32'd0;
+  assign _076_ = res_modes_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:494.9-494.29" *) 2'h0;
+  assign _077_ = res_controls_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:495.10-495.33" *) 2'h2;
+  assign _078_ = res_controls_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:495.37-495.60" *) 2'h1;
+  assign _079_ = res_modes_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:496.9-496.29" *) 2'h0;
+  assign _080_ = res_controls_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:497.10-497.33" *) 2'h2;
+  assign _081_ = res_controls_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:497.37-497.60" *) 2'h1;
+  assign _082_ = res_modes_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:498.9-498.29" *) 2'h0;
+  assign _083_ = res_controls_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:499.10-499.33" *) 2'h2;
+  assign _084_ = res_controls_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:499.37-499.60" *) 2'h1;
+  assign _085_ = res_modes_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:500.9-500.29" *) 2'h0;
+  assign _086_ = res_controls_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:501.10-501.33" *) 2'h2;
+  assign _087_ = res_controls_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:501.37-501.60" *) 2'h1;
+  assign _088_ = res_modes_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:502.9-502.29" *) 2'h0;
+  assign _089_ = res_controls_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:503.10-503.33" *) 2'h2;
+  assign _090_ = res_controls_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:503.37-503.60" *) 2'h1;
+  assign _091_ = res_modes_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:504.9-504.29" *) 2'h0;
+  assign _092_ = res_controls_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:505.10-505.33" *) 2'h2;
+  assign _093_ = res_controls_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:505.37-505.60" *) 2'h1;
+  assign _094_ = res_modes_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:506.9-506.28" *) 2'h0;
+  assign _095_ = res_controls_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:507.10-507.32" *) 2'h2;
+  assign _096_ = res_controls_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:507.36-507.58" *) 2'h1;
+  assign _097_ = res_modes_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:508.9-508.28" *) 2'h0;
+  assign _098_ = res_controls_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:509.10-509.32" *) 2'h2;
+  assign _099_ = res_controls_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:509.36-509.58" *) 2'h1;
+  assign _100_ = res_modes_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:510.9-510.28" *) 2'h0;
+  assign _101_ = res_controls_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:511.10-511.32" *) 2'h2;
+  assign _102_ = res_controls_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:511.36-511.58" *) 2'h1;
+  assign _103_ = res_modes_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:512.9-512.28" *) 2'h0;
+  assign _104_ = res_controls_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:513.10-513.32" *) 2'h2;
+  assign _105_ = res_controls_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:513.36-513.58" *) 2'h1;
+  assign _106_ = res_modes_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:514.9-514.28" *) 2'h0;
+  assign _107_ = res_controls_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:515.10-515.32" *) 2'h2;
+  assign _108_ = res_controls_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:515.36-515.58" *) 2'h1;
+  assign _109_ = res_modes_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:516.9-516.28" *) 2'h0;
+  assign _110_ = res_controls_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:517.10-517.32" *) 2'h2;
+  assign _111_ = res_controls_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:517.36-517.58" *) 2'h1;
+  assign _112_ = res_modes_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:518.9-518.28" *) 2'h0;
+  assign _113_ = res_controls_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:519.10-519.32" *) 2'h2;
+  assign _114_ = res_controls_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:519.36-519.58" *) 2'h1;
+  assign _115_ = res_modes_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:520.9-520.28" *) 2'h0;
+  assign _116_ = res_controls_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:521.10-521.32" *) 2'h2;
+  assign _117_ = res_controls_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:521.36-521.58" *) 2'h1;
+  assign _118_ = res_modes_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:522.9-522.28" *) 2'h0;
+  assign _119_ = res_controls_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:523.10-523.32" *) 2'h2;
+  assign _120_ = res_controls_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:523.36-523.58" *) 2'h1;
+  assign _121_ = res_modes_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:524.9-524.28" *) 2'h0;
+  assign _122_ = res_controls_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:525.10-525.32" *) 2'h2;
+  assign _123_ = res_controls_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:525.36-525.58" *) 2'h1;
+  assign _124_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:559.7-559.44" *) 32'd0;
+  assign _125_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:573.7-573.44" *) 32'd4;
+  assign _126_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:791.7-791.51" *) 32'd12;
+  assign _127_ = res_modes_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:796.9-796.29" *) 2'h0;
+  assign _128_ = res_controls_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:797.10-797.33" *) 2'h2;
+  assign _129_ = res_controls_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:797.37-797.60" *) 2'h1;
+  assign _130_ = res_modes_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:799.9-799.29" *) 2'h0;
+  assign _131_ = res_controls_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:800.10-800.33" *) 2'h2;
+  assign _132_ = res_controls_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:800.37-800.60" *) 2'h1;
+  assign _133_ = res_modes_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:802.9-802.29" *) 2'h0;
+  assign _134_ = res_controls_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:803.10-803.33" *) 2'h2;
+  assign _135_ = res_controls_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:803.37-803.60" *) 2'h1;
+  assign _136_ = res_modes_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:805.9-805.29" *) 2'h0;
+  assign _137_ = res_controls_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:806.10-806.33" *) 2'h2;
+  assign _138_ = res_controls_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:806.37-806.60" *) 2'h1;
+  assign _139_ = res_modes_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:808.9-808.29" *) 2'h0;
+  assign _140_ = res_controls_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:809.10-809.33" *) 2'h2;
+  assign _141_ = res_controls_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:809.37-809.60" *) 2'h1;
+  assign _142_ = res_modes_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:811.9-811.29" *) 2'h0;
+  assign _143_ = res_controls_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:812.10-812.33" *) 2'h2;
+  assign _144_ = res_controls_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:812.37-812.60" *) 2'h1;
+  assign _145_ = res_modes_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:814.9-814.28" *) 2'h0;
+  assign _146_ = res_controls_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:815.10-815.32" *) 2'h2;
+  assign _147_ = res_controls_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:815.36-815.58" *) 2'h1;
+  assign _148_ = res_modes_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:817.9-817.28" *) 2'h0;
+  assign _149_ = res_controls_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:818.10-818.32" *) 2'h2;
+  assign _150_ = res_controls_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:818.36-818.58" *) 2'h1;
+  assign _151_ = res_modes_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:820.9-820.28" *) 2'h0;
+  assign _152_ = res_controls_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:821.10-821.32" *) 2'h2;
+  assign _153_ = res_controls_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:821.36-821.58" *) 2'h1;
+  assign _154_ = res_modes_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:823.9-823.28" *) 2'h0;
+  assign _155_ = res_controls_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:824.10-824.32" *) 2'h2;
+  assign _156_ = res_controls_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:824.36-824.58" *) 2'h1;
+  assign _157_ = res_modes_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:826.9-826.28" *) 2'h0;
+  assign _158_ = res_controls_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:827.10-827.32" *) 2'h2;
+  assign _159_ = res_controls_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:827.36-827.58" *) 2'h1;
+  assign _160_ = res_modes_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:829.9-829.28" *) 2'h0;
+  assign _161_ = res_controls_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:830.10-830.32" *) 2'h2;
+  assign _162_ = res_controls_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:830.36-830.58" *) 2'h1;
+  assign _163_ = res_modes_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:832.9-832.28" *) 2'h0;
+  assign _164_ = res_controls_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:833.10-833.32" *) 2'h2;
+  assign _165_ = res_controls_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:833.36-833.58" *) 2'h1;
+  assign _166_ = res_modes_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:835.9-835.28" *) 2'h0;
+  assign _167_ = res_controls_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:836.10-836.32" *) 2'h2;
+  assign _168_ = res_controls_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:836.36-836.58" *) 2'h1;
+  assign _169_ = res_modes_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:838.9-838.28" *) 2'h0;
+  assign _170_ = res_controls_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:839.10-839.32" *) 2'h2;
+  assign _171_ = res_controls_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:839.36-839.58" *) 2'h1;
+  assign _172_ = res_modes_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:846.8-846.27" *) 2'h0;
+  assign _173_ = res_controls_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:847.9-847.31" *) 2'h2;
+  assign _174_ = res_controls_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:847.35-847.57" *) 2'h1;
+  assign _175_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:943.6-943.50" *) 32'd12;
+  assign _176_ = RST_N == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:952.9-952.22" *) 1'h0;
+  assign _177_ = WILL_FIRE_RL_res_bus_inner_process_outgoing && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:359.7-360.38" *) \res_bus_inner_outgoing$wget [33];
+  assign _178_ = _347_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:379.9-379.56" *) _043_;
+  assign _179_ = _348_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:380.9-380.56" *) _044_;
+  assign _180_ = _349_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:381.9-381.56" *) _045_;
+  assign _181_ = _350_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:382.9-382.56" *) _046_;
+  assign _182_ = _351_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:383.9-383.56" *) _047_;
+  assign _183_ = _352_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:384.9-384.56" *) _048_;
+  assign _184_ = _353_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:385.9-385.54" *) _049_;
+  assign _185_ = _354_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:386.9-386.54" *) _050_;
+  assign _186_ = _355_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:387.9-387.54" *) _051_;
+  assign _187_ = _356_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:388.9-388.54" *) _052_;
+  assign _188_ = _357_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:389.9-389.54" *) _053_;
+  assign _189_ = _358_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:390.9-390.54" *) _054_;
+  assign _190_ = _359_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:391.9-391.54" *) _055_;
+  assign _191_ = _360_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:392.9-392.54" *) _056_;
+  assign _192_ = _361_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:393.9-393.54" *) _057_;
+  assign _193_ = _362_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:394.9-394.54" *) _058_;
+  assign _194_ = _363_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.9-398.56" *) _059_;
+  assign _195_ = _194_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.9-399.34" *) res_registeredOutputs[15];
+  assign _196_ = _364_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.9-400.56" *) _060_;
+  assign _197_ = _196_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.9-401.34" *) res_registeredOutputs[14];
+  assign _198_ = _365_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.9-402.56" *) _061_;
+  assign _199_ = _198_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.9-403.34" *) res_registeredOutputs[13];
+  assign _200_ = _366_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.9-404.56" *) _062_;
+  assign _201_ = _200_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.9-405.34" *) res_registeredOutputs[12];
+  assign _202_ = _367_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.9-406.56" *) _063_;
+  assign _203_ = _202_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.9-407.34" *) res_registeredOutputs[11];
+  assign _204_ = _368_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.9-408.56" *) _064_;
+  assign _205_ = _204_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.9-409.34" *) res_registeredOutputs[10];
+  assign _206_ = _369_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.9-410.54" *) _065_;
+  assign _207_ = _206_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.9-411.33" *) res_registeredOutputs[9];
+  assign _208_ = _370_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.9-412.54" *) _066_;
+  assign _209_ = _208_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.9-413.33" *) res_registeredOutputs[8];
+  assign _210_ = _371_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.9-414.54" *) _067_;
+  assign _211_ = _210_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.9-415.33" *) res_registeredOutputs[7];
+  assign _212_ = _372_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.9-416.54" *) _068_;
+  assign _213_ = _212_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.9-417.33" *) res_registeredOutputs[6];
+  assign _214_ = _373_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.9-418.54" *) _069_;
+  assign _215_ = _214_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.9-419.33" *) res_registeredOutputs[5];
+  assign _216_ = _374_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.9-420.54" *) _070_;
+  assign _217_ = _216_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.9-421.33" *) res_registeredOutputs[4];
+  assign _218_ = _375_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.9-422.54" *) _071_;
+  assign _219_ = _218_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.9-423.33" *) res_registeredOutputs[3];
+  assign _220_ = _376_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.9-424.54" *) _072_;
+  assign _221_ = _220_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.9-425.33" *) res_registeredOutputs[2];
+  assign _222_ = _377_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.9-426.54" *) _073_;
+  assign _223_ = _222_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.9-427.33" *) res_registeredOutputs[1];
+  assign _224_ = _378_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.9-428.54" *) _074_;
+  assign _225_ = _224_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.9-429.33" *) res_registeredOutputs[0];
+  assign _226_ = res_bus_inner_fReq_rv[69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:433.7-433.62" *) _292_;
+  assign _227_ = _226_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:433.7-438.24" *) _382_;
+  assign _228_ = \res_bus_inner_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:442.7-442.69" *) res_bus_inner_pending;
+  assign _229_ = _297_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:446.7-447.34" *) \res_bus_inner_incoming$whas ;
+  assign _230_ = _229_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:446.7-448.29" *) _298_;
+  assign _231_ = WILL_FIRE_RL_res_bus_inner_process_outgoing && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:452.7-453.38" *) \res_bus_inner_outgoing$wget [33];
+  assign _232_ = _076_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:494.9-495.61" *) _313_;
+  assign _233_ = _079_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:496.9-497.61" *) _314_;
+  assign _234_ = _082_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:498.9-499.61" *) _315_;
+  assign _235_ = _085_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:500.9-501.61" *) _316_;
+  assign _236_ = _088_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:502.9-503.61" *) _317_;
+  assign _237_ = _091_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:504.9-505.61" *) _318_;
+  assign _238_ = _094_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:506.9-507.59" *) _319_;
+  assign _239_ = _097_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:508.9-509.59" *) _320_;
+  assign _240_ = _100_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:510.9-511.59" *) _321_;
+  assign _241_ = _103_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:512.9-513.59" *) _322_;
+  assign _242_ = _106_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:514.9-515.59" *) _323_;
+  assign _243_ = _109_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:516.9-517.59" *) _324_;
+  assign _244_ = _112_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:518.9-519.59" *) _325_;
+  assign _245_ = _115_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:520.9-521.59" *) _326_;
+  assign _246_ = _118_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:522.9-523.59" *) _327_;
+  assign _247_ = _121_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:524.9-525.59" *) _328_;
+  assign _248_ = slave_cyc_i && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:552.7-552.33" *) slave_stb_i;
+  assign _249_ = _248_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:552.7-554.41" *) _329_;
+  assign _250_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:558.7-559.44" *) _124_;
+  assign _251_ = _250_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:558.7-560.32" *) res_bus_inner_fReq_rv[36];
+  assign _252_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:572.7-573.44" *) _125_;
+  assign _253_ = _252_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:572.7-574.32" *) res_bus_inner_fReq_rv[36];
+  assign _254_ = _304_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:619.7-620.34" *) \res_bus_inner_incoming$whas ;
+  assign _255_ = _254_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:619.7-621.29" *) _305_;
+  assign _256_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:790.7-791.51" *) _126_;
+  assign _257_ = _256_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:790.7-792.32" *) res_bus_inner_fReq_rv[36];
+  assign _258_ = _127_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:796.9-797.61" *) _331_;
+  assign _259_ = _258_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:796.9-798.15" *) in[15];
+  assign _260_ = _130_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:799.9-800.61" *) _332_;
+  assign _261_ = _260_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:799.9-801.15" *) in[14];
+  assign _262_ = _133_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:802.9-803.61" *) _333_;
+  assign _263_ = _262_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:802.9-804.15" *) in[13];
+  assign _264_ = _136_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:805.9-806.61" *) _334_;
+  assign _265_ = _264_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:805.9-807.15" *) in[12];
+  assign _266_ = _139_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:808.9-809.61" *) _335_;
+  assign _267_ = _266_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:808.9-810.15" *) in[11];
+  assign _268_ = _142_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:811.9-812.61" *) _336_;
+  assign _269_ = _268_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:811.9-813.15" *) in[10];
+  assign _270_ = _145_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:814.9-815.59" *) _337_;
+  assign _271_ = _270_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:814.9-816.14" *) in[9];
+  assign _272_ = _148_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:817.9-818.59" *) _338_;
+  assign _273_ = _272_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:817.9-819.14" *) in[8];
+  assign _274_ = _151_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:820.9-821.59" *) _339_;
+  assign _275_ = _274_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:820.9-822.14" *) in[7];
+  assign _276_ = _154_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:823.9-824.59" *) _340_;
+  assign _277_ = _276_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:823.9-825.14" *) in[6];
+  assign _278_ = _157_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:826.9-827.59" *) _341_;
+  assign _279_ = _278_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:826.9-828.14" *) in[5];
+  assign _280_ = _160_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:829.9-830.59" *) _342_;
+  assign _281_ = _280_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:829.9-831.14" *) in[4];
+  assign _282_ = _163_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:832.9-833.59" *) _343_;
+  assign _283_ = _282_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:832.9-834.14" *) in[3];
+  assign _284_ = _166_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:835.9-836.59" *) _344_;
+  assign _285_ = _284_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:835.9-837.14" *) in[2];
+  assign _286_ = _169_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:838.9-839.59" *) _345_;
+  assign _287_ = _286_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:838.9-840.14" *) in[1];
+  assign _288_ = _172_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:846.8-847.58" *) _346_;
+  assign _289_ = _175_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:943.6-944.32" *) _307_;
+  assign _290_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:370.8-370.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
+  assign _291_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:371.8-371.40" *) \res_bus_inner_outgoing$wget [33];
+  assign _292_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:433.36-433.62" *) res_bus_inner_fRes_rv[33];
+  assign _293_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:435.3-435.29" *) res_bus_inner_fReq_rv[36];
+  assign _294_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:435.33-435.53" *) res_fNewCtl0_rv[32];
+  assign _295_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:437.3-437.29" *) res_bus_inner_fReq_rv[36];
+  assign _296_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:438.3-438.23" *) res_fNewCtl1_rv[32];
+  assign _297_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:446.7-446.45" *) \res_bus_inner_fReq_rv$port1__read [69];
+  assign _298_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:448.7-448.29" *) res_bus_inner_pending;
+  assign _299_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:462.8-462.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
+  assign _300_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:463.8-463.40" *) \res_bus_inner_outgoing$wget [33];
+  assign _301_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:553.8-553.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
+  assign _302_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:554.8-554.40" *) \res_bus_inner_outgoing$wget [33];
+  assign _303_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:616.7-616.51" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
+  assign _304_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:619.7-619.45" *) \res_bus_inner_fReq_rv$port1__read [69];
+  assign _305_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:621.7-621.29" *) res_bus_inner_pending;
+  assign _306_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:941.8-941.34" *) res_bus_inner_fReq_rv[36];
+  assign _307_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:944.6-944.32" *) res_bus_inner_fReq_rv[36];
+  assign _308_ = _290_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:370.8-371.40" *) _291_;
+  assign _309_ = _293_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:435.3-435.53" *) _294_;
+  assign _310_ = _379_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:436.3-437.29" *) _295_;
+  assign _311_ = _310_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:436.3-438.23" *) _296_;
+  assign _312_ = _299_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:462.8-463.40" *) _300_;
+  assign _313_ = _077_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:495.10-495.60" *) _078_;
+  assign _314_ = _080_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:497.10-497.60" *) _081_;
+  assign _315_ = _083_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:499.10-499.60" *) _084_;
+  assign _316_ = _086_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:501.10-501.60" *) _087_;
+  assign _317_ = _089_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:503.10-503.60" *) _090_;
+  assign _318_ = _092_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:505.10-505.60" *) _093_;
+  assign _319_ = _095_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:507.10-507.58" *) _096_;
+  assign _320_ = _098_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:509.10-509.58" *) _099_;
+  assign _321_ = _101_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:511.10-511.58" *) _102_;
+  assign _322_ = _104_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:513.10-513.58" *) _105_;
+  assign _323_ = _107_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:515.10-515.58" *) _108_;
+  assign _324_ = _110_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:517.10-517.58" *) _111_;
+  assign _325_ = _113_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:519.10-519.58" *) _114_;
+  assign _326_ = _116_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:521.10-521.58" *) _117_;
+  assign _327_ = _119_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:523.10-523.58" *) _120_;
+  assign _328_ = _122_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:525.10-525.58" *) _123_;
+  assign _329_ = _301_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:553.8-554.40" *) _302_;
+  assign _330_ = WILL_FIRE_RL_res_bus_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:618.7-621.29" *) _255_;
+  assign _331_ = _128_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:797.10-797.60" *) _129_;
+  assign _332_ = _131_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:800.10-800.60" *) _132_;
+  assign _333_ = _134_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:803.10-803.60" *) _135_;
+  assign _334_ = _137_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:806.10-806.60" *) _138_;
+  assign _335_ = _140_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:809.10-809.60" *) _141_;
+  assign _336_ = _143_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:812.10-812.60" *) _144_;
+  assign _337_ = _146_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:815.10-815.58" *) _147_;
+  assign _338_ = _149_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:818.10-818.58" *) _150_;
+  assign _339_ = _152_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:821.10-821.58" *) _153_;
+  assign _340_ = _155_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:824.10-824.58" *) _156_;
+  assign _341_ = _158_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:827.10-827.58" *) _159_;
+  assign _342_ = _161_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:830.10-830.58" *) _162_;
+  assign _343_ = _164_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:833.10-833.58" *) _165_;
+  assign _344_ = _167_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:836.10-836.58" *) _168_;
+  assign _345_ = _170_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:839.10-839.58" *) _171_;
+  assign _346_ = _173_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:847.9-847.57" *) _174_;
+  assign _347_ = res_modes_15 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:379.9-379.29" *) 2'h0;
+  assign _348_ = res_modes_14 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:380.9-380.29" *) 2'h0;
+  assign _349_ = res_modes_13 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:381.9-381.29" *) 2'h0;
+  assign _350_ = res_modes_12 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:382.9-382.29" *) 2'h0;
+  assign _351_ = res_modes_11 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:383.9-383.29" *) 2'h0;
+  assign _352_ = res_modes_10 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:384.9-384.29" *) 2'h0;
+  assign _353_ = res_modes_9 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:385.9-385.28" *) 2'h0;
+  assign _354_ = res_modes_8 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:386.9-386.28" *) 2'h0;
+  assign _355_ = res_modes_7 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:387.9-387.28" *) 2'h0;
+  assign _356_ = res_modes_6 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:388.9-388.28" *) 2'h0;
+  assign _357_ = res_modes_5 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:389.9-389.28" *) 2'h0;
+  assign _358_ = res_modes_4 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:390.9-390.28" *) 2'h0;
+  assign _359_ = res_modes_3 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:391.9-391.28" *) 2'h0;
+  assign _360_ = res_modes_2 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:392.9-392.28" *) 2'h0;
+  assign _361_ = res_modes_1 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:393.9-393.28" *) 2'h0;
+  assign _362_ = res_modes_0 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:394.9-394.28" *) 2'h0;
+  assign _363_ = res_modes_15 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.9-398.29" *) 2'h0;
+  assign _364_ = res_modes_14 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.9-400.29" *) 2'h0;
+  assign _365_ = res_modes_13 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.9-402.29" *) 2'h0;
+  assign _366_ = res_modes_12 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.9-404.29" *) 2'h0;
+  assign _367_ = res_modes_11 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.9-406.29" *) 2'h0;
+  assign _368_ = res_modes_10 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.9-408.29" *) 2'h0;
+  assign _369_ = res_modes_9 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.9-410.28" *) 2'h0;
+  assign _370_ = res_modes_8 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.9-412.28" *) 2'h0;
+  assign _371_ = res_modes_7 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.9-414.28" *) 2'h0;
+  assign _372_ = res_modes_6 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.9-416.28" *) 2'h0;
+  assign _373_ = res_modes_5 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.9-418.28" *) 2'h0;
+  assign _374_ = res_modes_4 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.9-420.28" *) 2'h0;
+  assign _375_ = res_modes_3 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.9-422.28" *) 2'h0;
+  assign _376_ = res_modes_2 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.9-424.28" *) 2'h0;
+  assign _377_ = res_modes_1 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.9-426.28" *) 2'h0;
+  assign _378_ = res_modes_0 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.9-428.28" *) 2'h0;
+  assign _379_ = res_bus_inner_fReq_rv[68:37] != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:436.3-436.40" *) 32'd4;
+  assign _380_ = _308_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:370.7-375.11" *) 32'd0 : _381_;
+  assign _381_ = \res_bus_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:373.10-375.10" *) \res_bus_inner_outgoing$wget [31:0] : 32'd0;
+  assign _382_ = _075_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:434.8-438.23" *) _309_ : _311_;
+  assign _383_ = _312_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:462.7-467.11" *) 32'd0 : _384_;
+  assign _384_ = \res_bus_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:465.10-467.10" *) \res_bus_inner_outgoing$wget [31:0] : 32'd0;
+  assign _385_ = \res_fNewCtl0_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:564.7-566.24" *) \res_fNewCtl0_rv$port0__write_1  : res_fNewCtl0_rv;
+  assign _386_ = \res_fNewCtl0_rv$port1__read [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:568.7-570.36" *) 33'h0aaaaaaaa : \res_fNewCtl0_rv$port1__read ;
+  assign _387_ = \res_fNewCtl1_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:576.7-578.24" *) \res_fNewCtl0_rv$port0__write_1  : res_fNewCtl1_rv;
+  assign _388_ = \res_fNewCtl1_rv$port1__read [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:580.7-582.36" *) 33'h0aaaaaaaa : \res_fNewCtl1_rv$port1__read ;
+  assign _389_ = WILL_FIRE_RL_res_wbRequest ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:584.7-586.30" *) 70'h0aaaaaaaaaaaaaaaaa : res_bus_inner_fReq_rv;
+  assign _390_ = \MUX_res_bus_inner_pending$write_1__SEL_2  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:590.7-592.42" *) \res_bus_inner_fReq_rv$port1__write_1  : \res_bus_inner_fReq_rv$port1__read ;
+  assign _391_ = WILL_FIRE_RL_res_wbRequest ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:598.7-600.30" *) \res_bus_inner_fRes_rv$port0__write_1  : res_bus_inner_fRes_rv;
+  assign _392_ = WILL_FIRE_RL_res_bus_inner_process_outgoing ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:602.7-604.42" *) 34'h0aaaaaaaa : \res_bus_inner_fRes_rv$port1__read ;
+  assign _393_ = _288_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:846.7-849.14" *) result__h24228 : 16'h0000;
+  assign _394_ = in[0] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:857.27-857.48" *) 16'h0001 : 16'h0000;
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$360 ) begin end
+    _001_ = _042_;
+    (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:892.5-934.12" *)
+    casez (res_bus_inner_fReq_rv[68:37])
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:0.0-0.0" */
+      32'd0:
+          _042_ = { res_controls_7, res_modes_7, res_controls_6, res_modes_6, res_controls_5, res_modes_5, res_controls_4, res_modes_4, res_controls_3, res_modes_3, res_controls_2, res_modes_2, res_controls_1, res_modes_1, res_controls_0, res_modes_0 };
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:0.0-0.0" */
+      32'd4:
+          _042_ = { res_controls_15, res_modes_15, res_controls_14, res_modes_14, res_controls_13, res_modes_13, res_controls_12, res_modes_12, res_controls_11, res_modes_11, res_controls_10, res_modes_10, res_controls_9, res_modes_9, res_controls_8, res_modes_8 };
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:0.0-0.0" */
+      32'd8:
+          _042_ = stat__h19437;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:0.0-0.0" */
+      default:
+          _042_ = octl__h19407;
+    endcase
+  end
+  always @* begin
+      IF_res_bus_inner_fReq_rv_port0__read__89_BITS__ETC___d452 <= _001_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$360 ) begin end
+    _000_ = _041_;
+    (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:938.5-945.12" *)
+    casez (res_bus_inner_fReq_rv[68:37])
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:0.0-0.0" */
+      32'd0, 32'd4, 32'd8:
+          _041_ = _306_;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:0.0-0.0" */
+      default:
+          _041_ = _289_;
+    endcase
+  end
+  always @* begin
+      CASE_res_bus_inner_fReq_rv_BITS_68_TO_37_0_NOT_ETC__q1 <= _000_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$360 ) begin end
+    _002_ = res_bus_inner_fReq_rv;
+    _003_ = res_bus_inner_fRes_rv;
+    _004_ = res_bus_inner_pending;
+    _005_ = res_controls_0;
+    _012_ = res_controls_1;
+    _006_ = res_controls_10;
+    _007_ = res_controls_11;
+    _008_ = res_controls_12;
+    _009_ = res_controls_13;
+    _010_ = res_controls_14;
+    _011_ = res_controls_15;
+    _013_ = res_controls_2;
+    _014_ = res_controls_3;
+    _015_ = res_controls_4;
+    _016_ = res_controls_5;
+    _017_ = res_controls_6;
+    _018_ = res_controls_7;
+    _019_ = res_controls_8;
+    _020_ = res_controls_9;
+    _021_ = res_fNewCtl0_rv;
+    _022_ = res_fNewCtl1_rv;
+    _023_ = res_modes_0;
+    _030_ = res_modes_1;
+    _024_ = res_modes_10;
+    _025_ = res_modes_11;
+    _026_ = res_modes_12;
+    _027_ = res_modes_13;
+    _028_ = res_modes_14;
+    _029_ = res_modes_15;
+    _031_ = res_modes_2;
+    _032_ = res_modes_3;
+    _033_ = res_modes_4;
+    _034_ = res_modes_5;
+    _035_ = res_modes_6;
+    _036_ = res_modes_7;
+    _037_ = res_modes_8;
+    _038_ = res_modes_9;
+    _039_ = res_registeredOutputs;
+    _040_ = res_sampledInputs;
+    (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:952.5-1078.10" *)
+    casez (_176_)
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:952.9-952.22" */
+      1'h1:
+        begin
+          _002_ = 70'h0aaaaaaaaaaaaaaaaa;
+          _003_ = 34'h0aaaaaaaa;
+          _004_ = 1'h0;
+          _005_ = 2'h1;
+          _012_ = 2'h1;
+          _006_ = 2'h1;
+          _007_ = 2'h1;
+          _008_ = 2'h1;
+          _009_ = 2'h1;
+          _010_ = 2'h1;
+          _011_ = 2'h1;
+          _013_ = 2'h1;
+          _014_ = 2'h1;
+          _015_ = 2'h1;
+          _016_ = 2'h1;
+          _017_ = 2'h1;
+          _018_ = 2'h1;
+          _019_ = 2'h1;
+          _020_ = 2'h1;
+          _021_ = 33'h0aaaaaaaa;
+          _022_ = 33'h0aaaaaaaa;
+          _023_ = 2'h0;
+          _030_ = 2'h0;
+          _024_ = 2'h0;
+          _025_ = 2'h0;
+          _026_ = 2'h0;
+          _027_ = 2'h0;
+          _028_ = 2'h0;
+          _029_ = 2'h0;
+          _031_ = 2'h0;
+          _032_ = 2'h0;
+          _033_ = 2'h0;
+          _034_ = 2'h0;
+          _035_ = 2'h0;
+          _036_ = 2'h0;
+          _037_ = 2'h0;
+          _038_ = 2'h0;
+          _039_ = 16'h0000;
+          _040_ = 16'h0000;
+        end
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:994.5-994.9" */
+      default:
+        begin
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:996.9-998.35" *)
+          casez (\res_bus_inner_fReq_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:996.13-996.37" */
+            1'h1:
+                _002_ = \res_bus_inner_fReq_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:999.2-1001.35" *)
+          casez (\res_bus_inner_fRes_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:999.6-999.30" */
+            1'h1:
+                _003_ = \res_bus_inner_fRes_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1002.2-1004.35" *)
+          casez (\res_bus_inner_pending$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1002.6-1002.30" */
+            1'h1:
+                _004_ = \res_bus_inner_pending$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1005.2-1006.43" *)
+          casez (\res_controls_0$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1005.6-1005.23" */
+            1'h1:
+                _005_ = \res_controls_0$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1007.2-1008.43" *)
+          casez (\res_controls_1$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1007.6-1007.23" */
+            1'h1:
+                _012_ = \res_controls_1$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1009.2-1010.45" *)
+          casez (\res_controls_10$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1009.6-1009.24" */
+            1'h1:
+                _006_ = \res_controls_10$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1011.2-1012.45" *)
+          casez (\res_controls_11$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1011.6-1011.24" */
+            1'h1:
+                _007_ = \res_controls_11$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1013.2-1014.45" *)
+          casez (\res_controls_12$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1013.6-1013.24" */
+            1'h1:
+                _008_ = \res_controls_12$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1015.2-1016.45" *)
+          casez (\res_controls_13$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1015.6-1015.24" */
+            1'h1:
+                _009_ = \res_controls_13$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1017.2-1018.45" *)
+          casez (\res_controls_14$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1017.6-1017.24" */
+            1'h1:
+                _010_ = \res_controls_14$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1019.2-1020.45" *)
+          casez (\res_controls_15$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1019.6-1019.24" */
+            1'h1:
+                _011_ = \res_controls_15$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1021.2-1022.43" *)
+          casez (\res_controls_2$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1021.6-1021.23" */
+            1'h1:
+                _013_ = \res_controls_2$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1023.2-1024.43" *)
+          casez (\res_controls_3$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1023.6-1023.23" */
+            1'h1:
+                _014_ = \res_controls_3$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1025.2-1026.43" *)
+          casez (\res_controls_4$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1025.6-1025.23" */
+            1'h1:
+                _015_ = \res_controls_4$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1027.2-1028.43" *)
+          casez (\res_controls_5$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1027.6-1027.23" */
+            1'h1:
+                _016_ = \res_controls_5$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1029.2-1030.43" *)
+          casez (\res_controls_6$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1029.6-1029.23" */
+            1'h1:
+                _017_ = \res_controls_6$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1031.2-1032.43" *)
+          casez (\res_controls_7$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1031.6-1031.23" */
+            1'h1:
+                _018_ = \res_controls_7$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1033.2-1034.43" *)
+          casez (\res_controls_8$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1033.6-1033.23" */
+            1'h1:
+                _019_ = \res_controls_8$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1035.2-1036.43" *)
+          casez (\res_controls_9$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1035.6-1035.23" */
+            1'h1:
+                _020_ = \res_controls_9$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1037.2-1038.45" *)
+          casez (\res_fNewCtl0_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1037.6-1037.24" */
+            1'h1:
+                _021_ = \res_fNewCtl0_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1039.2-1040.45" *)
+          casez (\res_fNewCtl1_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1039.6-1039.24" */
+            1'h1:
+                _022_ = \res_fNewCtl1_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1041.2-1042.37" *)
+          casez (\res_modes_0$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1041.6-1041.20" */
+            1'h1:
+                _023_ = \res_modes_0$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1043.2-1044.37" *)
+          casez (\res_modes_1$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1043.6-1043.20" */
+            1'h1:
+                _030_ = \res_modes_1$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1045.2-1046.39" *)
+          casez (\res_modes_10$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1045.6-1045.21" */
+            1'h1:
+                _024_ = \res_modes_10$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1047.2-1048.39" *)
+          casez (\res_modes_11$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1047.6-1047.21" */
+            1'h1:
+                _025_ = \res_modes_11$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1049.2-1050.39" *)
+          casez (\res_modes_12$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1049.6-1049.21" */
+            1'h1:
+                _026_ = \res_modes_12$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1051.2-1052.39" *)
+          casez (\res_modes_13$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1051.6-1051.21" */
+            1'h1:
+                _027_ = \res_modes_13$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1053.2-1054.39" *)
+          casez (\res_modes_14$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1053.6-1053.21" */
+            1'h1:
+                _028_ = \res_modes_14$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1055.2-1056.39" *)
+          casez (\res_modes_15$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1055.6-1055.21" */
+            1'h1:
+                _029_ = \res_modes_15$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1057.2-1058.37" *)
+          casez (\res_modes_2$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1057.6-1057.20" */
+            1'h1:
+                _031_ = \res_modes_2$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1059.2-1060.37" *)
+          casez (\res_modes_3$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1059.6-1059.20" */
+            1'h1:
+                _032_ = \res_modes_3$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1061.2-1062.37" *)
+          casez (\res_modes_4$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1061.6-1061.20" */
+            1'h1:
+                _033_ = \res_modes_4$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1063.2-1064.37" *)
+          casez (\res_modes_5$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1063.6-1063.20" */
+            1'h1:
+                _034_ = \res_modes_5$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1065.2-1066.37" *)
+          casez (\res_modes_6$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1065.6-1065.20" */
+            1'h1:
+                _035_ = \res_modes_6$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1067.2-1068.37" *)
+          casez (\res_modes_7$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1067.6-1067.20" */
+            1'h1:
+                _036_ = \res_modes_7$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1069.2-1070.37" *)
+          casez (\res_modes_8$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1069.6-1069.20" */
+            1'h1:
+                _037_ = \res_modes_8$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1071.2-1072.37" *)
+          casez (\res_modes_9$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1071.6-1071.20" */
+            1'h1:
+                _038_ = \res_modes_9$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1073.2-1075.35" *)
+          casez (\res_registeredOutputs$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1073.6-1073.30" */
+            1'h1:
+                _039_ = \res_registeredOutputs$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1076.2-1077.49" *)
+          casez (\res_sampledInputs$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1076.6-1076.26" */
+            1'h1:
+                _040_ = \res_sampledInputs$D_IN ;
+            default:
+                /* empty */;
+          endcase
+        end
+    endcase
+  end
+  always @(posedge CLK) begin
+      res_bus_inner_fReq_rv <= _002_;
+      res_bus_inner_fRes_rv <= _003_;
+      res_bus_inner_pending <= _004_;
+      res_controls_0 <= _005_;
+      res_controls_1 <= _012_;
+      res_controls_10 <= _006_;
+      res_controls_11 <= _007_;
+      res_controls_12 <= _008_;
+      res_controls_13 <= _009_;
+      res_controls_14 <= _010_;
+      res_controls_15 <= _011_;
+      res_controls_2 <= _013_;
+      res_controls_3 <= _014_;
+      res_controls_4 <= _015_;
+      res_controls_5 <= _016_;
+      res_controls_6 <= _017_;
+      res_controls_7 <= _018_;
+      res_controls_8 <= _019_;
+      res_controls_9 <= _020_;
+      res_fNewCtl0_rv <= _021_;
+      res_fNewCtl1_rv <= _022_;
+      res_modes_0 <= _023_;
+      res_modes_1 <= _030_;
+      res_modes_10 <= _024_;
+      res_modes_11 <= _025_;
+      res_modes_12 <= _026_;
+      res_modes_13 <= _027_;
+      res_modes_14 <= _028_;
+      res_modes_15 <= _029_;
+      res_modes_2 <= _031_;
+      res_modes_3 <= _032_;
+      res_modes_4 <= _033_;
+      res_modes_5 <= _034_;
+      res_modes_6 <= _035_;
+      res_modes_7 <= _036_;
+      res_modes_8 <= _037_;
+      res_modes_9 <= _038_;
+      res_registeredOutputs <= _039_;
+      res_sampledInputs <= _040_;
+  end
+  assign slave_ack_o = _177_;
+  assign slave_err_o = 1'h0;
+  assign slave_rty_o = 1'h0;
+  assign slave_dat_o = _380_;
+  assign oe = { _178_, _179_, _180_, _181_, _182_, _183_, _184_, _185_, _186_, _187_, _188_, _189_, _190_, _191_, _192_, _193_ };
+  assign out = { _195_, _197_, _199_, _201_, _203_, _205_, _207_, _209_, _211_, _213_, _215_, _217_, _219_, _221_, _223_, _225_ };
+  assign WILL_FIRE_RL_res_wbRequest = _227_;
+  assign WILL_FIRE_RL_res_bus_inner_process_outgoing = _228_;
+  assign \MUX_res_bus_inner_pending$write_1__SEL_2  = _230_;
+  assign \res_bus_inner_probeAck$PROBE  = _231_;
+  assign \res_bus_inner_probeAck$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_probeAdr$PROBE  = slave_adr_i;
+  assign \res_bus_inner_probeAdr$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_probeCyc$PROBE  = slave_cyc_i;
+  assign \res_bus_inner_probeCyc$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_probeDataIn$PROBE  = slave_dat_i;
+  assign \res_bus_inner_probeDataIn$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_probeDataOut$PROBE  = _383_;
+  assign \res_bus_inner_probeDataOut$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_probeSel$PROBE  = slave_sel_i;
+  assign \res_bus_inner_probeSel$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_probeStb$PROBE  = slave_stb_i;
+  assign \res_bus_inner_probeStb$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_probeWe$PROBE  = slave_we_i;
+  assign \res_bus_inner_probeWe$PROBE_VALID  = 1'h1;
+  assign \res_probeControls$PROBE  = { res_controls_15, res_controls_14, res_controls_13, res_controls_12, res_controls_11, res_controls_10, res_controls_9, res_controls_8, res_controls_7, res_controls_6, res_controls_5, res_controls_4, res_controls_3, res_controls_2, res_controls_1, res_controls_0 };
+  assign \res_probeControls$PROBE_VALID  = 1'h1;
+  assign \res_probeIsInput$PROBE  = { _232_, _233_, _234_, _235_, _236_, _237_, _238_, _239_, _240_, _241_, _242_, _243_, _244_, _245_, _246_, _247_ };
+  assign \res_probeIsInput$PROBE_VALID  = 1'h1;
+  assign \res_probeIsOutput$PROBE  = oe;
+  assign \res_probeIsOutput$PROBE_VALID  = 1'h1;
+  assign \res_probeModes$PROBE  = { res_modes_15, res_modes_14, res_modes_13, res_modes_12, res_modes_11, res_modes_10, res_modes_9, res_modes_8, res_modes_7, res_modes_6, res_modes_5, res_modes_4, res_modes_3, res_modes_2, res_modes_1, res_modes_0 };
+  assign \res_probeModes$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_incoming$wget  = { slave_adr_i, slave_we_i, slave_dat_i, slave_sel_i };
+  assign \res_bus_inner_incoming$whas  = _249_;
+  assign \res_bus_inner_outgoing$wget  = { 1'h1, \res_bus_inner_fRes_rv$port1__read [32:0] };
+  assign \res_fNewCtl0_rv$EN_port0__write  = _251_;
+  assign \res_fNewCtl0_rv$port0__write_1  = { 1'h1, res_bus_inner_fReq_rv[35:4] };
+  assign \res_fNewCtl0_rv$port1__read  = _385_;
+  assign \res_fNewCtl0_rv$port2__read  = _386_;
+  assign \res_fNewCtl1_rv$EN_port0__write  = _253_;
+  assign \res_fNewCtl1_rv$port1__read  = _387_;
+  assign \res_fNewCtl1_rv$port2__read  = _388_;
+  assign \res_bus_inner_fReq_rv$port1__read  = _389_;
+  assign \res_bus_inner_fReq_rv$port1__write_1  = { 1'h1, \res_bus_inner_incoming$wget  };
+  assign \res_bus_inner_fReq_rv$port2__read  = _390_;
+  assign \res_bus_inner_fRes_rv$port0__write_1  = { 1'h1, CASE_res_bus_inner_fReq_rv_BITS_68_TO_37_0_NOT_ETC__q1, IF_res_bus_inner_fReq_rv_port0__read__89_BITS__ETC___d452 };
+  assign \res_bus_inner_fRes_rv$port1__read  = _391_;
+  assign \res_bus_inner_fRes_rv$port2__read  = _392_;
+  assign \res_bus_inner_fReq_rv$D_IN  = \res_bus_inner_fReq_rv$port2__read ;
+  assign \res_bus_inner_fReq_rv$EN  = 1'h1;
+  assign \res_bus_inner_fRes_rv$D_IN  = \res_bus_inner_fRes_rv$port2__read ;
+  assign \res_bus_inner_fRes_rv$EN  = 1'h1;
+  assign \res_bus_inner_pending$D_IN  = _303_;
+  assign \res_bus_inner_pending$EN  = _330_;
+  assign \res_controls_0$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[3:2];
+  assign \res_controls_0$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_controls_1$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[7:6];
+  assign \res_controls_1$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_controls_10$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[11:10];
+  assign \res_controls_10$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_controls_11$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[15:14];
+  assign \res_controls_11$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_controls_12$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[19:18];
+  assign \res_controls_12$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_controls_13$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[23:22];
+  assign \res_controls_13$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_controls_14$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[27:26];
+  assign \res_controls_14$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_controls_15$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[31:30];
+  assign \res_controls_15$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_controls_2$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[11:10];
+  assign \res_controls_2$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_controls_3$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[15:14];
+  assign \res_controls_3$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_controls_4$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[19:18];
+  assign \res_controls_4$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_controls_5$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[23:22];
+  assign \res_controls_5$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_controls_6$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[27:26];
+  assign \res_controls_6$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_controls_7$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[31:30];
+  assign \res_controls_7$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_controls_8$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[3:2];
+  assign \res_controls_8$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_controls_9$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[7:6];
+  assign \res_controls_9$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_fNewCtl0_rv$D_IN  = \res_fNewCtl0_rv$port2__read ;
+  assign \res_fNewCtl0_rv$EN  = 1'h1;
+  assign \res_fNewCtl1_rv$D_IN  = \res_fNewCtl1_rv$port2__read ;
+  assign \res_fNewCtl1_rv$EN  = 1'h1;
+  assign \res_modes_0$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[1:0];
+  assign \res_modes_0$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_modes_1$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[5:4];
+  assign \res_modes_1$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_modes_10$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[9:8];
+  assign \res_modes_10$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_modes_11$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[13:12];
+  assign \res_modes_11$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_modes_12$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[17:16];
+  assign \res_modes_12$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_modes_13$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[21:20];
+  assign \res_modes_13$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_modes_14$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[25:24];
+  assign \res_modes_14$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_modes_15$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[29:28];
+  assign \res_modes_15$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_modes_2$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[9:8];
+  assign \res_modes_2$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_modes_3$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[13:12];
+  assign \res_modes_3$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_modes_4$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[17:16];
+  assign \res_modes_4$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_modes_5$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[21:20];
+  assign \res_modes_5$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_modes_6$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[25:24];
+  assign \res_modes_6$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_modes_7$D_IN  = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[29:28];
+  assign \res_modes_7$EN  = \res_fNewCtl0_rv$port1__read [32];
+  assign \res_modes_8$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[1:0];
+  assign \res_modes_8$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_modes_9$D_IN  = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[5:4];
+  assign \res_modes_9$EN  = \res_fNewCtl1_rv$port1__read [32];
+  assign \res_registeredOutputs$D_IN  = res_bus_inner_fReq_rv_BITS_35_TO_4__q4[15:0];
+  assign \res_registeredOutputs$EN  = _257_;
+  assign \res_sampledInputs$D_IN  = { _259_, _261_, _263_, _265_, _267_, _269_, _271_, _273_, _275_, _277_, _279_, _281_, _283_, _285_, _287_, IF_res_modes_0_3_EQ_0_58_AND_res_controls_0_7__ETC___d536[0] };
+  assign \res_sampledInputs$EN  = 1'h1;
+  assign IF_res_modes_0_3_EQ_0_58_AND_res_controls_0_7__ETC___d536 = _393_;
+  assign octl__h19407 = { 16'h0000, res_registeredOutputs };
+  assign res_bus_inner_fReq_rv_BITS_35_TO_4__q4 = res_bus_inner_fReq_rv[35:4];
+  assign res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2 = \res_fNewCtl0_rv$port1__read [31:0];
+  assign res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3 = \res_fNewCtl1_rv$port1__read [31:0];
+  assign result__h24228 = _394_;
+  assign stat__h19437 = { 16'h0000, res_sampledInputs };
+endmodule
+
diff --git a/verilog/rtl/mkQF100SPI.v b/verilog/rtl/mkQF100SPI.v
index 7a48c8a..bdc76a9 100644
--- a/verilog/rtl/mkQF100SPI.v
+++ b/verilog/rtl/mkQF100SPI.v
@@ -13,7 +13,7 @@
     inout VPWR;
     inout VGND;
 `endif
-  reg \$auto$verilog_backend.cc:2083:dump_module$204  = 0;
+  reg \$auto$verilog_backend.cc:2083:dump_module$205  = 0;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:710.3-722.6" *)
   reg [8:0] _000_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
@@ -110,350 +110,352 @@
   wire _046_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-328.30" *)
   wire _047_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-328.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-328.47" *)
   wire _048_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-329.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-328.68" *)
   wire _049_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.7-333.30" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-329.35" *)
   wire _050_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.7-333.58" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.7-333.30" *)
   wire _051_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-338.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.7-333.58" *)
   wire _052_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-339.63" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-338.25" *)
   wire _053_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-340.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-339.63" *)
   wire _054_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-344.30" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-340.34" *)
   wire _055_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-344.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-344.30" *)
   wire _056_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-345.20" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-344.53" *)
   wire _057_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-346.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-345.20" *)
   wire _058_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.7-350.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-346.34" *)
   wire _059_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.7-355.20" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.7-350.62" *)
   wire _060_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:359.7-360.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.7-355.20" *)
   wire _061_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:359.7-361.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:359.7-360.25" *)
   wire _062_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-365.30" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:359.7-361.64" *)
   wire _063_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-365.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-365.30" *)
   wire _064_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-366.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-365.52" *)
   wire _065_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:370.7-370.69" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-366.25" *)
   wire _066_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-375.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:370.7-370.69" *)
   wire _067_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-376.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-375.34" *)
   wire _068_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.7-380.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-376.29" *)
   wire _069_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-383.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.7-380.60" *)
   wire _070_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-384.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-383.51" *)
   wire _071_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-385.16" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-384.32" *)
   wire _072_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-386.17" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-385.16" *)
   wire _073_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-388.47" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-386.17" *)
   wire _074_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-388.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-388.47" *)
   wire _075_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-389.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-388.61" *)
   wire _076_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:391.7-392.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-389.25" *)
   wire _077_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:405.7-406.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:391.7-392.51" *)
   wire _078_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.7-433.30" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:405.7-406.38" *)
   wire _079_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.7-433.58" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.7-433.30" *)
   wire _080_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:440.7-440.30" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.7-433.58" *)
   wire _081_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:440.7-441.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:440.7-440.30" *)
   wire _082_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:452.7-452.33" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:440.7-441.64" *)
   wire _083_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:452.7-454.41" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:452.7-452.33" *)
   wire _084_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:479.7-481.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:452.7-454.41" *)
   wire _085_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:479.7-480.44" *)
   wire _086_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:498.7-499.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:479.7-481.32" *)
   wire _087_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:498.7-500.33" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:498.7-499.51" *)
   wire _088_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:504.7-504.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:498.7-500.33" *)
   wire _089_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-528.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:504.7-504.66" *)
   wire _090_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-529.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-528.34" *)
   wire _091_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-529.29" *)
   wire _092_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.37" *)
   wire _093_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-547.24" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.51" *)
   wire _094_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.7-555.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-547.24" *)
   wire _095_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:556.7-557.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.7-555.60" *)
   wire _096_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:556.7-558.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:556.7-557.51" *)
   wire _097_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-568.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:556.7-558.32" *)
   wire _098_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-569.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-568.51" *)
   wire _099_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-570.16" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-569.32" *)
   wire _100_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-571.17" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-570.16" *)
   wire _101_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.7-597.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-571.17" *)
   wire _102_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-598.47" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.7-597.60" *)
   wire _103_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-598.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-598.47" *)
   wire _104_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-599.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-598.61" *)
   wire _105_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:604.7-605.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-599.25" *)
   wire _106_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.7-606.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:604.7-605.51" *)
   wire _107_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.7-607.15" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.7-606.60" *)
   wire _108_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:673.4-674.30" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.7-607.15" *)
   wire _109_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-697.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:673.4-674.30" *)
   wire _110_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-697.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-697.37" *)
   wire _111_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-698.24" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-697.51" *)
   wire _112_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-700.30" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-698.24" *)
   wire _113_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-700.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-700.30" *)
   wire _114_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-701.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-700.51" *)
   wire _115_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.8-314.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-701.36" *)
   wire _116_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:315.8-315.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.8-314.52" *)
   wire _117_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.35-333.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:315.8-315.40" *)
   wire _118_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:340.7-340.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.35-333.44" *)
   wire _119_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.34-344.53" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:340.7-340.34" *)
   wire _120_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:346.7-346.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.34-344.53" *)
   wire _121_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.36-350.62" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:346.7-346.34" *)
   wire _122_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.3-352.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.36-350.62" *)
   wire _123_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.33-352.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.3-352.29" *)
   wire _124_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:355.3-355.19" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.33-352.54" *)
   wire _125_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:361.7-361.64" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:355.3-355.19" *)
   wire _126_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:366.7-366.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:361.7-361.64" *)
   wire _127_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-374.45" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:366.7-366.25" *)
   wire _128_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:376.7-376.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-374.45" *)
   wire _129_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.36-380.45" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:376.7-376.29" *)
   wire _130_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.49-380.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.36-380.45" *)
   wire _131_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:389.7-389.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.49-380.59" *)
   wire _132_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.8-415.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:389.7-389.25" *)
   wire _133_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:416.8-416.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.8-415.52" *)
   wire _134_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.35-433.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:416.8-416.40" *)
   wire _135_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:453.8-453.52" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.35-433.44" *)
   wire _136_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:454.8-454.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:453.8-453.52" *)
   wire _137_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:500.7-500.33" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:454.8-454.40" *)
   wire _138_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:504.7-504.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:500.7-500.33" *)
   wire _139_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:524.7-524.51" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:504.7-504.35" *)
   wire _140_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-527.45" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:524.7-524.51" *)
   wire _141_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:529.7-529.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-527.45" *)
   wire _142_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.36-555.45" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:529.7-529.29" *)
   wire _143_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.49-555.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.36-555.45" *)
   wire _144_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.36-597.45" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.49-555.59" *)
   wire _145_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.49-597.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.36-597.45" *)
   wire _146_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:599.7-599.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.49-597.59" *)
   wire _147_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:602.29-602.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:599.7-599.25" *)
   wire _148_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.36-606.45" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:602.29-602.60" *)
   wire _149_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.49-606.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.36-606.45" *)
   wire _150_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:659.11-659.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.49-606.59" *)
   wire _151_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:674.4-674.30" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:659.11-659.37" *)
   wire _152_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.8-688.18" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:674.4-674.30" *)
   wire _153_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.22-688.33" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.8-688.18" *)
   wire _154_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:708.21-708.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.22-688.33" *)
   wire _155_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.8-315.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:708.21-708.35" *)
   wire _156_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.35-333.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.8-315.40" *)
   wire _157_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.3-352.54" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.35-333.57" *)
   wire _158_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-354.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.3-352.54" *)
   wire _159_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-355.19" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-354.28" *)
   wire _160_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:378.7-378.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-355.19" *)
   wire _161_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.36-380.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:378.7-378.61" *)
   wire _162_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.8-416.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.36-380.59" *)
   wire _163_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.35-433.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.8-416.40" *)
   wire _164_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:453.8-454.40" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.35-433.57" *)
   wire _165_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:502.7-502.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:453.8-454.40" *)
   wire _166_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:526.7-529.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:502.7-502.57" *)
   wire _167_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:545.7-545.61" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:526.7-529.29" *)
   wire _168_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:545.7-547.24" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:545.7-545.61" *)
   wire _169_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.36-555.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:545.7-547.24" *)
   wire _170_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.7-558.32" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.36-555.59" *)
   wire _171_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-572.37" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.7-558.32" *)
   wire _172_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.36-597.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-572.37" *)
   wire _173_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.7-599.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.36-597.59" *)
   wire _174_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:604.7-607.15" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.7-599.25" *)
   wire _175_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.36-606.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:604.7-607.15" *)
   wire _176_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:623.7-623.59" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.36-606.59" *)
   wire _177_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:623.7-624.33" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:623.7-623.59" *)
   wire _178_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:632.7-633.33" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:623.7-624.33" *)
   wire _179_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:641.7-641.65" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:632.7-633.33" *)
   wire _180_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:672.11-674.30" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:641.7-641.65" *)
   wire _181_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.8-688.33" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:672.11-674.30" *)
   wire _182_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:705.32-705.60" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.8-688.33" *)
   wire _183_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-353.47" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:705.32-705.60" *)
   wire _184_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.24" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-353.47" *)
   wire _185_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:704.25-704.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.24" *)
   wire _186_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:704.25-704.34" *)
+  wire _187_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:709.21-709.30" *)
-  wire [15:0] _187_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:399.9-399.34" *)
   wire [15:0] _188_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:399.9-399.34" *)
+  wire [15:0] _189_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:0.0-0.0" *)
-  wire _189_;
+  wire _190_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:707.21-707.48" *)
-  wire [15:0] _190_;
+  wire [15:0] _191_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:394.7-394.70" *)
-  wire [8:0] _191_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:395.41-395.57" *)
   wire [8:0] _192_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:395.41-395.57" *)
+  wire [8:0] _193_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:703.7-703.63" *)
-  wire [3:0] _193_;
+  wire [3:0] _194_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.7-319.11" *)
-  wire [31:0] _194_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:317.10-319.10" *)
   wire [31:0] _195_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:317.10-319.10" *)
+  wire [31:0] _196_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:351.8-355.19" *)
-  wire _196_;
+  wire _197_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:398.7-400.34" *)
-  wire [15:0] _197_;
+  wire [15:0] _198_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.7-420.11" *)
-  wire [31:0] _198_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:418.10-420.10" *)
   wire [31:0] _199_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:418.10-420.10" *)
+  wire [31:0] _200_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:458.7-460.30" *)
-  wire [69:0] _200_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:464.7-466.42" *)
   wire [69:0] _201_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:464.7-466.42" *)
+  wire [69:0] _202_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:471.7-473.30" *)
-  wire [33:0] _202_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:475.7-477.42" *)
   wire [33:0] _203_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:475.7-477.42" *)
+  wire [33:0] _204_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:490.7-492.25" *)
-  wire [32:0] _204_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:494.7-496.37" *)
   wire [32:0] _205_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:494.7-496.37" *)
+  wire [32:0] _206_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:541.7-543.37" *)
-  wire [8:0] _206_;
+  wire [8:0] _207_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:551.7-553.53" *)
-  wire [15:0] _207_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:628.7-630.14" *)
   wire [15:0] _208_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:628.7-630.14" *)
+  wire [15:0] _209_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:637.7-639.42" *)
-  wire [16:0] _209_;
+  wire [16:0] _210_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:649.7-656.28" *)
-  wire [31:0] _210_;
+  wire [31:0] _211_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:658.7-675.63" *)
-  wire [32:0] _211_;
+  wire [32:0] _212_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:676.47-676.70" *)
-  wire [3:0] _212_;
+  wire [3:0] _213_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:678.7-680.17" *)
-  wire _213_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:679.10-679.44" *)
   wire _214_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:679.10-679.44" *)
+  wire _215_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:682.7-684.13" *)
-  wire [3:0] _215_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:683.10-683.57" *)
   wire [3:0] _216_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.7-690.66" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:683.10-683.57" *)
   wire [3:0] _217_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:706.21-706.67" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.7-690.66" *)
   wire [3:0] _218_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:706.21-706.67" *)
+  wire [3:0] _219_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:705.21-705.60" *)
-  wire _219_;
+  wire _220_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:68.10-68.13" *)
   input CLK;
   wire CLK;
@@ -824,181 +826,182 @@
   assign _045_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:323.7-323.30" *) res_mstmod;
   assign _046_ = _045_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:323.7-324.64" *) IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_ckpl_6_ETC___d194;
   assign _047_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-328.30" *) res_mstmod;
-  assign _048_ = _047_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-328.51" *) res_sendingBit[4];
-  assign _049_ = _048_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-329.35" *) _189_;
-  assign _050_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.7-333.30" *) res_mstmod;
-  assign _051_ = _050_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.7-333.58" *) _157_;
-  assign _052_ = res_clock_8_EQ_0_9_AND_res_spien_1_AND_res_mst_ETC___d40 && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-338.25" *) res_shiftregTx[16];
-  assign _053_ = _052_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-339.63" *) IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_sendin_ETC___d49;
-  assign _054_ = _053_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-340.34" *) _119_;
-  assign _055_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-344.30" *) res_mstmod;
-  assign _056_ = _055_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-344.53" *) _120_;
-  assign _057_ = _056_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-345.20" *) res_dataValid;
-  assign _058_ = _057_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-346.34" *) _121_;
-  assign _059_ = res_bus_inner_fReq_rv[69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.7-350.62" *) _122_;
-  assign _060_ = _059_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.7-355.20" *) _196_;
-  assign _061_ = res_clock_8_EQ_0_9_AND_res_spien_1_AND_res_mst_ETC___d40 && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:359.7-360.25" *) res_shiftregTx[16];
-  assign _062_ = _061_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:359.7-361.64" *) _126_;
-  assign _063_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-365.30" *) res_mstmod;
-  assign _064_ = _063_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-365.52" *) res_shiftregTx[16];
-  assign _065_ = _064_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-366.25" *) _127_;
-  assign _066_ = \res_bus_inner_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:370.7-370.69" *) res_bus_inner_pending;
-  assign _067_ = _128_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-375.34" *) \res_bus_inner_incoming$whas ;
-  assign _068_ = _067_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-376.29" *) _129_;
-  assign _069_ = WILL_FIRE_RL_res_lastBit && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.7-380.60" *) _162_;
-  assign _070_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-383.51" *) _029_;
-  assign _071_ = _070_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-384.32" *) res_bus_inner_fReq_rv[36];
-  assign _072_ = _071_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-385.16" *) res_spien;
-  assign _073_ = _072_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-386.17" *) res_mstmod;
-  assign _074_ = \res_dataRead_rv$port1__read  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-388.47" *) res_spien;
-  assign _075_ = _074_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-388.61" *) res_mstmod;
-  assign _076_ = _075_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-389.25" *) _132_;
-  assign _077_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:391.7-392.51" *) _030_;
-  assign _078_ = WILL_FIRE_RL_res_bus_inner_process_outgoing && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:405.7-406.38" *) \res_bus_inner_outgoing$wget [33];
-  assign _079_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.7-433.30" *) res_mstmod;
-  assign _080_ = _079_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.7-433.58" *) _164_;
-  assign _081_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:440.7-440.30" *) res_mstmod;
-  assign _082_ = _081_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:440.7-441.64" *) IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_ckpl_6_ETC___d194;
-  assign _083_ = slave_cyc_i && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:452.7-452.33" *) slave_stb_i;
-  assign _084_ = _083_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:452.7-454.41" *) _165_;
-  assign _085_ = _086_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:479.7-481.32" *) res_bus_inner_fReq_rv[36];
+  assign _048_ = _047_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-328.47" *) res_dataValid;
+  assign _049_ = _048_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-328.68" *) res_sendingBit[4];
+  assign _050_ = _049_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-329.35" *) _190_;
+  assign _051_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.7-333.30" *) res_mstmod;
+  assign _052_ = _051_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.7-333.58" *) _158_;
+  assign _053_ = res_clock_8_EQ_0_9_AND_res_spien_1_AND_res_mst_ETC___d40 && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-338.25" *) res_shiftregTx[16];
+  assign _054_ = _053_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-339.63" *) IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_sendin_ETC___d49;
+  assign _055_ = _054_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-340.34" *) _120_;
+  assign _056_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-344.30" *) res_mstmod;
+  assign _057_ = _056_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-344.53" *) _121_;
+  assign _058_ = _057_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-345.20" *) res_dataValid;
+  assign _059_ = _058_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-346.34" *) _122_;
+  assign _060_ = res_bus_inner_fReq_rv[69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.7-350.62" *) _123_;
+  assign _061_ = _060_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.7-355.20" *) _197_;
+  assign _062_ = res_clock_8_EQ_0_9_AND_res_spien_1_AND_res_mst_ETC___d40 && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:359.7-360.25" *) res_shiftregTx[16];
+  assign _063_ = _062_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:359.7-361.64" *) _127_;
+  assign _064_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-365.30" *) res_mstmod;
+  assign _065_ = _064_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-365.52" *) res_shiftregTx[16];
+  assign _066_ = _065_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-366.25" *) _128_;
+  assign _067_ = \res_bus_inner_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:370.7-370.69" *) res_bus_inner_pending;
+  assign _068_ = _129_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-375.34" *) \res_bus_inner_incoming$whas ;
+  assign _069_ = _068_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-376.29" *) _130_;
+  assign _070_ = WILL_FIRE_RL_res_lastBit && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.7-380.60" *) _163_;
+  assign _071_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-383.51" *) _029_;
+  assign _072_ = _071_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-384.32" *) res_bus_inner_fReq_rv[36];
+  assign _073_ = _072_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-385.16" *) res_spien;
+  assign _074_ = _073_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-386.17" *) res_mstmod;
+  assign _075_ = \res_dataRead_rv$port1__read  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-388.47" *) res_spien;
+  assign _076_ = _075_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-388.61" *) res_mstmod;
+  assign _077_ = _076_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-389.25" *) _133_;
+  assign _078_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:391.7-392.51" *) _030_;
+  assign _079_ = WILL_FIRE_RL_res_bus_inner_process_outgoing && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:405.7-406.38" *) \res_bus_inner_outgoing$wget [33];
+  assign _080_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.7-433.30" *) res_mstmod;
+  assign _081_ = _080_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.7-433.58" *) _165_;
+  assign _082_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:440.7-440.30" *) res_mstmod;
+  assign _083_ = _082_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:440.7-441.64" *) IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_ckpl_6_ETC___d194;
+  assign _084_ = slave_cyc_i && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:452.7-452.33" *) slave_stb_i;
+  assign _085_ = _084_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:452.7-454.41" *) _166_;
   assign _086_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:479.7-480.44" *) _031_;
-  assign _087_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:498.7-499.51" *) _032_;
-  assign _088_ = _087_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:498.7-500.33" *) _138_;
-  assign _089_ = _139_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:504.7-504.66" *) \res_dataRead_rv$port1__read ;
-  assign _090_ = _141_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-528.34" *) \res_bus_inner_incoming$whas ;
-  assign _091_ = _090_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-529.29" *) _142_;
-  assign _092_ = _185_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.37" *) res_spien;
-  assign _093_ = _092_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.51" *) res_mstmod;
-  assign _094_ = _093_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-547.24" *) res_sendingBit[4];
-  assign _095_ = WILL_FIRE_RL_res_lastBit && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.7-555.60" *) _170_;
-  assign _096_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:556.7-557.51" *) _033_;
-  assign _097_ = _096_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:556.7-558.32" *) res_bus_inner_fReq_rv[36];
-  assign _098_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-568.51" *) _034_;
-  assign _099_ = _098_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-569.32" *) res_bus_inner_fReq_rv[36];
-  assign _100_ = _099_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-570.16" *) res_spien;
-  assign _101_ = _100_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-571.17" *) res_mstmod;
-  assign _102_ = WILL_FIRE_RL_res_lastBit && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.7-597.60" *) _173_;
-  assign _103_ = \res_dataRead_rv$port1__read  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-598.47" *) res_spien;
-  assign _104_ = _103_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-598.61" *) res_mstmod;
-  assign _105_ = _104_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-599.25" *) _147_;
-  assign _106_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:604.7-605.51" *) _035_;
-  assign _107_ = WILL_FIRE_RL_res_lastBit && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.7-606.60" *) _176_;
-  assign _108_ = _107_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.7-607.15" *) res_rbne;
-  assign _109_ = _039_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:673.4-674.30" *) _152_;
-  assign _110_ = _041_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-697.37" *) res_spien;
-  assign _111_ = _110_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-697.51" *) res_mstmod;
-  assign _112_ = _111_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-698.24" *) res_sendingBit[4];
-  assign _113_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-700.30" *) res_mstmod;
-  assign _114_ = _113_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-700.51" *) res_sendingBit[4];
-  assign _115_ = _114_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-701.36" *) _042_;
-  assign _116_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.8-314.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
-  assign _117_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:315.8-315.40" *) \res_bus_inner_outgoing$wget [33];
-  assign _118_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.35-333.44" *) res_bden;
-  assign _119_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:340.7-340.34" *) WILL_FIRE_RL_res_wbRequest;
-  assign _120_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.34-344.53" *) res_shiftregTx[16];
-  assign _121_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:346.7-346.34" *) WILL_FIRE_RL_res_wbRequest;
-  assign _122_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.36-350.62" *) res_bus_inner_fRes_rv[33];
-  assign _123_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.3-352.29" *) res_bus_inner_fReq_rv[36];
-  assign _124_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.33-352.54" *) res_newConfig_rv[32];
-  assign _125_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:355.3-355.19" *) res_dataRead_rv;
-  assign _126_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:361.7-361.64" *) IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_sendin_ETC___d49;
-  assign _127_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:366.7-366.25" *) res_sendingBit[4];
-  assign _128_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-374.45" *) \res_bus_inner_fReq_rv$port1__read [69];
-  assign _129_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:376.7-376.29" *) res_bus_inner_pending;
-  assign _130_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.36-380.45" *) res_bden;
-  assign _131_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.49-380.59" *) res_bdoen;
-  assign _132_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:389.7-389.25" *) res_sendingBit[4];
-  assign _133_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.8-415.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
-  assign _134_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:416.8-416.40" *) \res_bus_inner_outgoing$wget [33];
-  assign _135_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.35-433.44" *) res_bden;
-  assign _136_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:453.8-453.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
-  assign _137_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:454.8-454.40" *) \res_bus_inner_outgoing$wget [33];
-  assign _138_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:500.7-500.33" *) res_bus_inner_fReq_rv[36];
-  assign _139_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:504.7-504.35" *) \MUX_res_rbne$write_1__SEL_2 ;
-  assign _140_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:524.7-524.51" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
-  assign _141_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-527.45" *) \res_bus_inner_fReq_rv$port1__read [69];
-  assign _142_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:529.7-529.29" *) res_bus_inner_pending;
-  assign _143_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.36-555.45" *) res_bden;
-  assign _144_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.49-555.59" *) res_bdoen;
-  assign _145_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.36-597.45" *) res_bden;
-  assign _146_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.49-597.59" *) res_bdoen;
-  assign _147_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:599.7-599.25" *) res_sendingBit[4];
-  assign _148_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:602.29-602.60" *) \MUX_res_rxorerr$write_1__SEL_1 ;
-  assign _149_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.36-606.45" *) res_bden;
-  assign _150_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.49-606.59" *) res_bdoen;
-  assign _151_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:659.11-659.37" *) res_bus_inner_fReq_rv[36];
-  assign _152_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:674.4-674.30" *) res_bus_inner_fReq_rv[36];
-  assign _153_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.8-688.18" *) res_spien;
-  assign _154_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.22-688.33" *) res_mstmod;
-  assign _155_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:708.21-708.35" *) res_dataValid;
-  assign _156_ = _116_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.8-315.40" *) _117_;
-  assign _157_ = _118_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.35-333.57" *) res_bdoen;
-  assign _158_ = _123_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.3-352.54" *) _124_;
-  assign _159_ = _184_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-354.28" *) res_bus_inner_fReq_rv[36];
-  assign _160_ = _159_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-355.19" *) _125_;
-  assign _161_ = WILL_FIRE_RL_res_startSend || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:378.7-378.61" *) WILL_FIRE_RL_res_nextBit;
-  assign _162_ = _130_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.36-380.59" *) _131_;
-  assign _163_ = _133_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.8-416.40" *) _134_;
-  assign _164_ = _135_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.35-433.57" *) res_bdoen;
-  assign _165_ = _136_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:453.8-454.40" *) _137_;
-  assign _166_ = \res_dataRead_rv$EN_port0__write  || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:502.7-502.57" *) res_dataRead_rv;
-  assign _167_ = WILL_FIRE_RL_res_bus_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:526.7-529.29" *) _091_;
-  assign _168_ = WILL_FIRE_RL_res_startSend || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:545.7-545.61" *) WILL_FIRE_RL_res_nextBit;
-  assign _169_ = _168_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:545.7-547.24" *) _094_;
-  assign _170_ = _143_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.36-555.59" *) _144_;
-  assign _171_ = _095_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.7-558.32" *) _097_;
-  assign _172_ = _101_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-572.37" *) WILL_FIRE_RL_res_stuffTransmit;
-  assign _173_ = _145_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.36-597.59" *) _146_;
-  assign _174_ = _102_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.7-599.25" *) _105_;
-  assign _175_ = _106_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:604.7-607.15" *) _108_;
-  assign _176_ = _149_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.36-606.59" *) _150_;
-  assign _177_ = WILL_FIRE_RL_res_lastBit || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:623.7-623.59" *) WILL_FIRE_RL_res_nextBit;
-  assign _178_ = _177_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:623.7-624.33" *) WILL_FIRE_RL_res_startSend;
-  assign _179_ = res_spien_1_AND_res_mstmod_2_3_AND_res_sending_ETC___d97 || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:632.7-633.33" *) WILL_FIRE_RL_res_startSend;
-  assign _180_ = WILL_FIRE_RL_res_lastBit || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:641.7-641.65" *) WILL_FIRE_RL_res_stuffTransmit;
-  assign _181_ = _038_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:672.11-674.30" *) _109_;
-  assign _182_ = _153_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.8-688.33" *) _154_;
-  assign _183_ = res_clock < (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:705.32-705.60" *) halfClock__h2704;
-  assign _184_ = res_bus_inner_fReq_rv[68:37] != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-353.47" *) 32'd12;
-  assign _185_ = res_clock != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.24" *) 9'h000;
-  assign _186_ = ~ (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:704.25-704.34" *) v__h6037;
-  assign _187_ = ~ (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:709.21-709.30" *) x__h3737;
-  assign _188_ = res_shiftregRx | (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:399.9-399.34" *) x__h3737;
-  wire [15:0] _414_ = res_data;
-  assign _189_ = _414_[activeBitNo__h2708 +: 1];
-  assign _190_ = 16'h0001 << (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:707.21-707.48" *) activeBitNo__h2708;
-  assign _191_ = IF_res_psc_2_EQ_0_3_THEN_2_ELSE_IF_res_psc_2_E_ETC___d68 - (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:394.7-394.70" *) 9'h001;
-  assign _192_ = res_clock - (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:395.41-395.57" *) 9'h001;
-  assign _193_ = IF_res_ff16_7_THEN_15_ELSE_7___d48 - (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:703.7-703.63" *) res_sendingBit[3:0];
-  assign _194_ = _156_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.7-319.11" *) 32'd0 : _195_;
-  assign _195_ = \res_bus_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:317.10-319.10" *) \res_bus_inner_outgoing$wget [31:0] : 32'd0;
-  assign _196_ = _028_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:351.8-355.19" *) _158_ : _160_;
-  assign _197_ = spiMaster_miso ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:398.7-400.34" *) _188_ : _027_;
-  assign _198_ = _163_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.7-420.11" *) 32'd0 : _199_;
-  assign _199_ = \res_bus_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:418.10-420.10" *) \res_bus_inner_outgoing$wget [31:0] : 32'd0;
-  assign _200_ = WILL_FIRE_RL_res_wbRequest ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:458.7-460.30" *) 70'h0aaaaaaaaaaaaaaaaa : res_bus_inner_fReq_rv;
-  assign _201_ = \MUX_res_bus_inner_pending$write_1__SEL_2  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:464.7-466.42" *) \res_bus_inner_fReq_rv$port1__write_1  : \res_bus_inner_fReq_rv$port1__read ;
-  assign _202_ = WILL_FIRE_RL_res_wbRequest ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:471.7-473.30" *) \res_bus_inner_fRes_rv$port0__write_1  : res_bus_inner_fRes_rv;
-  assign _203_ = WILL_FIRE_RL_res_bus_inner_process_outgoing ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:475.7-477.42" *) 34'h0aaaaaaaa : \res_bus_inner_fRes_rv$port1__read ;
-  assign _204_ = \res_newConfig_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:490.7-492.25" *) \res_newConfig_rv$port0__write_1  : res_newConfig_rv;
-  assign _205_ = \res_newConfig_rv$port1__read [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:494.7-496.37" *) 33'h0aaaaaaaa : \res_newConfig_rv$port1__read ;
-  assign _206_ = \MUX_res_clock$write_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:541.7-543.37" *) \MUX_res_clock$write_1__VAL_1  : \MUX_res_clock$write_1__VAL_2 ;
-  assign _207_ = \MUX_res_data$write_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:551.7-553.53" *) res_shiftregRx : res_bus_inner_fReq_rv_BITS_35_TO_4__q1[15:0];
-  assign _208_ = res_spien_1_AND_res_mstmod_2_3_AND_res_sending_ETC___d97 ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:628.7-630.14" *) \MUX_res_shiftregRx$write_1__VAL_1  : 16'h0000;
-  assign _209_ = WILL_FIRE_RL_res_lastBit ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:637.7-639.42" *) 17'h0aaaa : \MUX_res_shiftregTx$write_1__VAL_2 ;
-  assign _210_ = _036_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:649.7-656.28" *) { 24'h000000, res_sendingBit[4], res_rxorerr, 4'h0, x__h5688, res_rbne } : { 16'h0000, res_data };
-  assign _211_ = _037_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:658.7-675.63" *) { _151_, 16'h0000, res_bden, res_bdoen, 2'h0, res_ff16, 3'h0, res_lf, res_spien, res_psc, res_mstmod, res_ckpl, res_ckph } : { _181_, IF_res_bus_inner_fReq_rv_port0__read__28_BITS__ETC___d185 };
-  assign _212_ = res_ff16 ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:676.47-676.70" *) 4'hf : 4'h7;
-  assign _213_ = res_sendingBit[4] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:678.7-680.17" *) _214_ : res_ckpl;
-  assign _214_ = res_ckpl ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:679.10-679.44" *) v___1__h6039 : v__h6037;
-  assign _215_ = res_sendingBit[4] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:682.7-684.13" *) _216_ : 4'h0;
-  assign _216_ = res_lf ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:683.10-683.57" *) res_sendingBit[3:0] : swapb___1__h3754;
-  assign _217_ = _182_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.7-690.66" *) 4'h0 : IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_lf_03__ETC___d106;
-  assign _218_ = res_sendingBit[4] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:706.21-706.67" *) res_sendingBit[3:0] : 4'h0;
-  assign _219_ = res_ckph ^ (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:705.21-705.60" *) _183_;
+  assign _087_ = _086_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:479.7-481.32" *) res_bus_inner_fReq_rv[36];
+  assign _088_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:498.7-499.51" *) _032_;
+  assign _089_ = _088_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:498.7-500.33" *) _139_;
+  assign _090_ = _140_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:504.7-504.66" *) \res_dataRead_rv$port1__read ;
+  assign _091_ = _142_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-528.34" *) \res_bus_inner_incoming$whas ;
+  assign _092_ = _091_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-529.29" *) _143_;
+  assign _093_ = _186_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.37" *) res_spien;
+  assign _094_ = _093_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.51" *) res_mstmod;
+  assign _095_ = _094_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-547.24" *) res_sendingBit[4];
+  assign _096_ = WILL_FIRE_RL_res_lastBit && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.7-555.60" *) _171_;
+  assign _097_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:556.7-557.51" *) _033_;
+  assign _098_ = _097_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:556.7-558.32" *) res_bus_inner_fReq_rv[36];
+  assign _099_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-568.51" *) _034_;
+  assign _100_ = _099_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-569.32" *) res_bus_inner_fReq_rv[36];
+  assign _101_ = _100_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-570.16" *) res_spien;
+  assign _102_ = _101_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-571.17" *) res_mstmod;
+  assign _103_ = WILL_FIRE_RL_res_lastBit && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.7-597.60" *) _174_;
+  assign _104_ = \res_dataRead_rv$port1__read  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-598.47" *) res_spien;
+  assign _105_ = _104_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-598.61" *) res_mstmod;
+  assign _106_ = _105_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-599.25" *) _148_;
+  assign _107_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:604.7-605.51" *) _035_;
+  assign _108_ = WILL_FIRE_RL_res_lastBit && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.7-606.60" *) _177_;
+  assign _109_ = _108_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.7-607.15" *) res_rbne;
+  assign _110_ = _039_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:673.4-674.30" *) _153_;
+  assign _111_ = _041_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-697.37" *) res_spien;
+  assign _112_ = _111_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-697.51" *) res_mstmod;
+  assign _113_ = _112_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-698.24" *) res_sendingBit[4];
+  assign _114_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-700.30" *) res_mstmod;
+  assign _115_ = _114_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-700.51" *) res_sendingBit[4];
+  assign _116_ = _115_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-701.36" *) _042_;
+  assign _117_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.8-314.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
+  assign _118_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:315.8-315.40" *) \res_bus_inner_outgoing$wget [33];
+  assign _119_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.35-333.44" *) res_bden;
+  assign _120_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:340.7-340.34" *) WILL_FIRE_RL_res_wbRequest;
+  assign _121_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.34-344.53" *) res_shiftregTx[16];
+  assign _122_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:346.7-346.34" *) WILL_FIRE_RL_res_wbRequest;
+  assign _123_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.36-350.62" *) res_bus_inner_fRes_rv[33];
+  assign _124_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.3-352.29" *) res_bus_inner_fReq_rv[36];
+  assign _125_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.33-352.54" *) res_newConfig_rv[32];
+  assign _126_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:355.3-355.19" *) res_dataRead_rv;
+  assign _127_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:361.7-361.64" *) IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_sendin_ETC___d49;
+  assign _128_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:366.7-366.25" *) res_sendingBit[4];
+  assign _129_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-374.45" *) \res_bus_inner_fReq_rv$port1__read [69];
+  assign _130_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:376.7-376.29" *) res_bus_inner_pending;
+  assign _131_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.36-380.45" *) res_bden;
+  assign _132_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.49-380.59" *) res_bdoen;
+  assign _133_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:389.7-389.25" *) res_sendingBit[4];
+  assign _134_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.8-415.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
+  assign _135_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:416.8-416.40" *) \res_bus_inner_outgoing$wget [33];
+  assign _136_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.35-433.44" *) res_bden;
+  assign _137_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:453.8-453.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
+  assign _138_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:454.8-454.40" *) \res_bus_inner_outgoing$wget [33];
+  assign _139_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:500.7-500.33" *) res_bus_inner_fReq_rv[36];
+  assign _140_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:504.7-504.35" *) \MUX_res_rbne$write_1__SEL_2 ;
+  assign _141_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:524.7-524.51" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
+  assign _142_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-527.45" *) \res_bus_inner_fReq_rv$port1__read [69];
+  assign _143_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:529.7-529.29" *) res_bus_inner_pending;
+  assign _144_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.36-555.45" *) res_bden;
+  assign _145_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.49-555.59" *) res_bdoen;
+  assign _146_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.36-597.45" *) res_bden;
+  assign _147_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.49-597.59" *) res_bdoen;
+  assign _148_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:599.7-599.25" *) res_sendingBit[4];
+  assign _149_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:602.29-602.60" *) \MUX_res_rxorerr$write_1__SEL_1 ;
+  assign _150_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.36-606.45" *) res_bden;
+  assign _151_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.49-606.59" *) res_bdoen;
+  assign _152_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:659.11-659.37" *) res_bus_inner_fReq_rv[36];
+  assign _153_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:674.4-674.30" *) res_bus_inner_fReq_rv[36];
+  assign _154_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.8-688.18" *) res_spien;
+  assign _155_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.22-688.33" *) res_mstmod;
+  assign _156_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:708.21-708.35" *) res_dataValid;
+  assign _157_ = _117_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.8-315.40" *) _118_;
+  assign _158_ = _119_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.35-333.57" *) res_bdoen;
+  assign _159_ = _124_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.3-352.54" *) _125_;
+  assign _160_ = _185_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-354.28" *) res_bus_inner_fReq_rv[36];
+  assign _161_ = _160_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-355.19" *) _126_;
+  assign _162_ = WILL_FIRE_RL_res_startSend || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:378.7-378.61" *) WILL_FIRE_RL_res_nextBit;
+  assign _163_ = _131_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.36-380.59" *) _132_;
+  assign _164_ = _134_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.8-416.40" *) _135_;
+  assign _165_ = _136_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.35-433.57" *) res_bdoen;
+  assign _166_ = _137_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:453.8-454.40" *) _138_;
+  assign _167_ = \res_dataRead_rv$EN_port0__write  || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:502.7-502.57" *) res_dataRead_rv;
+  assign _168_ = WILL_FIRE_RL_res_bus_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:526.7-529.29" *) _092_;
+  assign _169_ = WILL_FIRE_RL_res_startSend || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:545.7-545.61" *) WILL_FIRE_RL_res_nextBit;
+  assign _170_ = _169_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:545.7-547.24" *) _095_;
+  assign _171_ = _144_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.36-555.59" *) _145_;
+  assign _172_ = _096_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.7-558.32" *) _098_;
+  assign _173_ = _102_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-572.37" *) WILL_FIRE_RL_res_stuffTransmit;
+  assign _174_ = _146_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.36-597.59" *) _147_;
+  assign _175_ = _103_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.7-599.25" *) _106_;
+  assign _176_ = _107_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:604.7-607.15" *) _109_;
+  assign _177_ = _150_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.36-606.59" *) _151_;
+  assign _178_ = WILL_FIRE_RL_res_lastBit || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:623.7-623.59" *) WILL_FIRE_RL_res_nextBit;
+  assign _179_ = _178_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:623.7-624.33" *) WILL_FIRE_RL_res_startSend;
+  assign _180_ = res_spien_1_AND_res_mstmod_2_3_AND_res_sending_ETC___d97 || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:632.7-633.33" *) WILL_FIRE_RL_res_startSend;
+  assign _181_ = WILL_FIRE_RL_res_lastBit || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:641.7-641.65" *) WILL_FIRE_RL_res_stuffTransmit;
+  assign _182_ = _038_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:672.11-674.30" *) _110_;
+  assign _183_ = _154_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.8-688.33" *) _155_;
+  assign _184_ = res_clock < (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:705.32-705.60" *) halfClock__h2704;
+  assign _185_ = res_bus_inner_fReq_rv[68:37] != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-353.47" *) 32'd12;
+  assign _186_ = res_clock != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.24" *) 9'h000;
+  assign _187_ = ~ (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:704.25-704.34" *) v__h6037;
+  assign _188_ = ~ (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:709.21-709.30" *) x__h3737;
+  assign _189_ = res_shiftregRx | (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:399.9-399.34" *) x__h3737;
+  wire [15:0] _416_ = res_data;
+  assign _190_ = _416_[activeBitNo__h2708 +: 1];
+  assign _191_ = 16'h0001 << (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:707.21-707.48" *) activeBitNo__h2708;
+  assign _192_ = IF_res_psc_2_EQ_0_3_THEN_2_ELSE_IF_res_psc_2_E_ETC___d68 - (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:394.7-394.70" *) 9'h001;
+  assign _193_ = res_clock - (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:395.41-395.57" *) 9'h001;
+  assign _194_ = IF_res_ff16_7_THEN_15_ELSE_7___d48 - (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:703.7-703.63" *) res_sendingBit[3:0];
+  assign _195_ = _157_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.7-319.11" *) 32'd0 : _196_;
+  assign _196_ = \res_bus_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:317.10-319.10" *) \res_bus_inner_outgoing$wget [31:0] : 32'd0;
+  assign _197_ = _028_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:351.8-355.19" *) _159_ : _161_;
+  assign _198_ = spiMaster_miso ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:398.7-400.34" *) _189_ : _027_;
+  assign _199_ = _164_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.7-420.11" *) 32'd0 : _200_;
+  assign _200_ = \res_bus_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:418.10-420.10" *) \res_bus_inner_outgoing$wget [31:0] : 32'd0;
+  assign _201_ = WILL_FIRE_RL_res_wbRequest ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:458.7-460.30" *) 70'h0aaaaaaaaaaaaaaaaa : res_bus_inner_fReq_rv;
+  assign _202_ = \MUX_res_bus_inner_pending$write_1__SEL_2  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:464.7-466.42" *) \res_bus_inner_fReq_rv$port1__write_1  : \res_bus_inner_fReq_rv$port1__read ;
+  assign _203_ = WILL_FIRE_RL_res_wbRequest ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:471.7-473.30" *) \res_bus_inner_fRes_rv$port0__write_1  : res_bus_inner_fRes_rv;
+  assign _204_ = WILL_FIRE_RL_res_bus_inner_process_outgoing ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:475.7-477.42" *) 34'h0aaaaaaaa : \res_bus_inner_fRes_rv$port1__read ;
+  assign _205_ = \res_newConfig_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:490.7-492.25" *) \res_newConfig_rv$port0__write_1  : res_newConfig_rv;
+  assign _206_ = \res_newConfig_rv$port1__read [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:494.7-496.37" *) 33'h0aaaaaaaa : \res_newConfig_rv$port1__read ;
+  assign _207_ = \MUX_res_clock$write_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:541.7-543.37" *) \MUX_res_clock$write_1__VAL_1  : \MUX_res_clock$write_1__VAL_2 ;
+  assign _208_ = \MUX_res_data$write_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:551.7-553.53" *) res_shiftregRx : res_bus_inner_fReq_rv_BITS_35_TO_4__q1[15:0];
+  assign _209_ = res_spien_1_AND_res_mstmod_2_3_AND_res_sending_ETC___d97 ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:628.7-630.14" *) \MUX_res_shiftregRx$write_1__VAL_1  : 16'h0000;
+  assign _210_ = WILL_FIRE_RL_res_lastBit ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:637.7-639.42" *) 17'h0aaaa : \MUX_res_shiftregTx$write_1__VAL_2 ;
+  assign _211_ = _036_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:649.7-656.28" *) { 24'h000000, res_sendingBit[4], res_rxorerr, 4'h0, x__h5688, res_rbne } : { 16'h0000, res_data };
+  assign _212_ = _037_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:658.7-675.63" *) { _152_, 16'h0000, res_bden, res_bdoen, 2'h0, res_ff16, 3'h0, res_lf, res_spien, res_psc, res_mstmod, res_ckpl, res_ckph } : { _182_, IF_res_bus_inner_fReq_rv_port0__read__28_BITS__ETC___d185 };
+  assign _213_ = res_ff16 ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:676.47-676.70" *) 4'hf : 4'h7;
+  assign _214_ = res_sendingBit[4] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:678.7-680.17" *) _215_ : res_ckpl;
+  assign _215_ = res_ckpl ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:679.10-679.44" *) v___1__h6039 : v__h6037;
+  assign _216_ = res_sendingBit[4] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:682.7-684.13" *) _217_ : 4'h0;
+  assign _217_ = res_lf ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:683.10-683.57" *) res_sendingBit[3:0] : swapb___1__h3754;
+  assign _218_ = _183_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.7-690.66" *) 4'h0 : IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_lf_03__ETC___d106;
+  assign _219_ = res_sendingBit[4] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:706.21-706.67" *) res_sendingBit[3:0] : 4'h0;
+  assign _220_ = res_ckph ^ (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:705.21-705.60" *) _184_;
   always @* begin
-    if (\$auto$verilog_backend.cc:2083:dump_module$204 ) begin end
+    if (\$auto$verilog_backend.cc:2083:dump_module$205 ) begin end
     _019_ = _025_;
     (* parallel_case = 32'd1 *)
     (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:614.5-620.12" *)
@@ -1021,7 +1024,7 @@
       \res_sendingBit$D_IN  <= _019_;
   end
   always @* begin
-    if (\$auto$verilog_backend.cc:2083:dump_module$204 ) begin end
+    if (\$auto$verilog_backend.cc:2083:dump_module$205 ) begin end
     _000_ = _024_;
     (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:712.5-721.12" *)
     casez (res_psc)
@@ -1057,7 +1060,7 @@
       IF_res_psc_2_EQ_0_3_THEN_2_ELSE_IF_res_psc_2_E_ETC___d68 <= _000_;
   end
   always @* begin
-    if (\$auto$verilog_backend.cc:2083:dump_module$204 ) begin end
+    if (\$auto$verilog_backend.cc:2083:dump_module$205 ) begin end
     _001_ = res_bden;
     _002_ = res_bdoen;
     _003_ = res_bus_inner_fReq_rv;
@@ -1317,28 +1320,28 @@
   assign slave_ack_o = _044_;
   assign slave_err_o = 1'h0;
   assign slave_rty_o = 1'h0;
-  assign slave_dat_o = _194_;
+  assign slave_dat_o = _195_;
   assign spiMaster_sclk = _046_;
-  assign spiMaster_mosi = _049_;
-  assign spiMaster_mosi_oe = _051_;
-  assign WILL_FIRE_RL_res_lastBit = _054_;
-  assign WILL_FIRE_RL_res_stuffTransmit = _058_;
-  assign WILL_FIRE_RL_res_wbRequest = _060_;
-  assign WILL_FIRE_RL_res_nextBit = _062_;
-  assign WILL_FIRE_RL_res_startSend = _065_;
-  assign WILL_FIRE_RL_res_bus_inner_process_outgoing = _066_;
-  assign \MUX_res_bus_inner_pending$write_1__SEL_2  = _068_;
-  assign \MUX_res_clock$write_1__SEL_1  = _161_;
-  assign \MUX_res_data$write_1__SEL_1  = _069_;
-  assign \MUX_res_dataValid$write_1__SEL_1  = _073_;
-  assign \MUX_res_rbne$write_1__SEL_2  = _076_;
-  assign \MUX_res_rxorerr$write_1__SEL_1  = _077_;
-  assign \MUX_res_clock$write_1__VAL_1  = _191_;
-  assign \MUX_res_clock$write_1__VAL_2  = _192_;
+  assign spiMaster_mosi = _050_;
+  assign spiMaster_mosi_oe = _052_;
+  assign WILL_FIRE_RL_res_lastBit = _055_;
+  assign WILL_FIRE_RL_res_stuffTransmit = _059_;
+  assign WILL_FIRE_RL_res_wbRequest = _061_;
+  assign WILL_FIRE_RL_res_nextBit = _063_;
+  assign WILL_FIRE_RL_res_startSend = _066_;
+  assign WILL_FIRE_RL_res_bus_inner_process_outgoing = _067_;
+  assign \MUX_res_bus_inner_pending$write_1__SEL_2  = _069_;
+  assign \MUX_res_clock$write_1__SEL_1  = _162_;
+  assign \MUX_res_data$write_1__SEL_1  = _070_;
+  assign \MUX_res_dataValid$write_1__SEL_1  = _074_;
+  assign \MUX_res_rbne$write_1__SEL_2  = _077_;
+  assign \MUX_res_rxorerr$write_1__SEL_1  = _078_;
+  assign \MUX_res_clock$write_1__VAL_1  = _192_;
+  assign \MUX_res_clock$write_1__VAL_2  = _193_;
   assign \MUX_res_sendingBit$write_1__VAL_2  = { 1'h1, _026_ };
-  assign \MUX_res_shiftregRx$write_1__VAL_1  = _197_;
+  assign \MUX_res_shiftregRx$write_1__VAL_1  = _198_;
   assign \MUX_res_shiftregTx$write_1__VAL_2  = { 1'h1, res_data };
-  assign \res_bus_inner_probeAck$PROBE  = _078_;
+  assign \res_bus_inner_probeAck$PROBE  = _079_;
   assign \res_bus_inner_probeAck$PROBE_VALID  = 1'h1;
   assign \res_bus_inner_probeAdr$PROBE  = slave_adr_i;
   assign \res_bus_inner_probeAdr$PROBE_VALID  = 1'h1;
@@ -1346,7 +1349,7 @@
   assign \res_bus_inner_probeCyc$PROBE_VALID  = 1'h1;
   assign \res_bus_inner_probeDataIn$PROBE  = slave_dat_i;
   assign \res_bus_inner_probeDataIn$PROBE_VALID  = 1'h1;
-  assign \res_bus_inner_probeDataOut$PROBE  = _198_;
+  assign \res_bus_inner_probeDataOut$PROBE  = _199_;
   assign \res_bus_inner_probeDataOut$PROBE_VALID  = 1'h1;
   assign \res_bus_inner_probeSel$PROBE  = slave_sel_i;
   assign \res_bus_inner_probeSel$PROBE_VALID  = 1'h1;
@@ -1358,34 +1361,34 @@
   assign \res_probeMiso$PROBE_VALID  = 1'h1;
   assign \res_probeMosi$PROBE  = spiMaster_mosi;
   assign \res_probeMosi$PROBE_VALID  = 1'h1;
-  assign \res_probeMosiOe$PROBE  = _080_;
+  assign \res_probeMosiOe$PROBE  = _081_;
   assign \res_probeMosiOe$PROBE_VALID  = 1'h1;
   assign \res_probeRbne$PROBE  = res_rbne;
   assign \res_probeRbne$PROBE_VALID  = 1'h1;
   assign \res_probeRxorerr$PROBE  = res_rxorerr;
   assign \res_probeRxorerr$PROBE_VALID  = 1'h1;
-  assign \res_probeSclk$PROBE  = _082_;
+  assign \res_probeSclk$PROBE  = _083_;
   assign \res_probeSclk$PROBE_VALID  = 1'h1;
   assign \res_probeTbe$PROBE  = x__h5688;
   assign \res_probeTbe$PROBE_VALID  = 1'h1;
   assign \res_probeTrans$PROBE  = res_sendingBit[4];
   assign \res_probeTrans$PROBE_VALID  = 1'h1;
   assign \res_bus_inner_incoming$wget  = { slave_adr_i, slave_we_i, slave_dat_i, slave_sel_i };
-  assign \res_bus_inner_incoming$whas  = _084_;
+  assign \res_bus_inner_incoming$whas  = _085_;
   assign \res_bus_inner_outgoing$wget  = { 1'h1, \res_bus_inner_fRes_rv$port1__read [32:0] };
-  assign \res_bus_inner_fReq_rv$port1__read  = _200_;
+  assign \res_bus_inner_fReq_rv$port1__read  = _201_;
   assign \res_bus_inner_fReq_rv$port1__write_1  = { 1'h1, \res_bus_inner_incoming$wget  };
-  assign \res_bus_inner_fReq_rv$port2__read  = _201_;
+  assign \res_bus_inner_fReq_rv$port2__read  = _202_;
   assign \res_bus_inner_fRes_rv$port0__write_1  = { 1'h1, IF_res_bus_inner_fReq_rv_port0__read__28_BITS__ETC___d187 };
-  assign \res_bus_inner_fRes_rv$port1__read  = _202_;
-  assign \res_bus_inner_fRes_rv$port2__read  = _203_;
-  assign \res_newConfig_rv$EN_port0__write  = _085_;
+  assign \res_bus_inner_fRes_rv$port1__read  = _203_;
+  assign \res_bus_inner_fRes_rv$port2__read  = _204_;
+  assign \res_newConfig_rv$EN_port0__write  = _087_;
   assign \res_newConfig_rv$port0__write_1  = { 17'h10000, res_bus_inner_fReq_rv_BITS_35_TO_4__q1[15:14], 2'h0, res_bus_inner_fReq_rv_BITS_35_TO_4__q1[11], 3'h0, res_bus_inner_fReq_rv_BITS_35_TO_4__q1[7:0] };
-  assign \res_newConfig_rv$port1__read  = _204_;
-  assign \res_newConfig_rv$port2__read  = _205_;
-  assign \res_dataRead_rv$EN_port0__write  = _088_;
-  assign \res_dataRead_rv$port1__read  = _166_;
-  assign \res_dataRead_rv$port2__read  = _089_;
+  assign \res_newConfig_rv$port1__read  = _205_;
+  assign \res_newConfig_rv$port2__read  = _206_;
+  assign \res_dataRead_rv$EN_port0__write  = _089_;
+  assign \res_dataRead_rv$port1__read  = _167_;
+  assign \res_dataRead_rv$port2__read  = _090_;
   assign \res_bden$D_IN  = \res_newConfig_rv$port1__read [15];
   assign \res_bden$EN  = \res_newConfig_rv$port1__read [32];
   assign \res_bdoen$D_IN  = \res_newConfig_rv$port1__read [14];
@@ -1394,20 +1397,20 @@
   assign \res_bus_inner_fReq_rv$EN  = 1'h1;
   assign \res_bus_inner_fRes_rv$D_IN  = \res_bus_inner_fRes_rv$port2__read ;
   assign \res_bus_inner_fRes_rv$EN  = 1'h1;
-  assign \res_bus_inner_pending$D_IN  = _140_;
-  assign \res_bus_inner_pending$EN  = _167_;
+  assign \res_bus_inner_pending$D_IN  = _141_;
+  assign \res_bus_inner_pending$EN  = _168_;
   assign \res_ckph$D_IN  = \res_newConfig_rv$port1__read [0];
   assign \res_ckph$EN  = \res_newConfig_rv$port1__read [32];
   assign \res_ckpl$D_IN  = \res_newConfig_rv$port1__read [1];
   assign \res_ckpl$EN  = \res_newConfig_rv$port1__read [32];
-  assign \res_clock$D_IN  = _206_;
-  assign \res_clock$EN  = _169_;
-  assign \res_data$D_IN  = _207_;
-  assign \res_data$EN  = _171_;
+  assign \res_clock$D_IN  = _207_;
+  assign \res_clock$EN  = _170_;
+  assign \res_data$D_IN  = _208_;
+  assign \res_data$EN  = _172_;
   assign \res_dataRead_rv$D_IN  = \res_dataRead_rv$port2__read ;
   assign \res_dataRead_rv$EN  = 1'h1;
   assign \res_dataValid$D_IN  = \MUX_res_dataValid$write_1__SEL_1 ;
-  assign \res_dataValid$EN  = _172_;
+  assign \res_dataValid$EN  = _173_;
   assign \res_ff16$D_IN  = \res_newConfig_rv$port1__read [11];
   assign \res_ff16$EN  = \res_newConfig_rv$port1__read [32];
   assign \res_lf$D_IN  = \res_newConfig_rv$port1__read [7];
@@ -1419,33 +1422,33 @@
   assign \res_psc$D_IN  = \res_newConfig_rv$port1__read [5:3];
   assign \res_psc$EN  = \res_newConfig_rv$port1__read [32];
   assign \res_rbne$D_IN  = \MUX_res_data$write_1__SEL_1 ;
-  assign \res_rbne$EN  = _174_;
-  assign \res_rxorerr$D_IN  = _148_;
-  assign \res_rxorerr$EN  = _175_;
-  assign \res_sendingBit$EN  = _178_;
-  assign \res_shiftregRx$D_IN  = _208_;
-  assign \res_shiftregRx$EN  = _179_;
-  assign \res_shiftregTx$D_IN  = _209_;
-  assign \res_shiftregTx$EN  = _180_;
+  assign \res_rbne$EN  = _175_;
+  assign \res_rxorerr$D_IN  = _149_;
+  assign \res_rxorerr$EN  = _176_;
+  assign \res_sendingBit$EN  = _179_;
+  assign \res_shiftregRx$D_IN  = _209_;
+  assign \res_shiftregRx$EN  = _180_;
+  assign \res_shiftregTx$D_IN  = _210_;
+  assign \res_shiftregTx$EN  = _181_;
   assign \res_spien$D_IN  = \res_newConfig_rv$port1__read [6];
   assign \res_spien$EN  = \res_newConfig_rv$port1__read [32];
-  assign IF_res_bus_inner_fReq_rv_port0__read__28_BITS__ETC___d185 = _210_;
-  assign IF_res_bus_inner_fReq_rv_port0__read__28_BITS__ETC___d187 = _211_;
-  assign IF_res_ff16_7_THEN_15_ELSE_7___d48 = _212_;
-  assign IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_ckpl_6_ETC___d194 = _213_;
-  assign IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_lf_03__ETC___d106 = _215_;
+  assign IF_res_bus_inner_fReq_rv_port0__read__28_BITS__ETC___d185 = _211_;
+  assign IF_res_bus_inner_fReq_rv_port0__read__28_BITS__ETC___d187 = _212_;
+  assign IF_res_ff16_7_THEN_15_ELSE_7___d48 = _213_;
+  assign IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_ckpl_6_ETC___d194 = _214_;
+  assign IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_lf_03__ETC___d106 = _216_;
   assign IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_sendin_ETC___d49 = _040_;
-  assign activeBitNo__h2708 = _217_;
+  assign activeBitNo__h2708 = _218_;
   assign halfClock__h2704 = { 1'h0, IF_res_psc_2_EQ_0_3_THEN_2_ELSE_IF_res_psc_2_E_ETC___d68[8:1] };
   assign res_bus_inner_fReq_rv_BITS_35_TO_4__q1 = res_bus_inner_fReq_rv[35:4];
-  assign res_clock_8_EQ_0_9_AND_res_spien_1_AND_res_mst_ETC___d40 = _112_;
-  assign res_spien_1_AND_res_mstmod_2_3_AND_res_sending_ETC___d97 = _115_;
-  assign swapb___1__h3754 = _193_;
-  assign v___1__h6039 = _186_;
-  assign v__h6037 = _219_;
-  assign x__h2962 = _218_;
-  assign x__h3737 = _190_;
-  assign x__h5688 = _155_;
-  assign y__h3850 = _187_;
+  assign res_clock_8_EQ_0_9_AND_res_spien_1_AND_res_mst_ETC___d40 = _113_;
+  assign res_spien_1_AND_res_mstmod_2_3_AND_res_sending_ETC___d97 = _116_;
+  assign swapb___1__h3754 = _194_;
+  assign v___1__h6039 = _187_;
+  assign v__h6037 = _220_;
+  assign x__h2962 = _219_;
+  assign x__h3737 = _191_;
+  assign x__h5688 = _156_;
+  assign y__h3850 = _188_;
 endmodule
 
diff --git a/verilog/rtl/mkQF105.v b/verilog/rtl/mkQF105.v
index ba00eb0..155aaa4 100644
--- a/verilog/rtl/mkQF105.v
+++ b/verilog/rtl/mkQF105.v
@@ -1,8 +1,23 @@
 /* Generated by Yosys 0.15 (git sha1 yosys-0.15, gcc 10.3.0 -fPIC -Os) */
 
+(* hdlname = "\\ResetInverter" *)
+(* src = "external/bluespec/lib/Verilog/ResetInverter.v:7.1-16.10" *)
+module ResetInverter(RESET_IN, RESET_OUT);
+  (* src = "external/bluespec/lib/Verilog/ResetInverter.v:14.26-14.36" *)
+  wire _0_;
+  (* src = "external/bluespec/lib/Verilog/ResetInverter.v:9.14-9.22" *)
+  input RESET_IN;
+  wire RESET_IN;
+  (* src = "external/bluespec/lib/Verilog/ResetInverter.v:10.14-10.23" *)
+  output RESET_OUT;
+  wire RESET_OUT;
+  assign _0_ = ! (* src = "external/bluespec/lib/Verilog/ResetInverter.v:14.26-14.36" *) RESET_IN;
+  assign RESET_OUT = _0_;
+endmodule
+
 (* hdlname = "\\mkQF105" *)
 (* top =  1  *)
-(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:36.1-310.10" *)
+(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:36.1-379.10" *)
 module mkQF105(
 `ifdef USE_POWER_PINS
     VPWR,
@@ -10,25 +25,27 @@
 `endif
     wb_clk_i, wb_rst_i, la_data_in, la_oenb, la_data_out, io_in, io_out, io_oeb, irq);
 `ifdef USE_POWER_PINS
-  inout VPWR;
-  inout VGND;
+    inout VPWR;
+    inout VGND;
 `endif
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:262.7-263.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:318.7-319.42" *)
   wire _00_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:265.7-266.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:321.7-322.43" *)
   wire _01_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:268.7-269.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:324.7-325.42" *)
   wire _02_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:271.7-272.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:327.7-328.43" *)
   wire _03_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:290.7-291.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:359.7-360.42" *)
   wire _04_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:293.7-294.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:362.7-363.43" *)
   wire _05_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:296.7-297.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:365.7-366.42" *)
   wire _06_;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:299.7-300.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:368.7-369.43" *)
   wire _07_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:189.33-189.45" *)
+  wire [15:0] _08_;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:62.19-62.24" *)
   input [37:0] io_in;
   wire [37:0] io_in;
@@ -94,97 +111,143 @@
   wire \res_cpu$sysmem_client_stb_o ;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:100.8-100.34" *)
   wire \res_cpu$sysmem_client_we_o ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:110.8-110.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:115.8-115.28" *)
   wire \res_fabric$cpu_ack_o ;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:103.17-103.37" *)
   wire [31:0] \res_fabric$cpu_adr_i ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:111.8-111.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:116.8-116.28" *)
   wire \res_fabric$cpu_cyc_i ;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:104.3-104.23" *)
   wire [31:0] \res_fabric$cpu_dat_i ;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:105.3-105.23" *)
   wire [31:0] \res_fabric$cpu_dat_o ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:112.8-112.28" *)
-  wire \res_fabric$cpu_err_o ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:113.8-113.28" *)
-  wire \res_fabric$cpu_rty_o ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:109.16-109.36" *)
-  wire [3:0] \res_fabric$cpu_sel_i ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:114.8-114.28" *)
-  wire \res_fabric$cpu_stb_i ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:115.8-115.27" *)
-  wire \res_fabric$cpu_we_i ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:116.8-116.28" *)
-  wire \res_fabric$spi_ack_i ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:106.3-106.23" *)
-  wire [31:0] \res_fabric$spi_adr_o ;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:117.8-117.28" *)
-  wire \res_fabric$spi_cyc_o ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:107.3-107.23" *)
-  wire [31:0] \res_fabric$spi_dat_i ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:108.3-108.23" *)
-  wire [31:0] \res_fabric$spi_dat_o ;
+  wire \res_fabric$cpu_err_o ;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:118.8-118.28" *)
-  wire \res_fabric$spi_err_i ;
+  wire \res_fabric$cpu_rty_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:112.16-112.36" *)
+  wire [3:0] \res_fabric$cpu_sel_i ;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:119.8-119.28" *)
+  wire \res_fabric$cpu_stb_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:120.8-120.27" *)
+  wire \res_fabric$cpu_we_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:121.8-121.29" *)
+  wire \res_fabric$gpio_ack_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:106.3-106.24" *)
+  wire [31:0] \res_fabric$gpio_adr_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:122.8-122.29" *)
+  wire \res_fabric$gpio_cyc_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:107.3-107.24" *)
+  wire [31:0] \res_fabric$gpio_dat_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:108.3-108.24" *)
+  wire [31:0] \res_fabric$gpio_dat_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:123.8-123.29" *)
+  wire \res_fabric$gpio_err_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:124.8-124.29" *)
+  wire \res_fabric$gpio_rty_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:113.9-113.30" *)
+  wire [3:0] \res_fabric$gpio_sel_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:125.8-125.29" *)
+  wire \res_fabric$gpio_stb_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:126.8-126.28" *)
+  wire \res_fabric$gpio_we_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:127.8-127.28" *)
+  wire \res_fabric$spi_ack_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:109.3-109.23" *)
+  wire [31:0] \res_fabric$spi_adr_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:128.8-128.28" *)
+  wire \res_fabric$spi_cyc_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:110.3-110.23" *)
+  wire [31:0] \res_fabric$spi_dat_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:111.3-111.23" *)
+  wire [31:0] \res_fabric$spi_dat_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:129.8-129.28" *)
+  wire \res_fabric$spi_err_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:130.8-130.28" *)
   wire \res_fabric$spi_rty_i ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:109.38-109.58" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:114.9-114.29" *)
   wire [3:0] \res_fabric$spi_sel_o ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:120.8-120.28" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:131.8-131.28" *)
   wire \res_fabric$spi_stb_o ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:121.8-121.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:132.8-132.27" *)
   wire \res_fabric$spi_we_o ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:128.8-128.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:138.17-138.28" *)
+  wire [15:0] \res_gpio$in ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:138.30-138.41" *)
+  wire [15:0] \res_gpio$oe ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:138.43-138.55" *)
+  wire [15:0] \res_gpio$out ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:140.8-140.28" *)
+  wire \res_gpio$slave_ack_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:135.17-135.37" *)
+  wire [31:0] \res_gpio$slave_adr_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:141.8-141.28" *)
+  wire \res_gpio$slave_cyc_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:136.3-136.23" *)
+  wire [31:0] \res_gpio$slave_dat_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:137.3-137.23" *)
+  wire [31:0] \res_gpio$slave_dat_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:142.8-142.28" *)
+  wire \res_gpio$slave_err_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:143.8-143.28" *)
+  wire \res_gpio$slave_rty_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:139.16-139.36" *)
+  wire [3:0] \res_gpio$slave_sel_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:144.8-144.28" *)
+  wire \res_gpio$slave_stb_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:145.8-145.27" *)
+  wire \res_gpio$slave_we_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:152.8-152.42" *)
   wire \res_mem$EN_memory_dmem_request_put ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:129.8-129.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:153.8-153.43" *)
   wire \res_mem$EN_memory_dmem_response_get ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:130.8-130.42" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:154.8-154.42" *)
   wire \res_mem$EN_memory_imem_request_put ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:131.8-131.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:155.8-155.43" *)
   wire \res_mem$EN_memory_imem_response_get ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:132.8-132.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:156.8-156.43" *)
   wire \res_mem$RDY_memory_dmem_request_put ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:133.8-133.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:157.8-157.44" *)
   wire \res_mem$RDY_memory_dmem_response_get ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:134.8-134.43" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:158.8-158.43" *)
   wire \res_mem$RDY_memory_imem_request_put ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:135.8-135.44" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:159.8-159.44" *)
   wire \res_mem$RDY_memory_imem_response_get ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:124.17-124.48" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:148.17-148.48" *)
   wire [99:0] \res_mem$memory_dmem_request_put ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:125.17-125.49" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:149.17-149.49" *)
   wire [31:0] \res_mem$memory_dmem_response_get ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:126.3-126.34" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:150.3-150.34" *)
   wire [31:0] \res_mem$memory_imem_request_put ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:127.3-127.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:151.3-151.35" *)
   wire [31:0] \res_mem$memory_imem_response_get ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:140.8-140.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:164.8-164.27" *)
   wire \res_spi$slave_ack_o ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:138.17-138.36" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:162.17-162.36" *)
   wire [31:0] \res_spi$slave_adr_i ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:141.8-141.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:165.8-165.27" *)
   wire \res_spi$slave_cyc_i ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:138.38-138.57" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:162.38-162.57" *)
   wire [31:0] \res_spi$slave_dat_i ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:138.59-138.78" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:162.59-162.78" *)
   wire [31:0] \res_spi$slave_dat_o ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:142.8-142.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:166.8-166.27" *)
   wire \res_spi$slave_err_o ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:143.8-143.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:167.8-167.27" *)
   wire \res_spi$slave_rty_o ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:139.16-139.35" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:163.16-163.35" *)
   wire [3:0] \res_spi$slave_sel_i ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:144.8-144.27" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:168.8-168.27" *)
   wire \res_spi$slave_stb_i ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:145.8-145.26" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:169.8-169.26" *)
   wire \res_spi$slave_we_i ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:146.8-146.30" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:170.8-170.30" *)
   wire \res_spi$spiMaster_miso ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:147.8-147.30" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:171.8-171.30" *)
   wire \res_spi$spiMaster_mosi ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:148.8-148.30" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:172.8-172.30" *)
   wire \res_spi$spiMaster_sclk ;
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:151.8-151.25" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:175.8-175.25" *)
   wire \reset_n$RESET_OUT ;
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:51.10-51.18" *)
   input wb_clk_i;
@@ -192,16 +255,17 @@
   (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:52.10-52.18" *)
   input wb_rst_i;
   wire wb_rst_i;
-  assign _00_ = \res_cpu$RDY_dmem_client_request_get  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:262.7-263.42" *) \res_mem$RDY_memory_dmem_request_put ;
-  assign _01_ = \res_cpu$RDY_dmem_client_response_put  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:265.7-266.43" *) \res_mem$RDY_memory_dmem_response_get ;
-  assign _02_ = \res_cpu$RDY_imem_client_request_get  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:268.7-269.42" *) \res_mem$RDY_memory_imem_request_put ;
-  assign _03_ = \res_cpu$RDY_imem_client_response_put  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:271.7-272.43" *) \res_mem$RDY_memory_imem_response_get ;
-  assign _04_ = \res_cpu$RDY_imem_client_request_get  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:290.7-291.42" *) \res_mem$RDY_memory_imem_request_put ;
-  assign _05_ = \res_cpu$RDY_imem_client_response_put  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:293.7-294.43" *) \res_mem$RDY_memory_imem_response_get ;
-  assign _06_ = \res_cpu$RDY_dmem_client_request_get  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:296.7-297.42" *) \res_mem$RDY_memory_dmem_request_put ;
-  assign _07_ = \res_cpu$RDY_dmem_client_response_put  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:299.7-300.43" *) \res_mem$RDY_memory_dmem_response_get ;
+  assign _00_ = \res_cpu$RDY_dmem_client_request_get  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:318.7-319.42" *) \res_mem$RDY_memory_dmem_request_put ;
+  assign _01_ = \res_cpu$RDY_dmem_client_response_put  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:321.7-322.43" *) \res_mem$RDY_memory_dmem_response_get ;
+  assign _02_ = \res_cpu$RDY_imem_client_request_get  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:324.7-325.42" *) \res_mem$RDY_memory_imem_request_put ;
+  assign _03_ = \res_cpu$RDY_imem_client_response_put  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:327.7-328.43" *) \res_mem$RDY_memory_imem_response_get ;
+  assign _04_ = \res_cpu$RDY_imem_client_request_get  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:359.7-360.42" *) \res_mem$RDY_memory_imem_request_put ;
+  assign _05_ = \res_cpu$RDY_imem_client_response_put  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:362.7-363.43" *) \res_mem$RDY_memory_imem_response_get ;
+  assign _06_ = \res_cpu$RDY_dmem_client_request_get  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:365.7-366.42" *) \res_mem$RDY_memory_dmem_request_put ;
+  assign _07_ = \res_cpu$RDY_dmem_client_response_put  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:368.7-369.43" *) \res_mem$RDY_memory_dmem_response_get ;
+  assign _08_ = ~ (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:189.33-189.45" *) \res_gpio$oe ;
   (* module_not_derived = 32'd1 *)
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:166.14-191.22" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:195.14-220.22" *)
   mkLanaiCPU res_cpu (
 `ifdef USE_POWER_PINS
     .VPWR(VPWR),
@@ -233,7 +297,7 @@
     .sysmem_client_we_o(\res_cpu$sysmem_client_we_o )
   );
   (* module_not_derived = 32'd1 *)
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:194.17-215.38" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:223.17-254.40" *)
   mkQF100Fabric res_fabric (
 `ifdef USE_POWER_PINS
     .VPWR(VPWR),
@@ -251,6 +315,16 @@
     .cpu_sel_i(\res_fabric$cpu_sel_i ),
     .cpu_stb_i(\res_fabric$cpu_stb_i ),
     .cpu_we_i(\res_fabric$cpu_we_i ),
+    .gpio_ack_i(\res_fabric$gpio_ack_i ),
+    .gpio_adr_o(\res_fabric$gpio_adr_o ),
+    .gpio_cyc_o(\res_fabric$gpio_cyc_o ),
+    .gpio_dat_i(\res_fabric$gpio_dat_i ),
+    .gpio_dat_o(\res_fabric$gpio_dat_o ),
+    .gpio_err_i(\res_fabric$gpio_err_i ),
+    .gpio_rty_i(\res_fabric$gpio_rty_i ),
+    .gpio_sel_o(\res_fabric$gpio_sel_o ),
+    .gpio_stb_o(\res_fabric$gpio_stb_o ),
+    .gpio_we_o(\res_fabric$gpio_we_o ),
     .spi_ack_i(\res_fabric$spi_ack_i ),
     .spi_adr_o(\res_fabric$spi_adr_o ),
     .spi_cyc_o(\res_fabric$spi_cyc_o ),
@@ -263,7 +337,30 @@
     .spi_we_o(\res_fabric$spi_we_o )
   );
   (* module_not_derived = 32'd1 *)
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:218.17-231.72" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:257.15-271.29" *)
+  mkQF100GPIO res_gpio (
+`ifdef USE_POWER_PINS
+    .VPWR(VPWR),
+    .VGND(VGND),
+`endif
+    .CLK(wb_clk_i),
+    .RST_N(\reset_n$RESET_OUT ),
+    .in(\res_gpio$in ),
+    .oe(\res_gpio$oe ),
+    .out(\res_gpio$out ),
+    .slave_ack_o(\res_gpio$slave_ack_o ),
+    .slave_adr_i(\res_gpio$slave_adr_i ),
+    .slave_cyc_i(\res_gpio$slave_cyc_i ),
+    .slave_dat_i(\res_gpio$slave_dat_i ),
+    .slave_dat_o(\res_gpio$slave_dat_o ),
+    .slave_err_o(\res_gpio$slave_err_o ),
+    .slave_rty_o(\res_gpio$slave_rty_o ),
+    .slave_sel_i(\res_gpio$slave_sel_i ),
+    .slave_stb_i(\res_gpio$slave_stb_i ),
+    .slave_we_i(\res_gpio$slave_we_i )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:274.17-287.72" *)
   mkQF100Memory res_mem (
 `ifdef USE_POWER_PINS
     .VPWR(VPWR),
@@ -285,7 +382,7 @@
     .memory_imem_response_get(\res_mem$memory_imem_response_get )
   );
   (* module_not_derived = 32'd1 *)
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:234.14-249.29" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:290.14-305.29" *)
   mkQF100SPI res_spi (
 `ifdef USE_POWER_PINS
     .VPWR(VPWR),
@@ -308,14 +405,14 @@
     .spiMaster_sclk(\res_spi$spiMaster_sclk )
   );
   (* module_not_derived = 32'd1 *)
-  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:252.17-252.76" *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:308.17-308.76" *)
   ResetInverter reset_n (
     .RESET_IN(wb_rst_i),
     .RESET_OUT(\reset_n$RESET_OUT )
   );
   assign la_data_out = 128'h00000000000000000000000000000000;
-  assign io_out = { 36'h000000000, \res_spi$spiMaster_mosi , \res_spi$spiMaster_sclk  };
-  assign io_oeb = 38'h0000000003;
+  assign io_out = { 19'h00000, \res_gpio$out , 1'h0, \res_spi$spiMaster_mosi , \res_spi$spiMaster_sclk  };
+  assign io_oeb = { 19'h7ffff, _08_, 3'h4 };
   assign irq = 3'h0;
   assign \res_cpu$dmem_client_response_put  = \res_mem$memory_dmem_response_get ;
   assign \res_cpu$imem_client_response_put  = \res_mem$memory_imem_response_get ;
@@ -333,10 +430,21 @@
   assign \res_fabric$cpu_sel_i  = \res_cpu$sysmem_client_sel_o ;
   assign \res_fabric$cpu_stb_i  = \res_cpu$sysmem_client_stb_o ;
   assign \res_fabric$cpu_we_i  = \res_cpu$sysmem_client_we_o ;
+  assign \res_fabric$gpio_ack_i  = \res_gpio$slave_ack_o ;
+  assign \res_fabric$gpio_dat_i  = \res_gpio$slave_dat_o ;
+  assign \res_fabric$gpio_err_i  = \res_gpio$slave_err_o ;
+  assign \res_fabric$gpio_rty_i  = \res_gpio$slave_rty_o ;
   assign \res_fabric$spi_ack_i  = \res_spi$slave_ack_o ;
   assign \res_fabric$spi_dat_i  = \res_spi$slave_dat_o ;
   assign \res_fabric$spi_err_i  = \res_spi$slave_err_o ;
   assign \res_fabric$spi_rty_i  = \res_spi$slave_rty_o ;
+  assign \res_gpio$in  = io_in[18:3];
+  assign \res_gpio$slave_adr_i  = \res_fabric$gpio_adr_o ;
+  assign \res_gpio$slave_cyc_i  = \res_fabric$gpio_cyc_o ;
+  assign \res_gpio$slave_dat_i  = \res_fabric$gpio_dat_o ;
+  assign \res_gpio$slave_sel_i  = \res_fabric$gpio_sel_o ;
+  assign \res_gpio$slave_stb_i  = \res_fabric$gpio_stb_o ;
+  assign \res_gpio$slave_we_i  = \res_fabric$gpio_we_o ;
   assign \res_mem$memory_dmem_request_put  = \res_cpu$dmem_client_request_get ;
   assign \res_mem$memory_imem_request_put  = \res_cpu$imem_client_request_get ;
   assign \res_mem$EN_memory_imem_request_put  = _04_;