blob: 2c0ebc3979d515ca368088c9dd8a6b6affcce49d [file] [log] [blame]
/* Generated by Yosys 0.15 (git sha1 yosys-0.15, gcc 10.3.0 -fPIC -Os) */
(* hdlname = "\\mkQF100GPIO" *)
(* top = 1 *)
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:42.1-1159.10" *)
module mkQF100GPIO(
`ifdef USE_POWER_PINS
VPWR,
VGND,
`endif
CLK, RST_N, slave_cyc_i, slave_stb_i, slave_adr_i, slave_dat_i, slave_sel_i, slave_we_i, slave_ack_o, slave_err_o, slave_rty_o, slave_dat_o, oe, out, in);
`ifdef USE_POWER_PINS
inout VPWR;
inout VGND;
`endif
reg \$auto$verilog_backend.cc:2083:dump_module$360 = 0;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:936.3-946.6" *)
reg _000_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:859.3-935.6" *)
reg [31:0] _001_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [69:0] _002_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [33:0] _003_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg _004_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _005_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _006_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _007_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _008_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _009_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _010_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _011_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _012_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _013_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _014_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _015_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _016_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _017_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _018_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _019_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _020_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [32:0] _021_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [32:0] _022_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _023_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _024_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _025_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _026_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _027_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _028_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _029_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _030_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _031_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _032_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _033_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _034_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _035_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _036_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _037_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [1:0] _038_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [15:0] _039_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:950.3-1079.6" *)
reg [15:0] _040_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:936.3-946.6" *)
reg _041_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:859.3-935.6" *)
reg [31:0] _042_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:379.33-379.56" *)
wire _043_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:380.33-380.56" *)
wire _044_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:381.33-381.56" *)
wire _045_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:382.33-382.56" *)
wire _046_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:383.33-383.56" *)
wire _047_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:384.33-384.56" *)
wire _048_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:385.32-385.54" *)
wire _049_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:386.32-386.54" *)
wire _050_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:387.32-387.54" *)
wire _051_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:388.32-388.54" *)
wire _052_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:389.32-389.54" *)
wire _053_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:390.32-390.54" *)
wire _054_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:391.32-391.54" *)
wire _055_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:392.32-392.54" *)
wire _056_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:393.32-393.54" *)
wire _057_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:394.32-394.54" *)
wire _058_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.33-398.56" *)
wire _059_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.33-400.56" *)
wire _060_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.33-402.56" *)
wire _061_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.33-404.56" *)
wire _062_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.33-406.56" *)
wire _063_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.33-408.56" *)
wire _064_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.32-410.54" *)
wire _065_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.32-412.54" *)
wire _066_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.32-414.54" *)
wire _067_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.32-416.54" *)
wire _068_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.32-418.54" *)
wire _069_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.32-420.54" *)
wire _070_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.32-422.54" *)
wire _071_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.32-424.54" *)
wire _072_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.32-426.54" *)
wire _073_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.32-428.54" *)
wire _074_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:434.9-434.46" *)
wire _075_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:494.9-494.29" *)
wire _076_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:495.10-495.33" *)
wire _077_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:495.37-495.60" *)
wire _078_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:496.9-496.29" *)
wire _079_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:497.10-497.33" *)
wire _080_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:497.37-497.60" *)
wire _081_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:498.9-498.29" *)
wire _082_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:499.10-499.33" *)
wire _083_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:499.37-499.60" *)
wire _084_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:500.9-500.29" *)
wire _085_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:501.10-501.33" *)
wire _086_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:501.37-501.60" *)
wire _087_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:502.9-502.29" *)
wire _088_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:503.10-503.33" *)
wire _089_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:503.37-503.60" *)
wire _090_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:504.9-504.29" *)
wire _091_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:505.10-505.33" *)
wire _092_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:505.37-505.60" *)
wire _093_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:506.9-506.28" *)
wire _094_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:507.10-507.32" *)
wire _095_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:507.36-507.58" *)
wire _096_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:508.9-508.28" *)
wire _097_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:509.10-509.32" *)
wire _098_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:509.36-509.58" *)
wire _099_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:510.9-510.28" *)
wire _100_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:511.10-511.32" *)
wire _101_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:511.36-511.58" *)
wire _102_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:512.9-512.28" *)
wire _103_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:513.10-513.32" *)
wire _104_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:513.36-513.58" *)
wire _105_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:514.9-514.28" *)
wire _106_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:515.10-515.32" *)
wire _107_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:515.36-515.58" *)
wire _108_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:516.9-516.28" *)
wire _109_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:517.10-517.32" *)
wire _110_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:517.36-517.58" *)
wire _111_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:518.9-518.28" *)
wire _112_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:519.10-519.32" *)
wire _113_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:519.36-519.58" *)
wire _114_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:520.9-520.28" *)
wire _115_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:521.10-521.32" *)
wire _116_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:521.36-521.58" *)
wire _117_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:522.9-522.28" *)
wire _118_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:523.10-523.32" *)
wire _119_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:523.36-523.58" *)
wire _120_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:524.9-524.28" *)
wire _121_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:525.10-525.32" *)
wire _122_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:525.36-525.58" *)
wire _123_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:559.7-559.44" *)
wire _124_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:573.7-573.44" *)
wire _125_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:791.7-791.51" *)
wire _126_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:796.9-796.29" *)
wire _127_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:797.10-797.33" *)
wire _128_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:797.37-797.60" *)
wire _129_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:799.9-799.29" *)
wire _130_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:800.10-800.33" *)
wire _131_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:800.37-800.60" *)
wire _132_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:802.9-802.29" *)
wire _133_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:803.10-803.33" *)
wire _134_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:803.37-803.60" *)
wire _135_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:805.9-805.29" *)
wire _136_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:806.10-806.33" *)
wire _137_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:806.37-806.60" *)
wire _138_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:808.9-808.29" *)
wire _139_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:809.10-809.33" *)
wire _140_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:809.37-809.60" *)
wire _141_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:811.9-811.29" *)
wire _142_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:812.10-812.33" *)
wire _143_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:812.37-812.60" *)
wire _144_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:814.9-814.28" *)
wire _145_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:815.10-815.32" *)
wire _146_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:815.36-815.58" *)
wire _147_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:817.9-817.28" *)
wire _148_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:818.10-818.32" *)
wire _149_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:818.36-818.58" *)
wire _150_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:820.9-820.28" *)
wire _151_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:821.10-821.32" *)
wire _152_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:821.36-821.58" *)
wire _153_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:823.9-823.28" *)
wire _154_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:824.10-824.32" *)
wire _155_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:824.36-824.58" *)
wire _156_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:826.9-826.28" *)
wire _157_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:827.10-827.32" *)
wire _158_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:827.36-827.58" *)
wire _159_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:829.9-829.28" *)
wire _160_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:830.10-830.32" *)
wire _161_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:830.36-830.58" *)
wire _162_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:832.9-832.28" *)
wire _163_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:833.10-833.32" *)
wire _164_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:833.36-833.58" *)
wire _165_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:835.9-835.28" *)
wire _166_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:836.10-836.32" *)
wire _167_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:836.36-836.58" *)
wire _168_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:838.9-838.28" *)
wire _169_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:839.10-839.32" *)
wire _170_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:839.36-839.58" *)
wire _171_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:846.8-846.27" *)
wire _172_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:847.9-847.31" *)
wire _173_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:847.35-847.57" *)
wire _174_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:943.6-943.50" *)
wire _175_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:952.9-952.22" *)
wire _176_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:359.7-360.38" *)
wire _177_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:379.9-379.56" *)
wire _178_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:380.9-380.56" *)
wire _179_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:381.9-381.56" *)
wire _180_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:382.9-382.56" *)
wire _181_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:383.9-383.56" *)
wire _182_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:384.9-384.56" *)
wire _183_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:385.9-385.54" *)
wire _184_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:386.9-386.54" *)
wire _185_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:387.9-387.54" *)
wire _186_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:388.9-388.54" *)
wire _187_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:389.9-389.54" *)
wire _188_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:390.9-390.54" *)
wire _189_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:391.9-391.54" *)
wire _190_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:392.9-392.54" *)
wire _191_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:393.9-393.54" *)
wire _192_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:394.9-394.54" *)
wire _193_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.9-398.56" *)
wire _194_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.9-399.34" *)
wire _195_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.9-400.56" *)
wire _196_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.9-401.34" *)
wire _197_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.9-402.56" *)
wire _198_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.9-403.34" *)
wire _199_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.9-404.56" *)
wire _200_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.9-405.34" *)
wire _201_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.9-406.56" *)
wire _202_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.9-407.34" *)
wire _203_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.9-408.56" *)
wire _204_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.9-409.34" *)
wire _205_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.9-410.54" *)
wire _206_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.9-411.33" *)
wire _207_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.9-412.54" *)
wire _208_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.9-413.33" *)
wire _209_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.9-414.54" *)
wire _210_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.9-415.33" *)
wire _211_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.9-416.54" *)
wire _212_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.9-417.33" *)
wire _213_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.9-418.54" *)
wire _214_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.9-419.33" *)
wire _215_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.9-420.54" *)
wire _216_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.9-421.33" *)
wire _217_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.9-422.54" *)
wire _218_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.9-423.33" *)
wire _219_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.9-424.54" *)
wire _220_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.9-425.33" *)
wire _221_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.9-426.54" *)
wire _222_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.9-427.33" *)
wire _223_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.9-428.54" *)
wire _224_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.9-429.33" *)
wire _225_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:433.7-433.62" *)
wire _226_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:433.7-438.24" *)
wire _227_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:442.7-442.69" *)
wire _228_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:446.7-447.34" *)
wire _229_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:446.7-448.29" *)
wire _230_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:452.7-453.38" *)
wire _231_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:494.9-495.61" *)
wire _232_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:496.9-497.61" *)
wire _233_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:498.9-499.61" *)
wire _234_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:500.9-501.61" *)
wire _235_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:502.9-503.61" *)
wire _236_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:504.9-505.61" *)
wire _237_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:506.9-507.59" *)
wire _238_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:508.9-509.59" *)
wire _239_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:510.9-511.59" *)
wire _240_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:512.9-513.59" *)
wire _241_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:514.9-515.59" *)
wire _242_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:516.9-517.59" *)
wire _243_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:518.9-519.59" *)
wire _244_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:520.9-521.59" *)
wire _245_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:522.9-523.59" *)
wire _246_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:524.9-525.59" *)
wire _247_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:552.7-552.33" *)
wire _248_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:552.7-554.41" *)
wire _249_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:558.7-559.44" *)
wire _250_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:558.7-560.32" *)
wire _251_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:572.7-573.44" *)
wire _252_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:572.7-574.32" *)
wire _253_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:619.7-620.34" *)
wire _254_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:619.7-621.29" *)
wire _255_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:790.7-791.51" *)
wire _256_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:790.7-792.32" *)
wire _257_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:796.9-797.61" *)
wire _258_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:796.9-798.15" *)
wire _259_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:799.9-800.61" *)
wire _260_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:799.9-801.15" *)
wire _261_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:802.9-803.61" *)
wire _262_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:802.9-804.15" *)
wire _263_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:805.9-806.61" *)
wire _264_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:805.9-807.15" *)
wire _265_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:808.9-809.61" *)
wire _266_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:808.9-810.15" *)
wire _267_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:811.9-812.61" *)
wire _268_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:811.9-813.15" *)
wire _269_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:814.9-815.59" *)
wire _270_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:814.9-816.14" *)
wire _271_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:817.9-818.59" *)
wire _272_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:817.9-819.14" *)
wire _273_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:820.9-821.59" *)
wire _274_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:820.9-822.14" *)
wire _275_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:823.9-824.59" *)
wire _276_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:823.9-825.14" *)
wire _277_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:826.9-827.59" *)
wire _278_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:826.9-828.14" *)
wire _279_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:829.9-830.59" *)
wire _280_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:829.9-831.14" *)
wire _281_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:832.9-833.59" *)
wire _282_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:832.9-834.14" *)
wire _283_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:835.9-836.59" *)
wire _284_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:835.9-837.14" *)
wire _285_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:838.9-839.59" *)
wire _286_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:838.9-840.14" *)
wire _287_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:846.8-847.58" *)
wire _288_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:943.6-944.32" *)
wire _289_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:370.8-370.52" *)
wire _290_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:371.8-371.40" *)
wire _291_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:433.36-433.62" *)
wire _292_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:435.3-435.29" *)
wire _293_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:435.33-435.53" *)
wire _294_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:437.3-437.29" *)
wire _295_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:438.3-438.23" *)
wire _296_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:446.7-446.45" *)
wire _297_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:448.7-448.29" *)
wire _298_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:462.8-462.52" *)
wire _299_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:463.8-463.40" *)
wire _300_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:553.8-553.52" *)
wire _301_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:554.8-554.40" *)
wire _302_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:616.7-616.51" *)
wire _303_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:619.7-619.45" *)
wire _304_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:621.7-621.29" *)
wire _305_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:941.8-941.34" *)
wire _306_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:944.6-944.32" *)
wire _307_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:370.8-371.40" *)
wire _308_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:435.3-435.53" *)
wire _309_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:436.3-437.29" *)
wire _310_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:436.3-438.23" *)
wire _311_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:462.8-463.40" *)
wire _312_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:495.10-495.60" *)
wire _313_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:497.10-497.60" *)
wire _314_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:499.10-499.60" *)
wire _315_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:501.10-501.60" *)
wire _316_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:503.10-503.60" *)
wire _317_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:505.10-505.60" *)
wire _318_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:507.10-507.58" *)
wire _319_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:509.10-509.58" *)
wire _320_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:511.10-511.58" *)
wire _321_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:513.10-513.58" *)
wire _322_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:515.10-515.58" *)
wire _323_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:517.10-517.58" *)
wire _324_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:519.10-519.58" *)
wire _325_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:521.10-521.58" *)
wire _326_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:523.10-523.58" *)
wire _327_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:525.10-525.58" *)
wire _328_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:553.8-554.40" *)
wire _329_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:618.7-621.29" *)
wire _330_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:797.10-797.60" *)
wire _331_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:800.10-800.60" *)
wire _332_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:803.10-803.60" *)
wire _333_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:806.10-806.60" *)
wire _334_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:809.10-809.60" *)
wire _335_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:812.10-812.60" *)
wire _336_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:815.10-815.58" *)
wire _337_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:818.10-818.58" *)
wire _338_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:821.10-821.58" *)
wire _339_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:824.10-824.58" *)
wire _340_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:827.10-827.58" *)
wire _341_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:830.10-830.58" *)
wire _342_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:833.10-833.58" *)
wire _343_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:836.10-836.58" *)
wire _344_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:839.10-839.58" *)
wire _345_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:847.9-847.57" *)
wire _346_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:379.9-379.29" *)
wire _347_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:380.9-380.29" *)
wire _348_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:381.9-381.29" *)
wire _349_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:382.9-382.29" *)
wire _350_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:383.9-383.29" *)
wire _351_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:384.9-384.29" *)
wire _352_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:385.9-385.28" *)
wire _353_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:386.9-386.28" *)
wire _354_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:387.9-387.28" *)
wire _355_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:388.9-388.28" *)
wire _356_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:389.9-389.28" *)
wire _357_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:390.9-390.28" *)
wire _358_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:391.9-391.28" *)
wire _359_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:392.9-392.28" *)
wire _360_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:393.9-393.28" *)
wire _361_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:394.9-394.28" *)
wire _362_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.9-398.29" *)
wire _363_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.9-400.29" *)
wire _364_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.9-402.29" *)
wire _365_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.9-404.29" *)
wire _366_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.9-406.29" *)
wire _367_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.9-408.29" *)
wire _368_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.9-410.28" *)
wire _369_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.9-412.28" *)
wire _370_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.9-414.28" *)
wire _371_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.9-416.28" *)
wire _372_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.9-418.28" *)
wire _373_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.9-420.28" *)
wire _374_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.9-422.28" *)
wire _375_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.9-424.28" *)
wire _376_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.9-426.28" *)
wire _377_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.9-428.28" *)
wire _378_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:436.3-436.40" *)
wire _379_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:370.7-375.11" *)
wire [31:0] _380_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:373.10-375.10" *)
wire [31:0] _381_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:434.8-438.23" *)
wire _382_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:462.7-467.11" *)
wire [31:0] _383_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:465.10-467.10" *)
wire [31:0] _384_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:564.7-566.24" *)
wire [32:0] _385_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:568.7-570.36" *)
wire [32:0] _386_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:576.7-578.24" *)
wire [32:0] _387_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:580.7-582.36" *)
wire [32:0] _388_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:584.7-586.30" *)
wire [69:0] _389_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:590.7-592.42" *)
wire [69:0] _390_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:598.7-600.30" *)
wire [33:0] _391_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:602.7-604.42" *)
wire [33:0] _392_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:846.7-849.14" *)
wire [15:0] _393_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:857.27-857.48" *)
wire [15:0] _394_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:348.7-348.61" *)
reg CASE_res_bus_inner_fReq_rv_BITS_68_TO_37_0_NOT_ETC__q1;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:65.10-65.13" *)
input CLK;
wire CLK;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:347.16-347.73" *)
reg [31:0] IF_res_bus_inner_fReq_rv_port0__read__89_BITS__ETC___d452;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:354.17-354.74" *)
wire [15:0] IF_res_modes_0_3_EQ_0_58_AND_res_controls_0_7__ETC___d536;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:344.8-344.48" *)
wire \MUX_res_bus_inner_pending$write_1__SEL_2 ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:66.10-66.15" *)
input RST_N;
wire RST_N;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:340.8-340.51" *)
wire WILL_FIRE_RL_res_bus_inner_process_outgoing;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:341.8-341.34" *)
wire WILL_FIRE_RL_res_wbRequest;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:95.19-95.21" *)
input [15:0] in;
wire [15:0] in;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:349.17-349.29" *)
wire [31:0] octl__h19407;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:89.19-89.21" *)
output [15:0] oe;
wire [15:0] oe;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:92.19-92.22" *)
output [15:0] out;
wire [15:0] out;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:146.16-146.37" *)
reg [69:0] res_bus_inner_fReq_rv;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:147.17-147.43" *)
wire [69:0] \res_bus_inner_fReq_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:148.8-148.32" *)
wire \res_bus_inner_fReq_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:128.17-128.50" *)
wire [69:0] \res_bus_inner_fReq_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:129.3-129.39" *)
wire [69:0] \res_bus_inner_fReq_rv$port1__write_1 ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:130.3-130.36" *)
wire [69:0] \res_bus_inner_fReq_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:350.3-350.41" *)
wire [31:0] res_bus_inner_fReq_rv_BITS_35_TO_4__q4;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:151.16-151.37" *)
reg [33:0] res_bus_inner_fRes_rv;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:152.17-152.43" *)
wire [33:0] \res_bus_inner_fRes_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:153.8-153.32" *)
wire \res_bus_inner_fRes_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:132.17-132.53" *)
wire [33:0] \res_bus_inner_fRes_rv$port0__write_1 ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:133.3-133.36" *)
wire [33:0] \res_bus_inner_fRes_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:134.3-134.36" *)
wire [33:0] \res_bus_inner_fRes_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:131.17-131.44" *)
wire [68:0] \res_bus_inner_incoming$wget ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:141.8-141.35" *)
wire \res_bus_inner_incoming$whas ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:135.3-135.30" *)
wire [33:0] \res_bus_inner_outgoing$wget ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:156.7-156.28" *)
reg res_bus_inner_pending;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:157.8-157.34" *)
wire \res_bus_inner_pending$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:157.36-157.60" *)
wire \res_bus_inner_pending$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:110.8-110.36" *)
wire \res_bus_inner_probeAck$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:111.8-111.42" *)
wire \res_bus_inner_probeAck$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:103.17-103.45" *)
wire [31:0] \res_bus_inner_probeAdr$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:112.8-112.42" *)
wire \res_bus_inner_probeAdr$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:113.8-113.36" *)
wire \res_bus_inner_probeCyc$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:114.8-114.42" *)
wire \res_bus_inner_probeCyc$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:104.3-104.34" *)
wire [31:0] \res_bus_inner_probeDataIn$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:115.8-115.45" *)
wire \res_bus_inner_probeDataIn$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:105.3-105.35" *)
wire [31:0] \res_bus_inner_probeDataOut$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:116.8-116.46" *)
wire \res_bus_inner_probeDataOut$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:109.16-109.44" *)
wire [3:0] \res_bus_inner_probeSel$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:117.8-117.42" *)
wire \res_bus_inner_probeSel$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:118.8-118.36" *)
wire \res_bus_inner_probeStb$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:119.8-119.42" *)
wire \res_bus_inner_probeStb$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:120.8-120.35" *)
wire \res_bus_inner_probeWe$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:121.8-121.41" *)
wire \res_bus_inner_probeWe$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:160.15-160.29" *)
reg [1:0] res_controls_0;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:161.16-161.35" *)
wire [1:0] \res_controls_0$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:162.8-162.25" *)
wire \res_controls_0$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:165.15-165.29" *)
reg [1:0] res_controls_1;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:166.16-166.35" *)
wire [1:0] \res_controls_1$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:167.8-167.25" *)
wire \res_controls_1$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:170.15-170.30" *)
reg [1:0] res_controls_10;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:171.16-171.36" *)
wire [1:0] \res_controls_10$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:172.8-172.26" *)
wire \res_controls_10$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:175.15-175.30" *)
reg [1:0] res_controls_11;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:176.16-176.36" *)
wire [1:0] \res_controls_11$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:177.8-177.26" *)
wire \res_controls_11$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:180.15-180.30" *)
reg [1:0] res_controls_12;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:181.16-181.36" *)
wire [1:0] \res_controls_12$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:182.8-182.26" *)
wire \res_controls_12$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:185.15-185.30" *)
reg [1:0] res_controls_13;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:186.16-186.36" *)
wire [1:0] \res_controls_13$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:187.8-187.26" *)
wire \res_controls_13$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:190.15-190.30" *)
reg [1:0] res_controls_14;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:191.16-191.36" *)
wire [1:0] \res_controls_14$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:192.8-192.26" *)
wire \res_controls_14$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:195.15-195.30" *)
reg [1:0] res_controls_15;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:196.16-196.36" *)
wire [1:0] \res_controls_15$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:197.8-197.26" *)
wire \res_controls_15$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:200.15-200.29" *)
reg [1:0] res_controls_2;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:201.16-201.35" *)
wire [1:0] \res_controls_2$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:202.8-202.25" *)
wire \res_controls_2$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:205.15-205.29" *)
reg [1:0] res_controls_3;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:206.16-206.35" *)
wire [1:0] \res_controls_3$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:207.8-207.25" *)
wire \res_controls_3$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:210.15-210.29" *)
reg [1:0] res_controls_4;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:211.16-211.35" *)
wire [1:0] \res_controls_4$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:212.8-212.25" *)
wire \res_controls_4$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:215.15-215.29" *)
reg [1:0] res_controls_5;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:216.16-216.35" *)
wire [1:0] \res_controls_5$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:217.8-217.25" *)
wire \res_controls_5$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:220.15-220.29" *)
reg [1:0] res_controls_6;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:221.16-221.35" *)
wire [1:0] \res_controls_6$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:222.8-222.25" *)
wire \res_controls_6$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:225.15-225.29" *)
reg [1:0] res_controls_7;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:226.16-226.35" *)
wire [1:0] \res_controls_7$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:227.8-227.25" *)
wire \res_controls_7$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:230.15-230.29" *)
reg [1:0] res_controls_8;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:231.16-231.35" *)
wire [1:0] \res_controls_8$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:232.8-232.25" *)
wire \res_controls_8$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:235.15-235.29" *)
reg [1:0] res_controls_9;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:236.16-236.35" *)
wire [1:0] \res_controls_9$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:237.8-237.25" *)
wire \res_controls_9$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:240.16-240.31" *)
reg [32:0] res_fNewCtl0_rv;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:241.17-241.37" *)
wire [32:0] \res_fNewCtl0_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:242.8-242.26" *)
wire \res_fNewCtl0_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:142.8-142.39" *)
wire \res_fNewCtl0_rv$EN_port0__write ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:136.17-136.47" *)
wire [32:0] \res_fNewCtl0_rv$port0__write_1 ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:137.3-137.30" *)
wire [32:0] \res_fNewCtl0_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:138.3-138.30" *)
wire [32:0] \res_fNewCtl0_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:351.3-351.46" *)
wire [31:0] res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:245.16-245.31" *)
reg [32:0] res_fNewCtl1_rv;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:246.17-246.37" *)
wire [32:0] \res_fNewCtl1_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:247.8-247.26" *)
wire \res_fNewCtl1_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:143.8-143.39" *)
wire \res_fNewCtl1_rv$EN_port0__write ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:139.3-139.30" *)
wire [32:0] \res_fNewCtl1_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:140.3-140.30" *)
wire [32:0] \res_fNewCtl1_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:352.3-352.46" *)
wire [31:0] res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:250.15-250.26" *)
reg [1:0] res_modes_0;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:251.16-251.32" *)
wire [1:0] \res_modes_0$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:252.8-252.22" *)
wire \res_modes_0$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:255.15-255.26" *)
reg [1:0] res_modes_1;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:256.16-256.32" *)
wire [1:0] \res_modes_1$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:257.8-257.22" *)
wire \res_modes_1$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:260.15-260.27" *)
reg [1:0] res_modes_10;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:261.16-261.33" *)
wire [1:0] \res_modes_10$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:262.8-262.23" *)
wire \res_modes_10$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:265.15-265.27" *)
reg [1:0] res_modes_11;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:266.16-266.33" *)
wire [1:0] \res_modes_11$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:267.8-267.23" *)
wire \res_modes_11$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:270.15-270.27" *)
reg [1:0] res_modes_12;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:271.16-271.33" *)
wire [1:0] \res_modes_12$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:272.8-272.23" *)
wire \res_modes_12$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:275.15-275.27" *)
reg [1:0] res_modes_13;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:276.16-276.33" *)
wire [1:0] \res_modes_13$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:277.8-277.23" *)
wire \res_modes_13$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:280.15-280.27" *)
reg [1:0] res_modes_14;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:281.16-281.33" *)
wire [1:0] \res_modes_14$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:282.8-282.23" *)
wire \res_modes_14$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:285.15-285.27" *)
reg [1:0] res_modes_15;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:286.16-286.33" *)
wire [1:0] \res_modes_15$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:287.8-287.23" *)
wire \res_modes_15$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:290.15-290.26" *)
reg [1:0] res_modes_2;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:291.16-291.32" *)
wire [1:0] \res_modes_2$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:292.8-292.22" *)
wire \res_modes_2$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:295.15-295.26" *)
reg [1:0] res_modes_3;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:296.16-296.32" *)
wire [1:0] \res_modes_3$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:297.8-297.22" *)
wire \res_modes_3$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:300.15-300.26" *)
reg [1:0] res_modes_4;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:301.16-301.32" *)
wire [1:0] \res_modes_4$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:302.8-302.22" *)
wire \res_modes_4$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:305.15-305.26" *)
reg [1:0] res_modes_5;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:306.16-306.32" *)
wire [1:0] \res_modes_5$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:307.8-307.22" *)
wire \res_modes_5$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:310.15-310.26" *)
reg [1:0] res_modes_6;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:311.16-311.32" *)
wire [1:0] \res_modes_6$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:312.8-312.22" *)
wire \res_modes_6$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:315.15-315.26" *)
reg [1:0] res_modes_7;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:316.16-316.32" *)
wire [1:0] \res_modes_7$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:317.8-317.22" *)
wire \res_modes_7$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:320.15-320.26" *)
reg [1:0] res_modes_8;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:321.16-321.32" *)
wire [1:0] \res_modes_8$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:322.8-322.22" *)
wire \res_modes_8$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:325.15-325.26" *)
reg [1:0] res_modes_9;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:326.16-326.32" *)
wire [1:0] \res_modes_9$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:327.8-327.22" *)
wire \res_modes_9$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:106.3-106.26" *)
wire [31:0] \res_probeControls$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:122.8-122.37" *)
wire \res_probeControls$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:108.17-108.39" *)
wire [15:0] \res_probeIsInput$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:123.8-123.36" *)
wire \res_probeIsInput$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:108.41-108.64" *)
wire [15:0] \res_probeIsOutput$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:124.8-124.37" *)
wire \res_probeIsOutput$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:107.3-107.23" *)
wire [31:0] \res_probeModes$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:125.8-125.34" *)
wire \res_probeModes$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:330.16-330.37" *)
reg [15:0] res_registeredOutputs;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:331.17-331.43" *)
wire [15:0] \res_registeredOutputs$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:332.8-332.32" *)
wire \res_registeredOutputs$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:335.16-335.33" *)
reg [15:0] res_sampledInputs;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:336.17-336.39" *)
wire [15:0] \res_sampledInputs$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:337.8-337.28" *)
wire \res_sampledInputs$EN ;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:355.3-355.17" *)
wire [15:0] result__h24228;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:77.10-77.21" *)
output slave_ack_o;
wire slave_ack_o;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:71.19-71.30" *)
input [31:0] slave_adr_i;
wire [31:0] slave_adr_i;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:69.10-69.21" *)
input slave_cyc_i;
wire slave_cyc_i;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:72.19-72.30" *)
input [31:0] slave_dat_i;
wire [31:0] slave_dat_i;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:86.19-86.30" *)
output [31:0] slave_dat_o;
wire [31:0] slave_dat_o;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:80.10-80.21" *)
output slave_err_o;
wire slave_err_o;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:83.10-83.21" *)
output slave_rty_o;
wire slave_rty_o;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:73.18-73.29" *)
input [3:0] slave_sel_i;
wire [3:0] slave_sel_i;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:70.10-70.21" *)
input slave_stb_i;
wire slave_stb_i;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:74.10-74.20" *)
input slave_we_i;
wire slave_we_i;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:353.3-353.15" *)
wire [31:0] stat__h19437;
assign _043_ = res_controls_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:379.33-379.56" *) 2'h0;
assign _044_ = res_controls_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:380.33-380.56" *) 2'h0;
assign _045_ = res_controls_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:381.33-381.56" *) 2'h0;
assign _046_ = res_controls_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:382.33-382.56" *) 2'h0;
assign _047_ = res_controls_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:383.33-383.56" *) 2'h0;
assign _048_ = res_controls_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:384.33-384.56" *) 2'h0;
assign _049_ = res_controls_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:385.32-385.54" *) 2'h0;
assign _050_ = res_controls_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:386.32-386.54" *) 2'h0;
assign _051_ = res_controls_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:387.32-387.54" *) 2'h0;
assign _052_ = res_controls_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:388.32-388.54" *) 2'h0;
assign _053_ = res_controls_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:389.32-389.54" *) 2'h0;
assign _054_ = res_controls_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:390.32-390.54" *) 2'h0;
assign _055_ = res_controls_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:391.32-391.54" *) 2'h0;
assign _056_ = res_controls_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:392.32-392.54" *) 2'h0;
assign _057_ = res_controls_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:393.32-393.54" *) 2'h0;
assign _058_ = res_controls_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:394.32-394.54" *) 2'h0;
assign _059_ = res_controls_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.33-398.56" *) 2'h0;
assign _060_ = res_controls_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.33-400.56" *) 2'h0;
assign _061_ = res_controls_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.33-402.56" *) 2'h0;
assign _062_ = res_controls_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.33-404.56" *) 2'h0;
assign _063_ = res_controls_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.33-406.56" *) 2'h0;
assign _064_ = res_controls_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.33-408.56" *) 2'h0;
assign _065_ = res_controls_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.32-410.54" *) 2'h0;
assign _066_ = res_controls_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.32-412.54" *) 2'h0;
assign _067_ = res_controls_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.32-414.54" *) 2'h0;
assign _068_ = res_controls_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.32-416.54" *) 2'h0;
assign _069_ = res_controls_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.32-418.54" *) 2'h0;
assign _070_ = res_controls_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.32-420.54" *) 2'h0;
assign _071_ = res_controls_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.32-422.54" *) 2'h0;
assign _072_ = res_controls_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.32-424.54" *) 2'h0;
assign _073_ = res_controls_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.32-426.54" *) 2'h0;
assign _074_ = res_controls_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.32-428.54" *) 2'h0;
assign _075_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:434.9-434.46" *) 32'd0;
assign _076_ = res_modes_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:494.9-494.29" *) 2'h0;
assign _077_ = res_controls_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:495.10-495.33" *) 2'h2;
assign _078_ = res_controls_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:495.37-495.60" *) 2'h1;
assign _079_ = res_modes_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:496.9-496.29" *) 2'h0;
assign _080_ = res_controls_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:497.10-497.33" *) 2'h2;
assign _081_ = res_controls_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:497.37-497.60" *) 2'h1;
assign _082_ = res_modes_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:498.9-498.29" *) 2'h0;
assign _083_ = res_controls_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:499.10-499.33" *) 2'h2;
assign _084_ = res_controls_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:499.37-499.60" *) 2'h1;
assign _085_ = res_modes_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:500.9-500.29" *) 2'h0;
assign _086_ = res_controls_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:501.10-501.33" *) 2'h2;
assign _087_ = res_controls_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:501.37-501.60" *) 2'h1;
assign _088_ = res_modes_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:502.9-502.29" *) 2'h0;
assign _089_ = res_controls_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:503.10-503.33" *) 2'h2;
assign _090_ = res_controls_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:503.37-503.60" *) 2'h1;
assign _091_ = res_modes_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:504.9-504.29" *) 2'h0;
assign _092_ = res_controls_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:505.10-505.33" *) 2'h2;
assign _093_ = res_controls_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:505.37-505.60" *) 2'h1;
assign _094_ = res_modes_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:506.9-506.28" *) 2'h0;
assign _095_ = res_controls_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:507.10-507.32" *) 2'h2;
assign _096_ = res_controls_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:507.36-507.58" *) 2'h1;
assign _097_ = res_modes_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:508.9-508.28" *) 2'h0;
assign _098_ = res_controls_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:509.10-509.32" *) 2'h2;
assign _099_ = res_controls_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:509.36-509.58" *) 2'h1;
assign _100_ = res_modes_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:510.9-510.28" *) 2'h0;
assign _101_ = res_controls_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:511.10-511.32" *) 2'h2;
assign _102_ = res_controls_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:511.36-511.58" *) 2'h1;
assign _103_ = res_modes_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:512.9-512.28" *) 2'h0;
assign _104_ = res_controls_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:513.10-513.32" *) 2'h2;
assign _105_ = res_controls_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:513.36-513.58" *) 2'h1;
assign _106_ = res_modes_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:514.9-514.28" *) 2'h0;
assign _107_ = res_controls_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:515.10-515.32" *) 2'h2;
assign _108_ = res_controls_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:515.36-515.58" *) 2'h1;
assign _109_ = res_modes_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:516.9-516.28" *) 2'h0;
assign _110_ = res_controls_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:517.10-517.32" *) 2'h2;
assign _111_ = res_controls_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:517.36-517.58" *) 2'h1;
assign _112_ = res_modes_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:518.9-518.28" *) 2'h0;
assign _113_ = res_controls_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:519.10-519.32" *) 2'h2;
assign _114_ = res_controls_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:519.36-519.58" *) 2'h1;
assign _115_ = res_modes_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:520.9-520.28" *) 2'h0;
assign _116_ = res_controls_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:521.10-521.32" *) 2'h2;
assign _117_ = res_controls_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:521.36-521.58" *) 2'h1;
assign _118_ = res_modes_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:522.9-522.28" *) 2'h0;
assign _119_ = res_controls_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:523.10-523.32" *) 2'h2;
assign _120_ = res_controls_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:523.36-523.58" *) 2'h1;
assign _121_ = res_modes_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:524.9-524.28" *) 2'h0;
assign _122_ = res_controls_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:525.10-525.32" *) 2'h2;
assign _123_ = res_controls_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:525.36-525.58" *) 2'h1;
assign _124_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:559.7-559.44" *) 32'd0;
assign _125_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:573.7-573.44" *) 32'd4;
assign _126_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:791.7-791.51" *) 32'd12;
assign _127_ = res_modes_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:796.9-796.29" *) 2'h0;
assign _128_ = res_controls_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:797.10-797.33" *) 2'h2;
assign _129_ = res_controls_15 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:797.37-797.60" *) 2'h1;
assign _130_ = res_modes_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:799.9-799.29" *) 2'h0;
assign _131_ = res_controls_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:800.10-800.33" *) 2'h2;
assign _132_ = res_controls_14 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:800.37-800.60" *) 2'h1;
assign _133_ = res_modes_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:802.9-802.29" *) 2'h0;
assign _134_ = res_controls_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:803.10-803.33" *) 2'h2;
assign _135_ = res_controls_13 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:803.37-803.60" *) 2'h1;
assign _136_ = res_modes_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:805.9-805.29" *) 2'h0;
assign _137_ = res_controls_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:806.10-806.33" *) 2'h2;
assign _138_ = res_controls_12 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:806.37-806.60" *) 2'h1;
assign _139_ = res_modes_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:808.9-808.29" *) 2'h0;
assign _140_ = res_controls_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:809.10-809.33" *) 2'h2;
assign _141_ = res_controls_11 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:809.37-809.60" *) 2'h1;
assign _142_ = res_modes_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:811.9-811.29" *) 2'h0;
assign _143_ = res_controls_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:812.10-812.33" *) 2'h2;
assign _144_ = res_controls_10 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:812.37-812.60" *) 2'h1;
assign _145_ = res_modes_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:814.9-814.28" *) 2'h0;
assign _146_ = res_controls_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:815.10-815.32" *) 2'h2;
assign _147_ = res_controls_9 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:815.36-815.58" *) 2'h1;
assign _148_ = res_modes_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:817.9-817.28" *) 2'h0;
assign _149_ = res_controls_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:818.10-818.32" *) 2'h2;
assign _150_ = res_controls_8 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:818.36-818.58" *) 2'h1;
assign _151_ = res_modes_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:820.9-820.28" *) 2'h0;
assign _152_ = res_controls_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:821.10-821.32" *) 2'h2;
assign _153_ = res_controls_7 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:821.36-821.58" *) 2'h1;
assign _154_ = res_modes_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:823.9-823.28" *) 2'h0;
assign _155_ = res_controls_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:824.10-824.32" *) 2'h2;
assign _156_ = res_controls_6 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:824.36-824.58" *) 2'h1;
assign _157_ = res_modes_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:826.9-826.28" *) 2'h0;
assign _158_ = res_controls_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:827.10-827.32" *) 2'h2;
assign _159_ = res_controls_5 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:827.36-827.58" *) 2'h1;
assign _160_ = res_modes_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:829.9-829.28" *) 2'h0;
assign _161_ = res_controls_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:830.10-830.32" *) 2'h2;
assign _162_ = res_controls_4 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:830.36-830.58" *) 2'h1;
assign _163_ = res_modes_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:832.9-832.28" *) 2'h0;
assign _164_ = res_controls_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:833.10-833.32" *) 2'h2;
assign _165_ = res_controls_3 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:833.36-833.58" *) 2'h1;
assign _166_ = res_modes_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:835.9-835.28" *) 2'h0;
assign _167_ = res_controls_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:836.10-836.32" *) 2'h2;
assign _168_ = res_controls_2 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:836.36-836.58" *) 2'h1;
assign _169_ = res_modes_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:838.9-838.28" *) 2'h0;
assign _170_ = res_controls_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:839.10-839.32" *) 2'h2;
assign _171_ = res_controls_1 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:839.36-839.58" *) 2'h1;
assign _172_ = res_modes_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:846.8-846.27" *) 2'h0;
assign _173_ = res_controls_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:847.9-847.31" *) 2'h2;
assign _174_ = res_controls_0 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:847.35-847.57" *) 2'h1;
assign _175_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:943.6-943.50" *) 32'd12;
assign _176_ = RST_N == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:952.9-952.22" *) 1'h0;
assign _177_ = WILL_FIRE_RL_res_bus_inner_process_outgoing && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:359.7-360.38" *) \res_bus_inner_outgoing$wget [33];
assign _178_ = _347_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:379.9-379.56" *) _043_;
assign _179_ = _348_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:380.9-380.56" *) _044_;
assign _180_ = _349_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:381.9-381.56" *) _045_;
assign _181_ = _350_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:382.9-382.56" *) _046_;
assign _182_ = _351_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:383.9-383.56" *) _047_;
assign _183_ = _352_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:384.9-384.56" *) _048_;
assign _184_ = _353_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:385.9-385.54" *) _049_;
assign _185_ = _354_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:386.9-386.54" *) _050_;
assign _186_ = _355_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:387.9-387.54" *) _051_;
assign _187_ = _356_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:388.9-388.54" *) _052_;
assign _188_ = _357_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:389.9-389.54" *) _053_;
assign _189_ = _358_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:390.9-390.54" *) _054_;
assign _190_ = _359_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:391.9-391.54" *) _055_;
assign _191_ = _360_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:392.9-392.54" *) _056_;
assign _192_ = _361_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:393.9-393.54" *) _057_;
assign _193_ = _362_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:394.9-394.54" *) _058_;
assign _194_ = _363_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.9-398.56" *) _059_;
assign _195_ = _194_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.9-399.34" *) res_registeredOutputs[15];
assign _196_ = _364_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.9-400.56" *) _060_;
assign _197_ = _196_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.9-401.34" *) res_registeredOutputs[14];
assign _198_ = _365_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.9-402.56" *) _061_;
assign _199_ = _198_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.9-403.34" *) res_registeredOutputs[13];
assign _200_ = _366_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.9-404.56" *) _062_;
assign _201_ = _200_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.9-405.34" *) res_registeredOutputs[12];
assign _202_ = _367_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.9-406.56" *) _063_;
assign _203_ = _202_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.9-407.34" *) res_registeredOutputs[11];
assign _204_ = _368_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.9-408.56" *) _064_;
assign _205_ = _204_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.9-409.34" *) res_registeredOutputs[10];
assign _206_ = _369_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.9-410.54" *) _065_;
assign _207_ = _206_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.9-411.33" *) res_registeredOutputs[9];
assign _208_ = _370_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.9-412.54" *) _066_;
assign _209_ = _208_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.9-413.33" *) res_registeredOutputs[8];
assign _210_ = _371_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.9-414.54" *) _067_;
assign _211_ = _210_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.9-415.33" *) res_registeredOutputs[7];
assign _212_ = _372_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.9-416.54" *) _068_;
assign _213_ = _212_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.9-417.33" *) res_registeredOutputs[6];
assign _214_ = _373_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.9-418.54" *) _069_;
assign _215_ = _214_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.9-419.33" *) res_registeredOutputs[5];
assign _216_ = _374_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.9-420.54" *) _070_;
assign _217_ = _216_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.9-421.33" *) res_registeredOutputs[4];
assign _218_ = _375_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.9-422.54" *) _071_;
assign _219_ = _218_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.9-423.33" *) res_registeredOutputs[3];
assign _220_ = _376_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.9-424.54" *) _072_;
assign _221_ = _220_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.9-425.33" *) res_registeredOutputs[2];
assign _222_ = _377_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.9-426.54" *) _073_;
assign _223_ = _222_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.9-427.33" *) res_registeredOutputs[1];
assign _224_ = _378_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.9-428.54" *) _074_;
assign _225_ = _224_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.9-429.33" *) res_registeredOutputs[0];
assign _226_ = res_bus_inner_fReq_rv[69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:433.7-433.62" *) _292_;
assign _227_ = _226_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:433.7-438.24" *) _382_;
assign _228_ = \res_bus_inner_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:442.7-442.69" *) res_bus_inner_pending;
assign _229_ = _297_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:446.7-447.34" *) \res_bus_inner_incoming$whas ;
assign _230_ = _229_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:446.7-448.29" *) _298_;
assign _231_ = WILL_FIRE_RL_res_bus_inner_process_outgoing && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:452.7-453.38" *) \res_bus_inner_outgoing$wget [33];
assign _232_ = _076_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:494.9-495.61" *) _313_;
assign _233_ = _079_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:496.9-497.61" *) _314_;
assign _234_ = _082_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:498.9-499.61" *) _315_;
assign _235_ = _085_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:500.9-501.61" *) _316_;
assign _236_ = _088_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:502.9-503.61" *) _317_;
assign _237_ = _091_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:504.9-505.61" *) _318_;
assign _238_ = _094_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:506.9-507.59" *) _319_;
assign _239_ = _097_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:508.9-509.59" *) _320_;
assign _240_ = _100_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:510.9-511.59" *) _321_;
assign _241_ = _103_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:512.9-513.59" *) _322_;
assign _242_ = _106_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:514.9-515.59" *) _323_;
assign _243_ = _109_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:516.9-517.59" *) _324_;
assign _244_ = _112_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:518.9-519.59" *) _325_;
assign _245_ = _115_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:520.9-521.59" *) _326_;
assign _246_ = _118_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:522.9-523.59" *) _327_;
assign _247_ = _121_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:524.9-525.59" *) _328_;
assign _248_ = slave_cyc_i && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:552.7-552.33" *) slave_stb_i;
assign _249_ = _248_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:552.7-554.41" *) _329_;
assign _250_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:558.7-559.44" *) _124_;
assign _251_ = _250_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:558.7-560.32" *) res_bus_inner_fReq_rv[36];
assign _252_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:572.7-573.44" *) _125_;
assign _253_ = _252_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:572.7-574.32" *) res_bus_inner_fReq_rv[36];
assign _254_ = _304_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:619.7-620.34" *) \res_bus_inner_incoming$whas ;
assign _255_ = _254_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:619.7-621.29" *) _305_;
assign _256_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:790.7-791.51" *) _126_;
assign _257_ = _256_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:790.7-792.32" *) res_bus_inner_fReq_rv[36];
assign _258_ = _127_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:796.9-797.61" *) _331_;
assign _259_ = _258_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:796.9-798.15" *) in[15];
assign _260_ = _130_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:799.9-800.61" *) _332_;
assign _261_ = _260_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:799.9-801.15" *) in[14];
assign _262_ = _133_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:802.9-803.61" *) _333_;
assign _263_ = _262_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:802.9-804.15" *) in[13];
assign _264_ = _136_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:805.9-806.61" *) _334_;
assign _265_ = _264_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:805.9-807.15" *) in[12];
assign _266_ = _139_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:808.9-809.61" *) _335_;
assign _267_ = _266_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:808.9-810.15" *) in[11];
assign _268_ = _142_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:811.9-812.61" *) _336_;
assign _269_ = _268_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:811.9-813.15" *) in[10];
assign _270_ = _145_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:814.9-815.59" *) _337_;
assign _271_ = _270_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:814.9-816.14" *) in[9];
assign _272_ = _148_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:817.9-818.59" *) _338_;
assign _273_ = _272_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:817.9-819.14" *) in[8];
assign _274_ = _151_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:820.9-821.59" *) _339_;
assign _275_ = _274_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:820.9-822.14" *) in[7];
assign _276_ = _154_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:823.9-824.59" *) _340_;
assign _277_ = _276_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:823.9-825.14" *) in[6];
assign _278_ = _157_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:826.9-827.59" *) _341_;
assign _279_ = _278_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:826.9-828.14" *) in[5];
assign _280_ = _160_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:829.9-830.59" *) _342_;
assign _281_ = _280_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:829.9-831.14" *) in[4];
assign _282_ = _163_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:832.9-833.59" *) _343_;
assign _283_ = _282_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:832.9-834.14" *) in[3];
assign _284_ = _166_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:835.9-836.59" *) _344_;
assign _285_ = _284_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:835.9-837.14" *) in[2];
assign _286_ = _169_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:838.9-839.59" *) _345_;
assign _287_ = _286_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:838.9-840.14" *) in[1];
assign _288_ = _172_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:846.8-847.58" *) _346_;
assign _289_ = _175_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:943.6-944.32" *) _307_;
assign _290_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:370.8-370.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
assign _291_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:371.8-371.40" *) \res_bus_inner_outgoing$wget [33];
assign _292_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:433.36-433.62" *) res_bus_inner_fRes_rv[33];
assign _293_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:435.3-435.29" *) res_bus_inner_fReq_rv[36];
assign _294_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:435.33-435.53" *) res_fNewCtl0_rv[32];
assign _295_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:437.3-437.29" *) res_bus_inner_fReq_rv[36];
assign _296_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:438.3-438.23" *) res_fNewCtl1_rv[32];
assign _297_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:446.7-446.45" *) \res_bus_inner_fReq_rv$port1__read [69];
assign _298_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:448.7-448.29" *) res_bus_inner_pending;
assign _299_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:462.8-462.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
assign _300_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:463.8-463.40" *) \res_bus_inner_outgoing$wget [33];
assign _301_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:553.8-553.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
assign _302_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:554.8-554.40" *) \res_bus_inner_outgoing$wget [33];
assign _303_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:616.7-616.51" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
assign _304_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:619.7-619.45" *) \res_bus_inner_fReq_rv$port1__read [69];
assign _305_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:621.7-621.29" *) res_bus_inner_pending;
assign _306_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:941.8-941.34" *) res_bus_inner_fReq_rv[36];
assign _307_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:944.6-944.32" *) res_bus_inner_fReq_rv[36];
assign _308_ = _290_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:370.8-371.40" *) _291_;
assign _309_ = _293_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:435.3-435.53" *) _294_;
assign _310_ = _379_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:436.3-437.29" *) _295_;
assign _311_ = _310_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:436.3-438.23" *) _296_;
assign _312_ = _299_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:462.8-463.40" *) _300_;
assign _313_ = _077_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:495.10-495.60" *) _078_;
assign _314_ = _080_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:497.10-497.60" *) _081_;
assign _315_ = _083_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:499.10-499.60" *) _084_;
assign _316_ = _086_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:501.10-501.60" *) _087_;
assign _317_ = _089_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:503.10-503.60" *) _090_;
assign _318_ = _092_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:505.10-505.60" *) _093_;
assign _319_ = _095_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:507.10-507.58" *) _096_;
assign _320_ = _098_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:509.10-509.58" *) _099_;
assign _321_ = _101_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:511.10-511.58" *) _102_;
assign _322_ = _104_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:513.10-513.58" *) _105_;
assign _323_ = _107_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:515.10-515.58" *) _108_;
assign _324_ = _110_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:517.10-517.58" *) _111_;
assign _325_ = _113_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:519.10-519.58" *) _114_;
assign _326_ = _116_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:521.10-521.58" *) _117_;
assign _327_ = _119_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:523.10-523.58" *) _120_;
assign _328_ = _122_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:525.10-525.58" *) _123_;
assign _329_ = _301_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:553.8-554.40" *) _302_;
assign _330_ = WILL_FIRE_RL_res_bus_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:618.7-621.29" *) _255_;
assign _331_ = _128_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:797.10-797.60" *) _129_;
assign _332_ = _131_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:800.10-800.60" *) _132_;
assign _333_ = _134_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:803.10-803.60" *) _135_;
assign _334_ = _137_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:806.10-806.60" *) _138_;
assign _335_ = _140_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:809.10-809.60" *) _141_;
assign _336_ = _143_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:812.10-812.60" *) _144_;
assign _337_ = _146_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:815.10-815.58" *) _147_;
assign _338_ = _149_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:818.10-818.58" *) _150_;
assign _339_ = _152_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:821.10-821.58" *) _153_;
assign _340_ = _155_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:824.10-824.58" *) _156_;
assign _341_ = _158_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:827.10-827.58" *) _159_;
assign _342_ = _161_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:830.10-830.58" *) _162_;
assign _343_ = _164_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:833.10-833.58" *) _165_;
assign _344_ = _167_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:836.10-836.58" *) _168_;
assign _345_ = _170_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:839.10-839.58" *) _171_;
assign _346_ = _173_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:847.9-847.57" *) _174_;
assign _347_ = res_modes_15 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:379.9-379.29" *) 2'h0;
assign _348_ = res_modes_14 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:380.9-380.29" *) 2'h0;
assign _349_ = res_modes_13 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:381.9-381.29" *) 2'h0;
assign _350_ = res_modes_12 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:382.9-382.29" *) 2'h0;
assign _351_ = res_modes_11 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:383.9-383.29" *) 2'h0;
assign _352_ = res_modes_10 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:384.9-384.29" *) 2'h0;
assign _353_ = res_modes_9 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:385.9-385.28" *) 2'h0;
assign _354_ = res_modes_8 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:386.9-386.28" *) 2'h0;
assign _355_ = res_modes_7 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:387.9-387.28" *) 2'h0;
assign _356_ = res_modes_6 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:388.9-388.28" *) 2'h0;
assign _357_ = res_modes_5 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:389.9-389.28" *) 2'h0;
assign _358_ = res_modes_4 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:390.9-390.28" *) 2'h0;
assign _359_ = res_modes_3 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:391.9-391.28" *) 2'h0;
assign _360_ = res_modes_2 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:392.9-392.28" *) 2'h0;
assign _361_ = res_modes_1 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:393.9-393.28" *) 2'h0;
assign _362_ = res_modes_0 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:394.9-394.28" *) 2'h0;
assign _363_ = res_modes_15 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:398.9-398.29" *) 2'h0;
assign _364_ = res_modes_14 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:400.9-400.29" *) 2'h0;
assign _365_ = res_modes_13 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:402.9-402.29" *) 2'h0;
assign _366_ = res_modes_12 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:404.9-404.29" *) 2'h0;
assign _367_ = res_modes_11 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:406.9-406.29" *) 2'h0;
assign _368_ = res_modes_10 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:408.9-408.29" *) 2'h0;
assign _369_ = res_modes_9 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:410.9-410.28" *) 2'h0;
assign _370_ = res_modes_8 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:412.9-412.28" *) 2'h0;
assign _371_ = res_modes_7 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:414.9-414.28" *) 2'h0;
assign _372_ = res_modes_6 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:416.9-416.28" *) 2'h0;
assign _373_ = res_modes_5 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:418.9-418.28" *) 2'h0;
assign _374_ = res_modes_4 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:420.9-420.28" *) 2'h0;
assign _375_ = res_modes_3 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:422.9-422.28" *) 2'h0;
assign _376_ = res_modes_2 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:424.9-424.28" *) 2'h0;
assign _377_ = res_modes_1 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:426.9-426.28" *) 2'h0;
assign _378_ = res_modes_0 != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:428.9-428.28" *) 2'h0;
assign _379_ = res_bus_inner_fReq_rv[68:37] != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:436.3-436.40" *) 32'd4;
assign _380_ = _308_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:370.7-375.11" *) 32'd0 : _381_;
assign _381_ = \res_bus_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:373.10-375.10" *) \res_bus_inner_outgoing$wget [31:0] : 32'd0;
assign _382_ = _075_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:434.8-438.23" *) _309_ : _311_;
assign _383_ = _312_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:462.7-467.11" *) 32'd0 : _384_;
assign _384_ = \res_bus_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:465.10-467.10" *) \res_bus_inner_outgoing$wget [31:0] : 32'd0;
assign _385_ = \res_fNewCtl0_rv$EN_port0__write ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:564.7-566.24" *) \res_fNewCtl0_rv$port0__write_1 : res_fNewCtl0_rv;
assign _386_ = \res_fNewCtl0_rv$port1__read [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:568.7-570.36" *) 33'h0aaaaaaaa : \res_fNewCtl0_rv$port1__read ;
assign _387_ = \res_fNewCtl1_rv$EN_port0__write ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:576.7-578.24" *) \res_fNewCtl0_rv$port0__write_1 : res_fNewCtl1_rv;
assign _388_ = \res_fNewCtl1_rv$port1__read [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:580.7-582.36" *) 33'h0aaaaaaaa : \res_fNewCtl1_rv$port1__read ;
assign _389_ = WILL_FIRE_RL_res_wbRequest ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:584.7-586.30" *) 70'h0aaaaaaaaaaaaaaaaa : res_bus_inner_fReq_rv;
assign _390_ = \MUX_res_bus_inner_pending$write_1__SEL_2 ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:590.7-592.42" *) \res_bus_inner_fReq_rv$port1__write_1 : \res_bus_inner_fReq_rv$port1__read ;
assign _391_ = WILL_FIRE_RL_res_wbRequest ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:598.7-600.30" *) \res_bus_inner_fRes_rv$port0__write_1 : res_bus_inner_fRes_rv;
assign _392_ = WILL_FIRE_RL_res_bus_inner_process_outgoing ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:602.7-604.42" *) 34'h0aaaaaaaa : \res_bus_inner_fRes_rv$port1__read ;
assign _393_ = _288_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:846.7-849.14" *) result__h24228 : 16'h0000;
assign _394_ = in[0] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:857.27-857.48" *) 16'h0001 : 16'h0000;
always @* begin
if (\$auto$verilog_backend.cc:2083:dump_module$360 ) begin end
_001_ = _042_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:892.5-934.12" *)
casez (res_bus_inner_fReq_rv[68:37])
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:0.0-0.0" */
32'd0:
_042_ = { res_controls_7, res_modes_7, res_controls_6, res_modes_6, res_controls_5, res_modes_5, res_controls_4, res_modes_4, res_controls_3, res_modes_3, res_controls_2, res_modes_2, res_controls_1, res_modes_1, res_controls_0, res_modes_0 };
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:0.0-0.0" */
32'd4:
_042_ = { res_controls_15, res_modes_15, res_controls_14, res_modes_14, res_controls_13, res_modes_13, res_controls_12, res_modes_12, res_controls_11, res_modes_11, res_controls_10, res_modes_10, res_controls_9, res_modes_9, res_controls_8, res_modes_8 };
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:0.0-0.0" */
32'd8:
_042_ = stat__h19437;
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:0.0-0.0" */
default:
_042_ = octl__h19407;
endcase
end
always @* begin
IF_res_bus_inner_fReq_rv_port0__read__89_BITS__ETC___d452 <= _001_;
end
always @* begin
if (\$auto$verilog_backend.cc:2083:dump_module$360 ) begin end
_000_ = _041_;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:938.5-945.12" *)
casez (res_bus_inner_fReq_rv[68:37])
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:0.0-0.0" */
32'd0, 32'd4, 32'd8:
_041_ = _306_;
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:0.0-0.0" */
default:
_041_ = _289_;
endcase
end
always @* begin
CASE_res_bus_inner_fReq_rv_BITS_68_TO_37_0_NOT_ETC__q1 <= _000_;
end
always @* begin
if (\$auto$verilog_backend.cc:2083:dump_module$360 ) begin end
_002_ = res_bus_inner_fReq_rv;
_003_ = res_bus_inner_fRes_rv;
_004_ = res_bus_inner_pending;
_005_ = res_controls_0;
_012_ = res_controls_1;
_006_ = res_controls_10;
_007_ = res_controls_11;
_008_ = res_controls_12;
_009_ = res_controls_13;
_010_ = res_controls_14;
_011_ = res_controls_15;
_013_ = res_controls_2;
_014_ = res_controls_3;
_015_ = res_controls_4;
_016_ = res_controls_5;
_017_ = res_controls_6;
_018_ = res_controls_7;
_019_ = res_controls_8;
_020_ = res_controls_9;
_021_ = res_fNewCtl0_rv;
_022_ = res_fNewCtl1_rv;
_023_ = res_modes_0;
_030_ = res_modes_1;
_024_ = res_modes_10;
_025_ = res_modes_11;
_026_ = res_modes_12;
_027_ = res_modes_13;
_028_ = res_modes_14;
_029_ = res_modes_15;
_031_ = res_modes_2;
_032_ = res_modes_3;
_033_ = res_modes_4;
_034_ = res_modes_5;
_035_ = res_modes_6;
_036_ = res_modes_7;
_037_ = res_modes_8;
_038_ = res_modes_9;
_039_ = res_registeredOutputs;
_040_ = res_sampledInputs;
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:952.5-1078.10" *)
casez (_176_)
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:952.9-952.22" */
1'h1:
begin
_002_ = 70'h0aaaaaaaaaaaaaaaaa;
_003_ = 34'h0aaaaaaaa;
_004_ = 1'h0;
_005_ = 2'h1;
_012_ = 2'h1;
_006_ = 2'h1;
_007_ = 2'h1;
_008_ = 2'h1;
_009_ = 2'h1;
_010_ = 2'h1;
_011_ = 2'h1;
_013_ = 2'h1;
_014_ = 2'h1;
_015_ = 2'h1;
_016_ = 2'h1;
_017_ = 2'h1;
_018_ = 2'h1;
_019_ = 2'h1;
_020_ = 2'h1;
_021_ = 33'h0aaaaaaaa;
_022_ = 33'h0aaaaaaaa;
_023_ = 2'h0;
_030_ = 2'h0;
_024_ = 2'h0;
_025_ = 2'h0;
_026_ = 2'h0;
_027_ = 2'h0;
_028_ = 2'h0;
_029_ = 2'h0;
_031_ = 2'h0;
_032_ = 2'h0;
_033_ = 2'h0;
_034_ = 2'h0;
_035_ = 2'h0;
_036_ = 2'h0;
_037_ = 2'h0;
_038_ = 2'h0;
_039_ = 16'h0000;
_040_ = 16'h0000;
end
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:994.5-994.9" */
default:
begin
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:996.9-998.35" *)
casez (\res_bus_inner_fReq_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:996.13-996.37" */
1'h1:
_002_ = \res_bus_inner_fReq_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:999.2-1001.35" *)
casez (\res_bus_inner_fRes_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:999.6-999.30" */
1'h1:
_003_ = \res_bus_inner_fRes_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1002.2-1004.35" *)
casez (\res_bus_inner_pending$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1002.6-1002.30" */
1'h1:
_004_ = \res_bus_inner_pending$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1005.2-1006.43" *)
casez (\res_controls_0$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1005.6-1005.23" */
1'h1:
_005_ = \res_controls_0$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1007.2-1008.43" *)
casez (\res_controls_1$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1007.6-1007.23" */
1'h1:
_012_ = \res_controls_1$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1009.2-1010.45" *)
casez (\res_controls_10$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1009.6-1009.24" */
1'h1:
_006_ = \res_controls_10$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1011.2-1012.45" *)
casez (\res_controls_11$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1011.6-1011.24" */
1'h1:
_007_ = \res_controls_11$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1013.2-1014.45" *)
casez (\res_controls_12$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1013.6-1013.24" */
1'h1:
_008_ = \res_controls_12$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1015.2-1016.45" *)
casez (\res_controls_13$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1015.6-1015.24" */
1'h1:
_009_ = \res_controls_13$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1017.2-1018.45" *)
casez (\res_controls_14$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1017.6-1017.24" */
1'h1:
_010_ = \res_controls_14$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1019.2-1020.45" *)
casez (\res_controls_15$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1019.6-1019.24" */
1'h1:
_011_ = \res_controls_15$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1021.2-1022.43" *)
casez (\res_controls_2$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1021.6-1021.23" */
1'h1:
_013_ = \res_controls_2$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1023.2-1024.43" *)
casez (\res_controls_3$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1023.6-1023.23" */
1'h1:
_014_ = \res_controls_3$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1025.2-1026.43" *)
casez (\res_controls_4$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1025.6-1025.23" */
1'h1:
_015_ = \res_controls_4$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1027.2-1028.43" *)
casez (\res_controls_5$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1027.6-1027.23" */
1'h1:
_016_ = \res_controls_5$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1029.2-1030.43" *)
casez (\res_controls_6$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1029.6-1029.23" */
1'h1:
_017_ = \res_controls_6$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1031.2-1032.43" *)
casez (\res_controls_7$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1031.6-1031.23" */
1'h1:
_018_ = \res_controls_7$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1033.2-1034.43" *)
casez (\res_controls_8$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1033.6-1033.23" */
1'h1:
_019_ = \res_controls_8$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1035.2-1036.43" *)
casez (\res_controls_9$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1035.6-1035.23" */
1'h1:
_020_ = \res_controls_9$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1037.2-1038.45" *)
casez (\res_fNewCtl0_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1037.6-1037.24" */
1'h1:
_021_ = \res_fNewCtl0_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1039.2-1040.45" *)
casez (\res_fNewCtl1_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1039.6-1039.24" */
1'h1:
_022_ = \res_fNewCtl1_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1041.2-1042.37" *)
casez (\res_modes_0$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1041.6-1041.20" */
1'h1:
_023_ = \res_modes_0$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1043.2-1044.37" *)
casez (\res_modes_1$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1043.6-1043.20" */
1'h1:
_030_ = \res_modes_1$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1045.2-1046.39" *)
casez (\res_modes_10$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1045.6-1045.21" */
1'h1:
_024_ = \res_modes_10$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1047.2-1048.39" *)
casez (\res_modes_11$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1047.6-1047.21" */
1'h1:
_025_ = \res_modes_11$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1049.2-1050.39" *)
casez (\res_modes_12$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1049.6-1049.21" */
1'h1:
_026_ = \res_modes_12$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1051.2-1052.39" *)
casez (\res_modes_13$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1051.6-1051.21" */
1'h1:
_027_ = \res_modes_13$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1053.2-1054.39" *)
casez (\res_modes_14$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1053.6-1053.21" */
1'h1:
_028_ = \res_modes_14$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1055.2-1056.39" *)
casez (\res_modes_15$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1055.6-1055.21" */
1'h1:
_029_ = \res_modes_15$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1057.2-1058.37" *)
casez (\res_modes_2$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1057.6-1057.20" */
1'h1:
_031_ = \res_modes_2$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1059.2-1060.37" *)
casez (\res_modes_3$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1059.6-1059.20" */
1'h1:
_032_ = \res_modes_3$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1061.2-1062.37" *)
casez (\res_modes_4$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1061.6-1061.20" */
1'h1:
_033_ = \res_modes_4$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1063.2-1064.37" *)
casez (\res_modes_5$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1063.6-1063.20" */
1'h1:
_034_ = \res_modes_5$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1065.2-1066.37" *)
casez (\res_modes_6$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1065.6-1065.20" */
1'h1:
_035_ = \res_modes_6$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1067.2-1068.37" *)
casez (\res_modes_7$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1067.6-1067.20" */
1'h1:
_036_ = \res_modes_7$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1069.2-1070.37" *)
casez (\res_modes_8$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1069.6-1069.20" */
1'h1:
_037_ = \res_modes_8$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1071.2-1072.37" *)
casez (\res_modes_9$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1071.6-1071.20" */
1'h1:
_038_ = \res_modes_9$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1073.2-1075.35" *)
casez (\res_registeredOutputs$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1073.6-1073.30" */
1'h1:
_039_ = \res_registeredOutputs$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1076.2-1077.49" *)
casez (\res_sampledInputs$EN )
/* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100GPIO.v:1076.6-1076.26" */
1'h1:
_040_ = \res_sampledInputs$D_IN ;
default:
/* empty */;
endcase
end
endcase
end
always @(posedge CLK) begin
res_bus_inner_fReq_rv <= _002_;
res_bus_inner_fRes_rv <= _003_;
res_bus_inner_pending <= _004_;
res_controls_0 <= _005_;
res_controls_1 <= _012_;
res_controls_10 <= _006_;
res_controls_11 <= _007_;
res_controls_12 <= _008_;
res_controls_13 <= _009_;
res_controls_14 <= _010_;
res_controls_15 <= _011_;
res_controls_2 <= _013_;
res_controls_3 <= _014_;
res_controls_4 <= _015_;
res_controls_5 <= _016_;
res_controls_6 <= _017_;
res_controls_7 <= _018_;
res_controls_8 <= _019_;
res_controls_9 <= _020_;
res_fNewCtl0_rv <= _021_;
res_fNewCtl1_rv <= _022_;
res_modes_0 <= _023_;
res_modes_1 <= _030_;
res_modes_10 <= _024_;
res_modes_11 <= _025_;
res_modes_12 <= _026_;
res_modes_13 <= _027_;
res_modes_14 <= _028_;
res_modes_15 <= _029_;
res_modes_2 <= _031_;
res_modes_3 <= _032_;
res_modes_4 <= _033_;
res_modes_5 <= _034_;
res_modes_6 <= _035_;
res_modes_7 <= _036_;
res_modes_8 <= _037_;
res_modes_9 <= _038_;
res_registeredOutputs <= _039_;
res_sampledInputs <= _040_;
end
assign slave_ack_o = _177_;
assign slave_err_o = 1'h0;
assign slave_rty_o = 1'h0;
assign slave_dat_o = _380_;
assign oe = { _178_, _179_, _180_, _181_, _182_, _183_, _184_, _185_, _186_, _187_, _188_, _189_, _190_, _191_, _192_, _193_ };
assign out = { _195_, _197_, _199_, _201_, _203_, _205_, _207_, _209_, _211_, _213_, _215_, _217_, _219_, _221_, _223_, _225_ };
assign WILL_FIRE_RL_res_wbRequest = _227_;
assign WILL_FIRE_RL_res_bus_inner_process_outgoing = _228_;
assign \MUX_res_bus_inner_pending$write_1__SEL_2 = _230_;
assign \res_bus_inner_probeAck$PROBE = _231_;
assign \res_bus_inner_probeAck$PROBE_VALID = 1'h1;
assign \res_bus_inner_probeAdr$PROBE = slave_adr_i;
assign \res_bus_inner_probeAdr$PROBE_VALID = 1'h1;
assign \res_bus_inner_probeCyc$PROBE = slave_cyc_i;
assign \res_bus_inner_probeCyc$PROBE_VALID = 1'h1;
assign \res_bus_inner_probeDataIn$PROBE = slave_dat_i;
assign \res_bus_inner_probeDataIn$PROBE_VALID = 1'h1;
assign \res_bus_inner_probeDataOut$PROBE = _383_;
assign \res_bus_inner_probeDataOut$PROBE_VALID = 1'h1;
assign \res_bus_inner_probeSel$PROBE = slave_sel_i;
assign \res_bus_inner_probeSel$PROBE_VALID = 1'h1;
assign \res_bus_inner_probeStb$PROBE = slave_stb_i;
assign \res_bus_inner_probeStb$PROBE_VALID = 1'h1;
assign \res_bus_inner_probeWe$PROBE = slave_we_i;
assign \res_bus_inner_probeWe$PROBE_VALID = 1'h1;
assign \res_probeControls$PROBE = { res_controls_15, res_controls_14, res_controls_13, res_controls_12, res_controls_11, res_controls_10, res_controls_9, res_controls_8, res_controls_7, res_controls_6, res_controls_5, res_controls_4, res_controls_3, res_controls_2, res_controls_1, res_controls_0 };
assign \res_probeControls$PROBE_VALID = 1'h1;
assign \res_probeIsInput$PROBE = { _232_, _233_, _234_, _235_, _236_, _237_, _238_, _239_, _240_, _241_, _242_, _243_, _244_, _245_, _246_, _247_ };
assign \res_probeIsInput$PROBE_VALID = 1'h1;
assign \res_probeIsOutput$PROBE = oe;
assign \res_probeIsOutput$PROBE_VALID = 1'h1;
assign \res_probeModes$PROBE = { res_modes_15, res_modes_14, res_modes_13, res_modes_12, res_modes_11, res_modes_10, res_modes_9, res_modes_8, res_modes_7, res_modes_6, res_modes_5, res_modes_4, res_modes_3, res_modes_2, res_modes_1, res_modes_0 };
assign \res_probeModes$PROBE_VALID = 1'h1;
assign \res_bus_inner_incoming$wget = { slave_adr_i, slave_we_i, slave_dat_i, slave_sel_i };
assign \res_bus_inner_incoming$whas = _249_;
assign \res_bus_inner_outgoing$wget = { 1'h1, \res_bus_inner_fRes_rv$port1__read [32:0] };
assign \res_fNewCtl0_rv$EN_port0__write = _251_;
assign \res_fNewCtl0_rv$port0__write_1 = { 1'h1, res_bus_inner_fReq_rv[35:4] };
assign \res_fNewCtl0_rv$port1__read = _385_;
assign \res_fNewCtl0_rv$port2__read = _386_;
assign \res_fNewCtl1_rv$EN_port0__write = _253_;
assign \res_fNewCtl1_rv$port1__read = _387_;
assign \res_fNewCtl1_rv$port2__read = _388_;
assign \res_bus_inner_fReq_rv$port1__read = _389_;
assign \res_bus_inner_fReq_rv$port1__write_1 = { 1'h1, \res_bus_inner_incoming$wget };
assign \res_bus_inner_fReq_rv$port2__read = _390_;
assign \res_bus_inner_fRes_rv$port0__write_1 = { 1'h1, CASE_res_bus_inner_fReq_rv_BITS_68_TO_37_0_NOT_ETC__q1, IF_res_bus_inner_fReq_rv_port0__read__89_BITS__ETC___d452 };
assign \res_bus_inner_fRes_rv$port1__read = _391_;
assign \res_bus_inner_fRes_rv$port2__read = _392_;
assign \res_bus_inner_fReq_rv$D_IN = \res_bus_inner_fReq_rv$port2__read ;
assign \res_bus_inner_fReq_rv$EN = 1'h1;
assign \res_bus_inner_fRes_rv$D_IN = \res_bus_inner_fRes_rv$port2__read ;
assign \res_bus_inner_fRes_rv$EN = 1'h1;
assign \res_bus_inner_pending$D_IN = _303_;
assign \res_bus_inner_pending$EN = _330_;
assign \res_controls_0$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[3:2];
assign \res_controls_0$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_controls_1$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[7:6];
assign \res_controls_1$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_controls_10$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[11:10];
assign \res_controls_10$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_controls_11$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[15:14];
assign \res_controls_11$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_controls_12$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[19:18];
assign \res_controls_12$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_controls_13$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[23:22];
assign \res_controls_13$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_controls_14$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[27:26];
assign \res_controls_14$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_controls_15$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[31:30];
assign \res_controls_15$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_controls_2$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[11:10];
assign \res_controls_2$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_controls_3$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[15:14];
assign \res_controls_3$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_controls_4$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[19:18];
assign \res_controls_4$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_controls_5$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[23:22];
assign \res_controls_5$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_controls_6$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[27:26];
assign \res_controls_6$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_controls_7$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[31:30];
assign \res_controls_7$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_controls_8$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[3:2];
assign \res_controls_8$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_controls_9$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[7:6];
assign \res_controls_9$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_fNewCtl0_rv$D_IN = \res_fNewCtl0_rv$port2__read ;
assign \res_fNewCtl0_rv$EN = 1'h1;
assign \res_fNewCtl1_rv$D_IN = \res_fNewCtl1_rv$port2__read ;
assign \res_fNewCtl1_rv$EN = 1'h1;
assign \res_modes_0$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[1:0];
assign \res_modes_0$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_modes_1$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[5:4];
assign \res_modes_1$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_modes_10$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[9:8];
assign \res_modes_10$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_modes_11$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[13:12];
assign \res_modes_11$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_modes_12$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[17:16];
assign \res_modes_12$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_modes_13$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[21:20];
assign \res_modes_13$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_modes_14$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[25:24];
assign \res_modes_14$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_modes_15$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[29:28];
assign \res_modes_15$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_modes_2$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[9:8];
assign \res_modes_2$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_modes_3$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[13:12];
assign \res_modes_3$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_modes_4$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[17:16];
assign \res_modes_4$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_modes_5$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[21:20];
assign \res_modes_5$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_modes_6$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[25:24];
assign \res_modes_6$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_modes_7$D_IN = res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2[29:28];
assign \res_modes_7$EN = \res_fNewCtl0_rv$port1__read [32];
assign \res_modes_8$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[1:0];
assign \res_modes_8$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_modes_9$D_IN = res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3[5:4];
assign \res_modes_9$EN = \res_fNewCtl1_rv$port1__read [32];
assign \res_registeredOutputs$D_IN = res_bus_inner_fReq_rv_BITS_35_TO_4__q4[15:0];
assign \res_registeredOutputs$EN = _257_;
assign \res_sampledInputs$D_IN = { _259_, _261_, _263_, _265_, _267_, _269_, _271_, _273_, _275_, _277_, _279_, _281_, _283_, _285_, _287_, IF_res_modes_0_3_EQ_0_58_AND_res_controls_0_7__ETC___d536[0] };
assign \res_sampledInputs$EN = 1'h1;
assign IF_res_modes_0_3_EQ_0_58_AND_res_controls_0_7__ETC___d536 = _393_;
assign octl__h19407 = { 16'h0000, res_registeredOutputs };
assign res_bus_inner_fReq_rv_BITS_35_TO_4__q4 = res_bus_inner_fReq_rv[35:4];
assign res_fNewCtl0_rvport1__read_BITS_31_TO_0__q2 = \res_fNewCtl0_rv$port1__read [31:0];
assign res_fNewCtl1_rvport1__read_BITS_31_TO_0__q3 = \res_fNewCtl1_rv$port1__read [31:0];
assign result__h24228 = _394_;
assign stat__h19437 = { 16'h0000, res_sampledInputs };
endmodule