Shell script to run the testbench
diff --git a/verilog/dv/wb_bfm_carrier_part_test/verify_carrier.sh b/verilog/dv/wb_bfm_carrier_part_test/verify_carrier.sh
index 1e1e2bf..7a10781 100644
--- a/verilog/dv/wb_bfm_carrier_part_test/verify_carrier.sh
+++ b/verilog/dv/wb_bfm_carrier_part_test/verify_carrier.sh
@@ -1,4 +1,4 @@
-# Designs in ../rtl folder
+# Designs in ../../rtl folder
 # Testbench in this folder
 # Requires iverilog and gtkwave as binary executables.