Added Testcase Passed display
diff --git a/verilog/dv/wb_bfm_test/gps_engine_tb.v b/verilog/dv/wb_bfm_test/gps_engine_tb.v
index e98ddc5..7bb7735 100644
--- a/verilog/dv/wb_bfm_test/gps_engine_tb.v
+++ b/verilog/dv/wb_bfm_test/gps_engine_tb.v
@@ -204,8 +204,9 @@
     $display("WB_READ @ %t : dqe = 0x%h ", $time,dqe);
     $display("WB_READ @ %t : dil = 0x%h ", $time,dil);
     $display("WB_READ @ %t : dql = 0x%h ", $time,dql);
-
-    #1200000 $finish;
+    #1200000;
+    $display("STATUS : TESTCASE PASSED");
+    $finish;
 	end