commit vco passing prechecks
diff --git a/mag/3-stage_cs-vco_dp9/3-stage_cs-vco_dp9.ext b/mag/3-stage_cs-vco_dp9/3-stage_cs-vco_dp9.ext
index 234bc4f..086b400 100755
--- a/mag/3-stage_cs-vco_dp9/3-stage_cs-vco_dp9.ext
+++ b/mag/3-stage_cs-vco_dp9/3-stage_cs-vco_dp9.ext
@@ -1,4 +1,4 @@
-timestamp 1647616625
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -90,567 +90,567 @@
 node "a_589_1133#" 114 4.8972 589 1133 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1848 188 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "vdd" 47677 19504.4 1633 2181 m1 0 0 0 0 5615313 15454 0 0 287028 16952 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 313276 18700 1096287 27918 39012 1368 394100 8080 0 0 0 0 0 0
 substrate "vss" 0 0 1893 2441 m1 0 0 0 0 0 0 0 0 0 0 267036 15776 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 278324 16644 861968 30636 39012 1368 341000 7018 0 0 0 0 0 0
-cap "a_879_204#" "li_611_133#" 11.55
-cap "ng2" "vctrl" 1046.55
-cap "net3" "vdd" 254.387
-cap "net8" "ng0" 135.658
-cap "pg2" "sel3" 360.385
-cap "pg2" "pg0" 15.9873
-cap "pg3" "a_589_1133#" 19.205
-cap "net4" "net5" 80.6316
-cap "pg3" "pg1" 13.3403
-cap "net4" "li_611_133#" 3.57049
-cap "ng1" "ng2" 57.7228
-cap "li_1179_712#" "out" 46.9389
-cap "sel2" "ng0" 22.2163
-cap "li_1179_n70#" "net7" 23.8335
-cap "ng2" "vdd" 3.89313
-cap "sel0" "ng0" 5.55985
-cap "li_1388_346#" "net7" 12.97
-cap "sel3" "pg1" 103.983
-cap "m1_n1099_1625#" "pg2" 1112.84
-cap "pg2" "sel2" 192.028
-cap "pg0" "pg1" 101.678
-cap "li_528_n678#" "ng3" 71.6688
-cap "li_523_1149#" "a_589_1133#" 25.2972
-cap "sel3" "ng3" 13.6627
-cap "li_n118_290#" "vdd" 47.8032
-cap "li_1388_346#" "vdd" 17.0301
-cap "pg3" "sel3" 250.541
-cap "ng0" "vctrl" 1475.82
-cap "m1_n1099_1625#" "pg1" 478.177
-cap "sel2" "pg1" 166.472
-cap "net6" "out" 3.9521
-cap "sel3" "vgp" 148.083
-cap "pg3" "li_523_1149#" 92.738
-cap "a_879_204#" "vdd" 11
-cap "ng1" "ng0" 113.773
-cap "sel2" "ng3" 19.4974
-cap "sel3" "pg0" 299.342
-cap "pg2" "net2" 0.944882
-cap "net8" "vgp" 28.224
-cap "net6" "li_611_133#" 28.2715
-cap "li_1179_712#" "net7" 3.3
-cap "vdd" "ng0" 3.89313
-cap "net4" "vdd" 146.063
-cap "pg3" "m1_n1099_1625#" 167.763
-cap "pg3" "sel2" 9.13793
-cap "net5" "vgp" 23
-cap "net3" "li_n118_290#" 12.1957
-cap "m1_n1099_1625#" "vgp" 29.1549
-cap "pg2" "vdd" 873.473
-cap "sel3" "sel2" 7080.01
 cap "m1_n1099_1625#" "sel3" 2877.8
-cap "sel1" "ng0" 52.4429
-cap "net2" "pg1" 1.33005
-cap "pg0" "sel2" 218.342
-cap "m1_n1099_1625#" "pg0" 1657.78
-cap "li_1179_712#" "vdd" 342.954
-cap "net5" "net8" 159.767
-cap "sel3" "sel0" 785.479
-cap "pg0" "sel0" 5.55985
-cap "ng3" "vctrl" 173.123
-cap "net5" "li_611_133#" 25.3289
-cap "vdd" "a_589_1133#" 51.7
-cap "net4" "net3" 30.6658
-cap "net6" "net7" 106.342
-cap "vdd" "pg1" 503.171
-cap "pg3" "net2" 5.52
-cap "ng1" "ng3" 13.7266
-cap "vgp" "vctrl" 2.925
-cap "m1_n1099_1625#" "sel2" 1213.1
-cap "sel2" "sel0" 1336.43
-cap "m1_n1099_1625#" "sel0" 199.69
-cap "ng3" "vdd" 216.549
-cap "vgp" "net2" 35.6134
-cap "net7" "out" 100.159
-cap "ng2" "ng0" 11.5226
-cap "sel3" "vctrl" 281.048
-cap "sel1" "pg1" 83.4672
-cap "pg3" "vdd" 1652.67
-cap "net6" "vdd" 126.227
-cap "pg0" "net2" 143.754
-cap "vgp" "vdd" 382.224
-cap "li_611_133#" "net7" 5.25334
-cap "ng1" "sel3" 15.8171
-cap "a_590_n694#" "ng3" 19.205
-cap "net8" "net2" 27.8642
-cap "sel3" "vdd" 2284.39
-cap "out" "vdd" 658.458
-cap "net4" "li_n118_290#" 23.2619
-cap "pg0" "vdd" 1183.63
-cap "net5" "net2" 157.498
-cap "sel2" "vctrl" 311.671
-cap "ng1" "net8" 0.93361
-cap "sel0" "vctrl" 523.352
-cap "li_523_1149#" "vdd" 152.4
-cap "a_590_n694#" "li_528_n678#" 25.2972
-cap "net5" "vdd" 249.936
-cap "li_611_133#" "vdd" 94.682
-cap "ng1" "sel2" 26.549
-cap "sel1" "sel3" 1989.41
-cap "sel1" "pg0" 224.578
-cap "sel2" "vdd" 1653.24
-cap "m1_n1099_1625#" "vdd" 3992.12
-cap "ng2" "ng3" 368.531
-cap "sel0" "vdd" 21.3132
-cap "m1_488_n269#" "ng3" 0.609756
-cap "net3" "net8" 53.7118
-cap "sel1" "sel2" 6161.54
-cap "m1_n1099_1625#" "sel1" 821.237
-cap "sel1" "sel0" 3544.74
-cap "net3" "net5" 77.5585
-cap "ng2" "sel3" 15.1539
-cap "ng1" "vctrl" 387.107
-cap "net7" "vdd" 151.377
-cap "vdd" "vctrl" 352.59
-cap "net6" "li_1179_n70#" 0.798387
-cap "vdd" "net2" 2929.62
-cap "m1_488_n269#" "net8" 15.7628
-cap "ng1" "vdd" 4.00763
-cap "pg2" "pg1" 51.6756
-cap "ng2" "sel2" 21.9918
-cap "li_1388_346#" "out" 6.26867
-cap "sel1" "vctrl" 640.179
-cap "sel1" "ng1" 7.6556
-cap "net3" "net2" 64.4913
-cap "pg3" "pg2" 301.72
-cap "sel1" "vdd" 1041.82
+cap "ng1" "ng0" 113.773
+cap "sel3" "pg3" 250.541
+cap "vdd" "out" 658.458
+cap "vdd" "net6" 126.227
+cap "out" "net6" 3.9521
 cap "sel3" "ng0" 17.0393
-cap "vco_switch_n_v2_0/in" "vco_switch_n_v2_0/out" 147.165
-cap "vco_switch_n_v2_0/sel" "vco_switch_n_v2_0/out" 18.1424
-cap "vco_switch_n_v2_0/in" "vco_switch_n_v2_0/selb" 66.5781
-cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_0/out" 30.5942
-cap "vco_switch_n_v2_0/vdd" "vco_switch_n_v2_0/in" 26.1045
-cap "vco_switch_n_v2_0/vss" "vco_switch_n_v2_0/in" 453.266
-cap "vco_switch_n_v2_0/sel" "vco_switch_n_v2_0/selb" 45.6391
-cap "vco_switch_n_v2_0/vss" "sel3" 43.405
-cap "sel3" "vco_switch_n_v2_0/selb" 6.00852
-cap "vco_switch_n_v2_0/vdd" "sel3" 162.947
-cap "vco_switch_n_v2_0/vdd" "vco_switch_n_v2_0/sel" 6.73021
-cap "vco_switch_n_v2_0/sel" "vco_switch_n_v2_0/vss" 27.295
-cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_0/selb" 1.09235
-cap "vco_switch_n_v2_0/in" "XM26/a_n76_n69#" 0.734364
-cap "sel2" "vco_switch_n_v2_0/sel" 18.8183
-cap "vco_switch_n_v2_0/out" "vco_switch_n_v2_0/selb" 30.9974
-cap "vco_switch_n_v2_0/vdd" "vco_switch_n_v2_0/out" 94.2427
-cap "vco_switch_n_v2_0/vss" "vco_switch_n_v2_0/out" 101.526
-cap "vco_switch_n_v2_0/in" "vco_switch_n_v2_1/sel" 103.004
-cap "sel2" "vco_switch_n_v2_0/out" 137.717
-cap "vco_switch_n_v2_0/vdd" "vco_switch_n_v2_0/selb" 24.3718
-cap "vco_switch_n_v2_0/sel" "vco_switch_n_v2_1/sel" 17.4878
-cap "vco_switch_n_v2_0/vss" "vco_switch_n_v2_0/selb" 42.962
-cap "sel2" "vco_switch_n_v2_0/selb" 35.26
-cap "sel2" "vco_switch_n_v2_0/vss" 27.9619
-cap "vco_switch_n_v2_0/vdd" "sel2" -67.6471
-cap "vco_switch_n_v2_0/vss" "XM26/a_n76_n69#" 356.268
-cap "vco_switch_n_v2_0/out" "vco_switch_n_v2_1/sel" 38.8126
-cap "vco_switch_n_v2_0/in" "sel3" 1.875
-cap "vco_switch_n_v2_0/sel" "vco_switch_n_v2_0/in" 19.2798
+cap "vdd" "sel0" 21.3132
+cap "a_879_204#" "li_611_133#" 11.55
+cap "net2" "pg1" 1.33005
+cap "net2" "pg0" 143.754
+cap "li_523_1149#" "a_589_1133#" 25.2972
+cap "sel3" "vgp" 148.083
+cap "li_523_1149#" "pg3" 92.738
+cap "vdd" "ng1" 4.00763
+cap "m1_n1099_1625#" "pg1" 478.177
+cap "net4" "vdd" 146.063
+cap "m1_n1099_1625#" "pg0" 1657.78
+cap "net8" "net3" 53.7118
+cap "pg3" "pg1" 13.3403
+cap "vdd" "sel3" 2284.39
+cap "ng3" "vdd" 216.549
+cap "m1_488_n269#" "net8" 15.7628
+cap "li_1388_346#" "net7" 12.97
+cap "vdd" "a_879_204#" 11
+cap "sel0" "sel3" 785.479
+cap "net2" "net5" 157.498
+cap "m1_n1099_1625#" "sel2" 1213.1
+cap "vdd" "li_523_1149#" 152.4
+cap "net2" "pg2" 0.944882
+cap "sel3" "ng1" 15.8171
+cap "ng3" "ng1" 13.7266
+cap "ng3" "li_528_n678#" 71.6688
+cap "sel2" "pg3" 9.13793
+cap "m1_n1099_1625#" "pg2" 1112.84
+cap "m1_n1099_1625#" "sel1" 821.237
+cap "ng0" "vctrl" 1475.82
+cap "vdd" "pg1" 503.171
+cap "vdd" "pg0" 1183.63
+cap "sel2" "ng0" 22.2163
+cap "net5" "li_611_133#" 25.3289
+cap "vdd" "li_n118_290#" 47.8032
+cap "ng3" "sel3" 13.6627
+cap "pg2" "pg3" 301.72
+cap "vgp" "vctrl" 2.925
+cap "sel0" "pg0" 5.55985
+cap "ng0" "sel1" 52.4429
+cap "ng2" "ng0" 11.5226
+cap "net5" "vgp" 23
+cap "vdd" "vctrl" 352.59
+cap "vdd" "li_1388_346#" 17.0301
+cap "net4" "li_n118_290#" 23.2619
+cap "vdd" "sel2" 1653.24
+cap "out" "li_1388_346#" 6.26867
+cap "net2" "net3" 64.4913
+cap "net2" "net8" 27.8642
+cap "sel3" "pg1" 103.983
+cap "sel0" "vctrl" 523.352
+cap "vdd" "net5" 249.936
+cap "pg0" "sel3" 299.342
+cap "sel0" "sel2" 1336.43
+cap "vdd" "sel1" 1041.82
+cap "vdd" "pg2" 873.473
+cap "vdd" "ng2" 3.89313
+cap "ng1" "vctrl" 387.107
+cap "sel2" "ng1" 26.549
+cap "sel0" "sel1" 3544.74
+cap "ng0" "net8" 135.658
+cap "net4" "net5" 80.6316
+cap "ng1" "sel1" 7.6556
+cap "ng3" "vctrl" 173.123
+cap "sel3" "vctrl" 281.048
+cap "vgp" "net8" 28.224
+cap "ng3" "sel2" 19.4974
+cap "ng2" "ng1" 57.7228
+cap "sel3" "sel2" 7080.01
+cap "pg0" "pg1" 101.678
+cap "sel3" "sel1" 1989.41
+cap "sel3" "pg2" 360.385
+cap "vdd" "net3" 254.387
+cap "ng2" "sel3" 15.1539
+cap "ng3" "ng2" 368.531
+cap "li_1179_712#" "net7" 3.3
+cap "sel2" "pg1" 166.472
+cap "pg0" "sel2" 218.342
+cap "ng1" "net8" 0.93361
+cap "net4" "net3" 30.6658
+cap "net7" "li_1179_n70#" 23.8335
+cap "sel1" "pg1" 83.4672
+cap "pg2" "pg1" 51.6756
+cap "pg0" "sel1" 224.578
+cap "pg0" "pg2" 15.9873
+cap "net2" "pg3" 5.52
+cap "li_611_133#" "net7" 5.25334
+cap "sel2" "vctrl" 311.671
+cap "m1_n1099_1625#" "pg3" 167.763
+cap "a_589_1133#" "pg3" 19.205
+cap "ng3" "m1_488_n269#" 0.609756
+cap "vctrl" "sel1" 640.179
+cap "net2" "vgp" 35.6134
+cap "a_590_n694#" "li_528_n678#" 25.2972
+cap "sel2" "sel1" 6161.54
+cap "ng2" "vctrl" 1046.55
+cap "sel2" "pg2" 192.028
+cap "ng2" "sel2" 21.9918
+cap "m1_n1099_1625#" "vgp" 29.1549
+cap "li_1179_712#" "vdd" 342.954
+cap "li_1179_712#" "out" 46.9389
+cap "vdd" "net2" 2929.62
+cap "ng3" "a_590_n694#" 19.205
+cap "li_n118_290#" "net3" 12.1957
+cap "vdd" "net7" 151.377
+cap "out" "net7" 100.159
+cap "net7" "net6" 106.342
+cap "net6" "li_1179_n70#" 0.798387
+cap "m1_n1099_1625#" "vdd" 3992.12
+cap "vdd" "a_589_1133#" 51.7
+cap "vdd" "pg3" 1652.67
+cap "m1_n1099_1625#" "sel0" 199.69
+cap "vdd" "li_611_133#" 94.682
+cap "li_611_133#" "net6" 28.2715
+cap "vdd" "ng0" 3.89313
+cap "net5" "net3" 77.5585
+cap "net5" "net8" 159.767
+cap "sel0" "ng0" 5.55985
+cap "vdd" "vgp" 382.224
+cap "net4" "li_611_133#" 3.57049
 cap "vco_switch_n_v2_0/vss" "XM16A/a_18_n29#" 14.7271
+cap "vco_switch_n_v2_0/sel" "vco_switch_n_v2_0/out" 18.1424
+cap "vco_switch_n_v2_0/sel" "vco_switch_n_v2_0/vdd" 6.73021
+cap "vco_switch_n_v2_0/selb" "vco_switch_n_v2_0/vdd" 24.3718
+cap "vco_switch_n_v2_0/out" "vco_switch_n_v2_0/selb" 30.9974
+cap "vco_switch_n_v2_0/out" "vco_switch_n_v2_1/selb" 30.5942
+cap "vco_switch_n_v2_0/out" "vco_switch_n_v2_0/in" 147.165
+cap "vco_switch_n_v2_0/in" "vco_switch_n_v2_0/vdd" 26.1045
+cap "vco_switch_n_v2_0/out" "sel2" 137.717
+cap "vco_switch_n_v2_1/sel" "vco_switch_n_v2_0/out" 38.8126
+cap "sel2" "vco_switch_n_v2_0/vdd" -67.6471
+cap "vco_switch_n_v2_1/sel" "vco_switch_n_v2_0/vdd" -126.846
+cap "vco_switch_n_v2_0/sel" "vco_switch_n_v2_0/vss" 27.295
+cap "sel3" "vco_switch_n_v2_0/vdd" 162.947
+cap "vco_switch_n_v2_0/selb" "vco_switch_n_v2_0/vss" 42.962
+cap "XM26/a_n76_n69#" "vco_switch_n_v2_0/vss" 356.268
+cap "vco_switch_n_v2_0/in" "vco_switch_n_v2_0/vss" 453.266
+cap "sel2" "vco_switch_n_v2_0/vss" 27.9619
+cap "vco_switch_n_v2_1/sel" "vco_switch_n_v2_0/vss" 23.9984
+cap "vco_switch_n_v2_0/sel" "vco_switch_n_v2_0/selb" 45.6391
+cap "sel3" "vco_switch_n_v2_0/vss" 43.405
+cap "vco_switch_n_v2_0/sel" "vco_switch_n_v2_0/in" 19.2798
+cap "vco_switch_n_v2_0/out" "vco_switch_n_v2_0/vdd" 94.2427
+cap "vco_switch_n_v2_0/selb" "vco_switch_n_v2_1/selb" 1.09235
+cap "vco_switch_n_v2_0/selb" "vco_switch_n_v2_0/in" 66.5781
+cap "vco_switch_n_v2_0/sel" "sel2" 18.8183
+cap "XM26/a_n76_n69#" "vco_switch_n_v2_0/in" 0.734364
+cap "vco_switch_n_v2_0/sel" "vco_switch_n_v2_1/sel" 17.4878
+cap "vco_switch_n_v2_0/selb" "sel2" 35.26
 cap "vco_switch_n_v2_0/sel" "sel3" 7.72388
-cap "vco_switch_n_v2_0/in" "vco_switch_n_v2_1/selb" 3.51738
 cap "vco_switch_n_v2_1/sel" "vco_switch_n_v2_0/selb" 149.335
-cap "vco_switch_n_v2_0/vss" "vco_switch_n_v2_1/sel" 23.9984
-cap "vco_switch_n_v2_0/vdd" "vco_switch_n_v2_1/sel" -126.846
-cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_0/vdd" 24.3718
-cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_2/out" 24.3622
-cap "vco_switch_n_v2_2/out" "XM16A/a_18_n29#" 1.29592
-cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_0/XM25/a_n33_67#" 1.09235
-cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_0/vdd" 315.967
-cap "vco_switch_n_v2_0/out" "vco_switch_n_v2_0/vss" 117.055
-cap "vco_switch_n_v2_0/XM25/a_n76_n108#" "vco_switch_n_v2_0/vdd" 2.40773
-cap "vco_switch_n_v2_1/sel" "vco_switch_n_v2_0/vdd" -66.0833
-cap "vco_switch_n_v2_1/out" "vco_switch_n_v2_0/out" 3.704
-cap "vco_switch_n_v2_2/sel" "XM16D_1/a_n33_n297#" 4.33268
-cap "vco_switch_n_v2_2/selb" "XM16D_1/a_n33_n297#" 38.3249
-cap "vco_switch_n_v2_2/out" "vco_switch_n_v2_0/vss" 186.481
-cap "vco_switch_n_v2_3/selb" "vco_switch_n_v2_1/in" 3.51738
-cap "vco_switch_n_v2_1/out" "vco_switch_n_v2_0/vdd" 109.625
-cap "vco_switch_n_v2_1/out" "vco_switch_n_v2_2/out" 21.6825
-cap "vco_switch_n_v2_1/in" "vco_switch_n_v2_2/selb" 61.0788
-cap "vco_switch_n_v2_0/out" "vco_switch_n_v2_0/vdd" 14.9311
-cap "XM16D_1/a_n33_n297#" "XM16A/a_18_n29#" 33.0122
-cap "vco_switch_n_v2_1/in" "vco_switch_n_v2_2/sel" 93.6304
-cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_1/in" 53.9773
-cap "vco_switch_n_v2_1/in" "vco_switch_n_v2_3/sel" 27.7607
-cap "vco_switch_n_v2_0/XM25/a_n76_n108#" "vco_switch_n_v2_1/in" 16.4475
-cap "vco_switch_n_v2_2/out" "vco_switch_n_v2_0/vdd" 94.2427
-cap "vco_switch_n_v2_3/selb" "vco_switch_n_v2_2/selb" 1.09235
-cap "vco_switch_n_v2_1/in" "vco_switch_n_v2_1/sel" 75.4294
-cap "XM16D_1/a_n33_n297#" "vco_switch_n_v2_0/vss" 35.4191
-cap "vco_switch_n_v2_1/out" "XM16D_1/a_n33_n297#" 8.92483
-cap "vco_switch_n_v2_1/selb" "XM26/a_n33_n157#" 10.4577
-cap "vco_switch_n_v2_2/selb" "vco_switch_n_v2_2/sel" 156.417
-cap "vco_switch_n_v2_1/in" "vco_switch_n_v2_0/vss" 1079.12
-cap "vco_switch_n_v2_1/out" "vco_switch_n_v2_1/in" 173.574
-cap "XM26/a_n33_n157#" "XM16A/a_18_n29#" 5.80096
-cap "vco_switch_n_v2_1/in" "vco_switch_n_v2_0/sel" 0.605381
-cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_2/selb" 2.20213
-cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_2/sel" 37.1
-cap "vco_switch_n_v2_2/sel" "vco_switch_n_v2_3/sel" 8.51746
-cap "vco_switch_n_v2_2/selb" "vco_switch_n_v2_3/sel" 0.909091
-cap "vco_switch_n_v2_2/out" "XM16D_1/a_n33_n297#" 23.5919
-cap "vco_switch_n_v2_1/in" "vco_switch_n_v2_0/out" 29.8724
-cap "vco_switch_n_v2_1/sel" "vco_switch_n_v2_2/sel" 22.0351
-cap "XM26/a_n33_n157#" "vco_switch_n_v2_0/vss" 51.6091
-cap "vco_switch_n_v2_1/out" "XM26/a_n33_n157#" 21.6825
-cap "vco_switch_n_v2_1/in" "vco_switch_n_v2_2/out" 146.722
-cap "vco_switch_n_v2_0/XM25/a_n76_n108#" "vco_switch_n_v2_1/selb" 3.51738
-cap "vco_switch_n_v2_1/selb" "XM16A/a_18_n29#" 8.46918
-cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_1/sel" 187.671
-cap "vco_switch_n_v2_2/sel" "vco_switch_n_v2_0/vss" 14.45
-cap "vco_switch_n_v2_2/selb" "vco_switch_n_v2_0/vss" 61.0551
-cap "XM26/a_n33_n157#" "vco_switch_n_v2_0/out" 30.9991
-cap "vco_switch_n_v2_1/out" "vco_switch_n_v2_2/sel" 164.363
-cap "vco_switch_n_v2_1/out" "vco_switch_n_v2_2/selb" 63.3885
-cap "vco_switch_n_v2_1/in" "vco_switch_n_v2_0/vdd" -78.7494
-cap "vco_switch_n_v2_0/XM25/a_n76_n108#" "vco_switch_n_v2_1/sel" 7.77237
+cap "vco_switch_n_v2_0/selb" "sel3" 6.00852
+cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_0/in" 3.51738
+cap "vco_switch_n_v2_1/sel" "vco_switch_n_v2_0/in" 103.004
+cap "sel3" "vco_switch_n_v2_0/in" 1.875
+cap "vco_switch_n_v2_0/out" "vco_switch_n_v2_0/vss" 101.526
+cap "vco_switch_n_v2_2/sel" "vco_switch_n_v2_1/selb" 37.1
+cap "XM16D_1/a_n33_n297#" "vco_switch_n_v2_2/out" 23.5919
 cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_0/vss" 49.619
-cap "XM26/a_n76_n69#" "vco_switch_n_v2_0/vss" 14.8829
-cap "vco_switch_n_v2_1/out" "vco_switch_n_v2_1/selb" 33.0796
-cap "vco_switch_n_v2_2/out" "XM26/a_n33_n157#" 8.92483
-cap "vco_switch_n_v2_3/selb" "vco_switch_n_v2_2/out" 31.2911
-cap "vco_switch_n_v2_0/XM25/a_n76_n108#" "vco_switch_n_v2_0/vss" 2.44978
-cap "vco_switch_n_v2_0/vss" "XM16A/a_18_n29#" 911.399
-cap "vco_switch_n_v2_1/sel" "vco_switch_n_v2_0/vss" 15.1258
-cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_0/out" 30.5942
-cap "vco_switch_n_v2_1/out" "XM16A/a_18_n29#" 2.62195
-cap "vco_switch_n_v2_1/sel" "vco_switch_n_v2_0/sel" 4.46782
-cap "vco_switch_n_v2_1/out" "vco_switch_n_v2_1/sel" 18.206
-cap "vco_switch_n_v2_2/selb" "vco_switch_n_v2_2/out" 33.2831
-cap "vco_switch_n_v2_0/sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n18_n99#" "vco_switch_n_v2_1/sel" 0.909091
-cap "vco_switch_n_v2_2/selb" "vco_switch_n_v2_0/vdd" 24.3718
-cap "vco_switch_n_v2_2/sel" "vco_switch_n_v2_0/vdd" -124.488
-cap "vco_switch_n_v2_2/sel" "vco_switch_n_v2_2/out" 32.5472
+cap "vco_switch_n_v2_3/selb" "vco_switch_n_v2_1/in" 3.51738
+cap "vco_switch_n_v2_2/selb" "vco_switch_n_v2_3/selb" 1.09235
+cap "vco_switch_n_v2_0/vss" "XM26/a_n33_n157#" 51.6091
+cap "vco_switch_n_v2_2/sel" "vco_switch_n_v2_1/in" 93.6304
+cap "vco_switch_n_v2_2/sel" "vco_switch_n_v2_2/selb" 156.417
+cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_1/sel" 187.671
+cap "vco_switch_n_v2_0/vss" "vco_switch_n_v2_1/in" 1079.12
+cap "XM16A/a_18_n29#" "vco_switch_n_v2_2/out" 1.29592
+cap "vco_switch_n_v2_2/selb" "vco_switch_n_v2_0/vss" 61.0551
+cap "vco_switch_n_v2_0/vss" "vco_switch_n_v2_0/out" 117.055
+cap "vco_switch_n_v2_0/vdd" "vco_switch_n_v2_3/sel" 315.967
+cap "vco_switch_n_v2_1/selb" "XM26/a_n33_n157#" 10.4577
+cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_2/out" 24.3622
 cap "vco_switch_n_v2_1/sel" "vco_switch_n_v2_0/out" 13.0806
-cap "vco_switch_n_v2_0/out" "XM16A/a_18_n29#" 23.3374
+cap "vco_switch_n_v2_1/sel" "vco_switch_n_v2_1/in" 75.4294
 cap "vco_switch_n_v2_0/sel" "vco_switch_n_v2_0/vss" 3.20704
-cap "vco_switch_n_v2_0/sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n18_n99#" "vco_switch_n_v2_0/vss" 10.4924
-cap "vco_switch_n_v2_1/out" "vco_switch_n_v2_0/vss" 143.608
-cap "vco_switch_n_v2_3/in" "vco_switch_n_v2_2/out" 0.38961
-cap "vco_switch_n_v2_3/in" "vco_switch_n_v2_2/XM25/a_n76_n108#" 2.70974
-cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_2/XM25/a_n76_n108#" 6.75692
-cap "vco_switch_n_v2_3/out" "vco_switch_n_v2_2/vss" 3.61204
-cap "vco_switch_n_v2_3/vdd" "vco_switch_n_v2_2/vss" -5.68434e-14
-cap "vco_switch_n_v2_2/XM25/a_n76_n108#" "vco_switch_n_v2_2/vss" 2.44978
-cap "vco_switch_n_v2_2/out" "vco_switch_n_v2_2/vss" 13.118
-cap "vco_switch_n_v2_2/sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_n18_n99#" "vco_switch_n_v2_3/sel" 4.44805
-cap "vco_switch_n_v2_3/selb" "vco_switch_n_v2_3/sel" 162.075
-cap "vco_switch_n_v2_2/sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_n18_n99#" "vco_switch_n_v2_2/vss" 3.20704
-cap "vco_switch_n_v2_3/in" "vco_switch_n_v2_3/sel" 56.6746
-cap "vco_switch_n_v2_3/out" "vco_switch_n_v2_3/vdd" 79.3116
-cap "vco_switch_n_v2_2/out" "vco_switch_n_v2_3/vdd" 14.9311
-cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_2/vss" -6.19138
-cap "vco_switch_n_v2_2/XM25/a_n76_n108#" "vco_switch_n_v2_3/vdd" 2.40773
-cap "vco_switch_n_v2_3/in" "vco_switch_n_v2_2/vss" -7.3
-cap "vco_switch_n_v2_3/selb" "vco_switch_n_v2_3/out" 20.6506
-cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_2/sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n18_n99#" 0.909091
-cap "vco_switch_n_v2_3/selb" "vco_switch_n_v2_3/vdd" 24.3718
-cap "vco_switch_n_v2_3/selb" "vco_switch_n_v2_2/XM25/a_n76_n108#" 3.51738
+cap "XM16A/a_18_n29#" "XM16D_1/a_n33_n297#" 33.0122
+cap "vco_switch_n_v2_0/vdd" "vco_switch_n_v2_1/out" 109.625
+cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_0/out" 30.5942
+cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_2/selb" 2.20213
+cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_1/in" 53.9773
+cap "vco_switch_n_v2_1/out" "vco_switch_n_v2_2/out" 21.6825
+cap "vco_switch_n_v2_0/sel" "vco_switch_n_v2_1/sel" 4.46782
+cap "XM26/a_n33_n157#" "vco_switch_n_v2_0/out" 30.9991
+cap "vco_switch_n_v2_2/sel" "vco_switch_n_v2_0/vdd" -124.488
+cap "vco_switch_n_v2_1/in" "vco_switch_n_v2_0/out" 29.8724
 cap "vco_switch_n_v2_3/selb" "vco_switch_n_v2_2/out" 31.2911
-cap "vco_switch_n_v2_3/out" "vco_switch_n_v2_3/sel" 13.4311
-cap "vco_switch_n_v2_3/in" "vco_switch_n_v2_3/out" 72.2544
-cap "vco_switch_n_v2_3/selb" "vco_switch_n_v2_2/XM25/a_n33_67#" 1.09235
-cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_3/vdd" -118.415
+cap "vco_switch_n_v2_2/sel" "vco_switch_n_v2_2/out" 32.5472
+cap "vco_switch_n_v2_2/selb" "vco_switch_n_v2_1/in" 61.0788
+cap "vco_switch_n_v2_1/out" "XM16D_1/a_n33_n297#" 8.92483
+cap "vco_switch_n_v2_0/vss" "vco_switch_n_v2_2/out" 186.481
+cap "vco_switch_n_v2_0/sel" "vco_switch_n_v2_1/in" 0.605381
+cap "XM26/a_n76_n69#" "vco_switch_n_v2_0/vss" 14.8829
+cap "vco_switch_n_v2_0/vdd" "vco_switch_n_v2_1/sel" -66.0833
+cap "XM16A/a_18_n29#" "vco_switch_n_v2_1/out" 2.62195
+cap "vco_switch_n_v2_2/sel" "XM16D_1/a_n33_n297#" 4.33268
+cap "vco_switch_n_v2_0/XM25/a_n76_n108#" "vco_switch_n_v2_0/vss" 2.44978
+cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_0/vdd" 24.3718
+cap "vco_switch_n_v2_0/vss" "XM16D_1/a_n33_n297#" 35.4191
+cap "vco_switch_n_v2_0/XM25/a_n76_n108#" "vco_switch_n_v2_1/sel" 7.77237
+cap "vco_switch_n_v2_2/out" "XM26/a_n33_n157#" 8.92483
+cap "vco_switch_n_v2_0/vdd" "vco_switch_n_v2_0/out" 14.9311
+cap "vco_switch_n_v2_0/vss" "vco_switch_n_v2_0/sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n18_n99#" 10.4924
+cap "vco_switch_n_v2_0/vdd" "vco_switch_n_v2_1/in" -78.7494
+cap "vco_switch_n_v2_2/selb" "vco_switch_n_v2_0/vdd" 24.3718
+cap "vco_switch_n_v2_2/out" "vco_switch_n_v2_1/in" 146.722
+cap "XM16A/a_18_n29#" "vco_switch_n_v2_0/vss" 911.399
+cap "vco_switch_n_v2_2/selb" "vco_switch_n_v2_2/out" 33.2831
+cap "vco_switch_n_v2_0/XM25/a_n76_n108#" "vco_switch_n_v2_1/selb" 3.51738
+cap "vco_switch_n_v2_2/sel" "vco_switch_n_v2_3/sel" 8.51746
+cap "vco_switch_n_v2_1/sel" "vco_switch_n_v2_0/sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n18_n99#" 0.909091
+cap "vco_switch_n_v2_2/sel" "vco_switch_n_v2_1/out" 164.363
+cap "vco_switch_n_v2_0/XM25/a_n76_n108#" "vco_switch_n_v2_1/in" 16.4475
+cap "vco_switch_n_v2_2/selb" "XM16D_1/a_n33_n297#" 38.3249
+cap "XM16A/a_18_n29#" "vco_switch_n_v2_1/selb" 8.46918
+cap "vco_switch_n_v2_0/vss" "vco_switch_n_v2_1/out" 143.608
+cap "XM16A/a_18_n29#" "XM26/a_n33_n157#" 5.80096
+cap "vco_switch_n_v2_1/out" "vco_switch_n_v2_1/sel" 18.206
+cap "XM16A/a_18_n29#" "vco_switch_n_v2_0/out" 23.3374
+cap "vco_switch_n_v2_2/sel" "vco_switch_n_v2_0/vss" 14.45
+cap "vco_switch_n_v2_0/vdd" "vco_switch_n_v2_2/out" 94.2427
+cap "vco_switch_n_v2_1/selb" "vco_switch_n_v2_1/out" 33.0796
+cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_1/in" 27.7607
+cap "vco_switch_n_v2_0/XM25/a_n33_67#" "vco_switch_n_v2_1/selb" 1.09235
+cap "vco_switch_n_v2_2/sel" "vco_switch_n_v2_1/sel" 22.0351
+cap "vco_switch_n_v2_2/selb" "vco_switch_n_v2_3/sel" 0.909091
+cap "vco_switch_n_v2_1/out" "XM26/a_n33_n157#" 21.6825
+cap "vco_switch_n_v2_0/XM25/a_n76_n108#" "vco_switch_n_v2_0/vdd" 2.40773
+cap "vco_switch_n_v2_2/selb" "vco_switch_n_v2_1/out" 63.3885
+cap "vco_switch_n_v2_1/out" "vco_switch_n_v2_1/in" 173.574
+cap "vco_switch_n_v2_0/vss" "vco_switch_n_v2_1/sel" 15.1258
+cap "vco_switch_n_v2_1/out" "vco_switch_n_v2_0/out" 3.704
+cap "vco_switch_n_v2_2/vss" "vco_switch_n_v2_2/out" 13.118
+cap "vco_switch_n_v2_3/vdd" "vco_switch_n_v2_3/in" 130.659
+cap "vco_switch_n_v2_2/XM25/a_n76_n108#" "vco_switch_n_v2_3/sel" 6.75692
+cap "vco_switch_n_v2_3/selb" "vco_switch_n_v2_3/vdd" 24.3718
+cap "vco_switch_n_v2_2/sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n18_n99#" "vco_switch_n_v2_2/vss" 5.42299
 cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_2/out" 13.0236
-cap "vco_switch_n_v2_2/vss" "vco_switch_n_v2_2/sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n18_n99#" 5.42299
-cap "vco_switch_n_v2_3/in" "vco_switch_n_v2_3/vdd" 130.659
-cap "XM1/w_n109_n244#" "sel3" 32.6677
-cap "XM26/a_n76_n69#" "vss" 1.65
-cap "XM1/w_n109_n244#" "XM1/a_n73_n144#" -22.6368
-cap "XM1/w_n109_n244#" "sel1" 11.0635
-cap "XM16A/a_18_n29#" "XM1/a_15_n144#" -34.4228
-cap "vco_switch_p_0/sel" "XM1/w_n109_n244#" 0.189112
-cap "XM1/w_n109_n244#" "XM1/a_n33_n241#" -5.07346
-cap "XM1/w_n109_n244#" "XM11A/a_n33_55#" 0.814714
-cap "XM26/a_n76_n69#" "sel3" 8.00752
-cap "XM1/w_n109_n244#" "sel2" 23.2979
-cap "XM26/a_n76_n69#" "vco_switch_p_0/sel" 1.94792
-cap "XM1/w_n109_n244#" "XM1/a_15_n144#" -22.4633
-cap "vss" "XM1/a_n33_n241#" 3.58542
-cap "XM3/a_n73_n64#" "XM1/a_n73_n144#" -0.249057
-cap "vss" "XMDUM26/a_n76_n69#" 3.3
-cap "XM4/a_n73_n96#" "XM1/a_n33_n241#" 0.0962099
-cap "vss" "XM1/a_15_n144#" 7.1465
-cap "XM1/a_n73_n144#" "XM1/a_n33_n241#" 16.7192
-cap "XM16A/a_18_n29#" "vss" 8.25
-cap "XM4/a_15_n96#" "XM1/a_n33_n241#" 0.38484
-cap "XM26/a_n76_n69#" "XMDUM16/a_n76_n209#" 0.0019407
-cap "XM16A/a_18_n29#" "XM4/a_n73_n96#" -0.371622
-cap "vss" "XMDUM26B/a_n76_n69#" 3.3
-cap "XM1/a_15_n144#" "XM1/a_n73_n144#" -45.394
+cap "vco_switch_n_v2_2/sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_n18_n99#" "vco_switch_n_v2_2/vss" 3.20704
+cap "vco_switch_n_v2_2/vss" "vco_switch_n_v2_3/out" 3.61204
+cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_2/vss" -6.19138
+cap "vco_switch_n_v2_2/XM25/a_n76_n108#" "vco_switch_n_v2_3/in" 2.70974
+cap "vco_switch_n_v2_2/XM25/a_n76_n108#" "vco_switch_n_v2_3/vdd" 2.40773
+cap "vco_switch_n_v2_2/XM25/a_n76_n108#" "vco_switch_n_v2_3/selb" 3.51738
+cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_2/sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n18_n99#" 0.909091
+cap "vco_switch_n_v2_2/out" "vco_switch_n_v2_3/in" 0.38961
+cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_2/sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_n18_n99#" 4.44805
+cap "vco_switch_n_v2_3/vdd" "vco_switch_n_v2_2/out" 14.9311
+cap "vco_switch_n_v2_2/vss" "vco_switch_n_v2_3/in" -7.3
+cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_3/out" 13.4311
+cap "vco_switch_n_v2_2/vss" "vco_switch_n_v2_3/vdd" -5.68434e-14
+cap "vco_switch_n_v2_3/selb" "vco_switch_n_v2_2/out" 31.2911
+cap "vco_switch_n_v2_3/selb" "vco_switch_n_v2_2/XM25/a_n33_67#" 1.09235
+cap "vco_switch_n_v2_3/in" "vco_switch_n_v2_3/out" 72.2544
+cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_3/in" 56.6746
+cap "vco_switch_n_v2_3/vdd" "vco_switch_n_v2_3/out" 79.3116
+cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_3/vdd" -118.415
+cap "vco_switch_n_v2_2/XM25/a_n76_n108#" "vco_switch_n_v2_2/vss" 2.44978
+cap "vco_switch_n_v2_3/selb" "vco_switch_n_v2_3/out" 20.6506
+cap "vco_switch_n_v2_3/sel" "vco_switch_n_v2_3/selb" 162.075
+cap "XM1/a_n73_n144#" "XM3/a_n73_n64#" -0.249057
+cap "vco_switch_p_0/sel" "XM26/a_n76_n69#" 1.94792
 cap "XM26/a_18_n69#" "vss" 1.65
-cap "XM16A/a_18_n29#" "XM1/a_n73_n144#" 5.67628
+cap "XM1/w_n109_n244#" "vco_switch_p_0/sel" 0.189112
+cap "XM1/a_15_n144#" "XM4/a_15_n96#" -2.19397
+cap "XM1/a_15_n144#" "XM16A/a_18_n29#" -34.4228
+cap "XM1/a_15_n144#" "XM1/w_n109_n244#" -22.4633
+cap "XM1/a_15_n144#" "XM1/a_n73_n144#" -45.394
 cap "XM1/a_15_n144#" "XM1/a_n33_n241#" 52.8243
-cap "XM26/a_n76_n69#" "XM1/w_n109_n244#" 370.212
-cap "XM4/a_15_n96#" "XM1/a_15_n144#" -2.19397
+cap "XM1/w_n109_n244#" "XM26/a_n76_n69#" 370.212
+cap "sel2" "XM1/w_n109_n244#" 23.2979
+cap "XM4/a_15_n96#" "XM1/a_n33_n241#" 0.38484
+cap "XM1/a_n73_n144#" "XM16A/a_18_n29#" 5.67628
+cap "XM1/a_n73_n144#" "XM1/w_n109_n244#" -22.6368
+cap "XM1/a_n33_n241#" "XM1/w_n109_n244#" -5.07346
+cap "XM1/a_n73_n144#" "XM1/a_n33_n241#" 16.7192
+cap "XM11A/a_n33_55#" "XM1/w_n109_n244#" 0.814714
+cap "vss" "XMDUM26/a_n76_n69#" 3.3
+cap "sel3" "XM26/a_n76_n69#" 8.00752
+cap "XM4/a_n73_n96#" "XM16A/a_18_n29#" -0.371622
+cap "XM1/a_15_n144#" "vss" 7.1465
+cap "XM1/w_n109_n244#" "sel1" 11.0635
+cap "vss" "XM26/a_n76_n69#" 1.65
 cap "XM1/a_15_n144#" "XM3/a_15_n64#" -3.63416
-cap "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" "XM1/w_n109_n244#" 3.06251
-cap "XM11C/a_n33_235#" "XM1/a_n73_n144#" 15.9992
-cap "XM1/w_n109_n244#" "vco_switch_p_2/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" 3.06761
-cap "XM1/a_15_n144#" "XM16A/a_n33_n117#" 2.14552
+cap "sel3" "XM1/w_n109_n244#" 32.6677
+cap "XM4/a_n73_n96#" "XM1/a_n33_n241#" 0.0962099
+cap "XMDUM26B/a_n76_n69#" "vss" 3.3
+cap "vss" "XM16A/a_18_n29#" 8.25
 cap "XMDUM16/a_n76_n209#" "XM26/a_n76_n69#" 0.0019407
-cap "XM1/a_n73_n144#" "XM11B/a_n33_115#" -0.549465
-cap "XM13/a_n33_142#" "XMDUM16B/a_n76_n209#" 1.52489
-cap "XM13/a_n33_142#" "XM24/a_18_n129#" 2.96029
-cap "XMDUM16/a_n76_n209#" "vss" 37.4
-cap "XM1/a_n73_n144#" "XM1/w_n109_n244#" 1347.19
-cap "XM16A/a_18_n29#" "XM1/a_n33_n241#" 4.41732
-cap "XM16C/a_18_n209#" "XM1/a_n33_n241#" 8.83465
-cap "XMDUM16/a_n76_n209#" "XM16A/a_n76_n29#" 12.5306
-cap "XM1/w_n109_n244#" "XM3/a_15_n64#" -7.40527
-cap "XM16D_2/a_18_n209#" "XM16A/a_18_n29#" 122.226
-cap "XM1/a_n73_n144#" "XM11A/a_n33_55#" 26.0774
-cap "XM16A/a_18_n29#" "vss" 66.0926
-cap "XM16C/a_18_n209#" "XM16D_2/a_18_n209#" 65.0634
-cap "XM16C/a_18_n209#" "vss" 37.4
-cap "XM13/a_n73_n120#" "XM1/a_n33_n241#" 2.28145
-cap "XM16A/a_18_n29#" "XM16A/a_n76_n29#" 9.12566
-cap "XM16D_2/a_18_n209#" "XM13/a_n73_n120#" 6.87126
-cap "XM16C/a_18_n209#" "XM16A/a_n76_n29#" 3.21316
-cap "XM13/a_n73_n120#" "vss" 10.1912
-cap "XM11C/a_n33_235#" "vco_switch_p_2/sel" 2.26133
-cap "vss" "XM16A/a_n33_n117#" 3.58224
-cap "XM13/a_n33_142#" "XM1/w_n109_n244#" 26.0783
-cap "XM16A/a_18_n29#" "XMDUM16B/a_n76_n209#" 77.8241
-cap "XM16C/a_18_n209#" "XMDUM16B/a_n76_n209#" 35.9202
-cap "XM1/a_15_n144#" "XM1/a_n33_n241#" 36.4137
-cap "XM16A/a_18_n29#" "XMDUM16/a_n33_n297#" 16.7825
-cap "XM16D_2/a_18_n209#" "XM1/a_15_n144#" 0.2489
-cap "XM13/a_n73_n120#" "XMDUM16B/a_n76_n209#" 17.7442
-cap "XM1/a_15_n144#" "vss" 0.825
-cap "XM11C/a_n33_235#" "XM11D_1/a_n33_235#" 19.3062
-cap "XM13/a_n33_142#" "XM24/a_n76_n129#" 9.37965
-cap "XM13/a_15_n120#" "XM1/a_n33_n241#" 0.212704
-cap "XM11D_1/a_n33_235#" "vco_switch_p_2/sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" 0.508562
-cap "XM11D_1/a_n33_235#" "XM11B/a_n33_115#" 4.40674
-cap "XM1/a_n73_n144#" "XM3/a_15_n64#" 3.22347
-cap "XM16D_2/a_18_n209#" "XM1/a_n33_n241#" 24.544
-cap "XM13/a_15_n120#" "vss" 0.846154
-cap "XM11D_1/a_n33_235#" "XM1/w_n109_n244#" -192.147
-cap "XMDUM16/a_n33_n297#" "XM1/a_15_n144#" 1.77976
+cap "XM1/a_n33_n241#" "vss" 3.58542
+cap "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" "XM1/w_n109_n244#" 3.06251
+cap "vco_switch_p_1/sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" "XM1/a_n73_n144#" 0.815407
+cap "XM3/a_15_n64#" "XM16D_2/a_18_n209#" 6.90148
 cap "vss" "XM1/a_n33_n241#" 104.363
-cap "XM26/a_n76_n69#" "vco_switch_p_1/sel" 1.48454
-cap "XM16D_2/a_18_n209#" "vss" 85.6259
-cap "XM16D_2/a_18_n209#" "XM16A/a_n76_n29#" 2.02342
-cap "XM13/a_15_n120#" "XMDUM16B/a_n76_n209#" 8.65096
-cap "vss" "XM16A/a_n76_n29#" 9.77141
-cap "XM13/a_n33_142#" "XM3/a_15_n64#" 0.192737
-cap "XMDUM16B/a_n76_n209#" "XM1/a_n33_n241#" 17.2928
-cap "XM16A/a_18_n29#" "ng1" -0.293734
-cap "XM1/w_n109_n244#" "XM1/a_15_n144#" 46.4692
-cap "XM1/a_n73_n144#" "vco_switch_p_2/sel" 1.30645
-cap "XM16D_2/a_18_n209#" "XMDUM16B/a_n76_n209#" 77.752
-cap "XMDUM16B/a_n76_n209#" "vss" 120.403
-cap "vss" "XM24/a_18_n129#" 2.71978
-cap "XM11C/a_n33_235#" "XM26/a_n76_n69#" 4.41593
-cap "XM23/a_n78_n220#" "XM1/w_n109_n244#" 11.5274
-cap "XM11D_1/a_n33_235#" "vco_switch_p_2/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" 0.55618
-cap "XM16A/a_18_n29#" "XM1/a_n73_n144#" 1.79808
-cap "XM1/w_n109_n244#" "XM13/a_15_n120#" 61.4609
-cap "XM26/a_n76_n69#" "XM11B/a_n33_115#" 10.474
-cap "XM1/w_n109_n244#" "XM26/a_n76_n69#" 70.7604
-cap "XM1/w_n109_n244#" "XM1/a_n33_n241#" 118.806
-cap "XM16A/a_18_n29#" "XM3/a_15_n64#" 8.37613
-cap "XM11D_1/a_n33_235#" "XM1/a_n73_n144#" 41.8398
-cap "XM16D_2/a_18_n209#" "XM1/w_n109_n244#" 14.2773
-cap "XM26/a_n76_n69#" "XM11A/a_n33_55#" 31.262
-cap "XM3/a_15_n64#" "XM16C/a_n33_n297#" 2.59677
-cap "XM1/w_n109_n244#" "vss" 3.71523
-cap "XM1/w_n109_n244#" "vco_switch_p_1/sel" 2.15003
-cap "a_590_n694#" "XM3/a_15_n64#" 3.21505
+cap "XM11A/a_n33_55#" "XM1/w_n109_n244#" 48.6013
 cap "XM1/w_n109_n244#" "XMDUM16B/a_n76_n209#" 7.50227
-cap "XM1/a_n73_n144#" "XM1/a_15_n144#" 5.09259
-cap "a_590_n694#" "XMDUM16B/a_n33_n297#" 5.75368
-cap "XM1/a_n33_n241#" "XM24/a_n76_n129#" 1.05413
-cap "XM13/a_n33_142#" "XM13/a_n73_n120#" 44.2393
-cap "XM3/a_15_n64#" "XM1/a_15_n144#" 43.2961
-cap "XM11C/a_n33_235#" "XM11B/a_n33_115#" 10.3669
-cap "vss" "XM24/a_n76_n129#" 3.66667
-cap "XM16A/a_18_n29#" "XMDUM16/a_n76_n209#" 60.5534
-cap "XM11C/a_n33_235#" "XM1/w_n109_n244#" -44.3169
-cap "XM11D_1/a_n33_235#" "vco_switch_p_2/sel" 1.94792
-cap "XM1/w_n109_n244#" "vco_switch_p_2/sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" 1.33913
-cap "XM1/w_n109_n244#" "XM11B/a_n33_115#" -4.55551
-cap "XM16C/a_18_n209#" "XM16A/a_18_n29#" 96.9346
-cap "XM1/a_n73_n144#" "XM1/a_n33_n241#" 7.5302
-cap "XM1/a_n73_n144#" "XM26/a_n76_n69#" 1.22185
-cap "XM1/a_n73_n144#" "vco_switch_p_1/sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" 0.815407
-cap "XM11A/a_n33_55#" "XM11B/a_n33_115#" 3.71694
-cap "XM16A/a_18_n29#" "XM16C/a_n33_n297#" 16.993
-cap "XM3/a_15_n64#" "XM1/a_n33_n241#" 64.4646
-cap "XM1/w_n109_n244#" "XM11A/a_n33_55#" 48.6013
-cap "a_590_n694#" "XM16A/a_18_n29#" 16.7825
-cap "XM16D_2/a_18_n209#" "XM3/a_15_n64#" 6.90148
-cap "XM3/a_15_n64#" "vss" 25.7281
-cap "a_590_n694#" "XM16C/a_n33_n297#" 5.75368
-cap "XM13/a_n33_142#" "XM13/a_15_n120#" 19.6055
-cap "XMDUM16/a_n76_n209#" "XM1/a_15_n144#" 9.80153
+cap "vss" "XM13/a_n33_142#" 10.6825
+cap "XM26/a_n76_n69#" "XM11A/a_n33_55#" 31.262
+cap "XM16D_2/a_18_n209#" "XM1/a_n33_n241#" 24.544
+cap "XM1/w_n109_n244#" "XM1/a_n73_n144#" 1347.19
+cap "XM3/a_15_n64#" "XM1/w_n109_n244#" -7.40527
+cap "XM24/a_18_n129#" "XM13/a_n33_142#" 2.96029
+cap "XM26/a_n76_n69#" "XM1/a_n73_n144#" 1.22185
+cap "XM1/w_n109_n244#" "XM13/a_15_n120#" 61.4609
+cap "XM11B/a_n33_115#" "XM11A/a_n33_55#" 3.71694
+cap "XM16D_2/a_18_n209#" "XM13/a_n33_142#" 5.38542
 cap "XM3/a_15_n64#" "XM16A/a_n76_n29#" 3.33929
-cap "XM13/a_n33_142#" "XM1/a_n33_n241#" 11.8249
-cap "XM1/a_n33_n241#" "XMDUM16B/a_n33_n297#" 2.92292
-cap "vco_switch_p_1/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" "XM11B/a_n33_115#" 3.05977
-cap "XM13/a_n33_142#" "XM16D_2/a_18_n209#" 5.38542
-cap "XM16A/a_18_n29#" "XM1/a_15_n144#" 2.86733
-cap "XM13/a_n33_142#" "vss" 10.6825
-cap "XM13/a_n73_n120#" "XM21/a_n15_n53#" 2.28145
-cap "XM13/a_15_n120#" "XM5/w_n109_n298#" 335.966
-cap "XM24/a_18_n129#" "XM24/a_n76_n129#" 3.33511
-cap "XM13/a_15_n120#" "XM21/a_n15_n53#" 0.212704
-cap "XM24/a_n76_n129#" "XM13/a_n33_142#" 16.4928
-cap "vss" "XM24/a_n76_n129#" 3.66667
-cap "XM24/a_n76_n129#" "XM13/a_n73_n120#" 92.2113
-cap "XM24/a_18_n129#" "XM13/a_n33_142#" 6.02695
-cap "XM13/a_n33_142#" "XMDUM16B/a_n76_n209#" 1.375
+cap "XM1/a_15_n144#" "XM16A/a_18_n29#" 2.86733
+cap "XM11B/a_n33_115#" "XM1/a_n73_n144#" -0.549465
+cap "XM1/w_n109_n244#" "XM1/a_n33_n241#" 118.806
+cap "XM11B/a_n33_115#" "vco_switch_p_1/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" 3.05977
+cap "XM1/a_15_n144#" "vss" 0.825
+cap "XM16C/a_n33_n297#" "XM16A/a_18_n29#" 16.993
+cap "vss" "XM16A/a_18_n29#" 66.0926
+cap "XM16C/a_18_n209#" "XMDUM16B/a_n76_n209#" 35.9202
+cap "XM23/a_n78_n220#" "XM1/w_n109_n244#" 11.5274
+cap "XM1/w_n109_n244#" "XM13/a_n33_142#" 26.0783
+cap "XM11C/a_n33_235#" "XM11D_1/a_n33_235#" 19.3062
+cap "XM11C/a_n33_235#" "vco_switch_p_2/sel" 2.26133
+cap "vco_switch_p_2/sel" "XM11D_1/a_n33_235#" 1.94792
+cap "XM16D_2/a_18_n209#" "XM16A/a_18_n29#" 122.226
+cap "XM1/a_15_n144#" "XM16D_2/a_18_n209#" 0.2489
 cap "vss" "XM24/a_18_n129#" 2.71978
-cap "XM24/a_18_n129#" "XM13/a_n73_n120#" 31.2366
+cap "vss" "XM16D_2/a_18_n209#" 85.6259
+cap "XM1/a_15_n144#" "XMDUM16/a_n76_n209#" 9.80153
+cap "XMDUM16/a_n76_n209#" "XM16A/a_18_n29#" 60.5534
 cap "XM13/a_n73_n120#" "XMDUM16B/a_n76_n209#" 17.7442
-cap "XM13/a_n33_142#" "XM5/a_n73_n236#" 0.637807
-cap "XM24/a_n76_n129#" "XM5/w_n109_n298#" 6.80625
-cap "XM13/a_n73_n120#" "XM13/a_n33_142#" 113.734
-cap "XM24/a_18_n129#" "XM5/w_n109_n298#" 160.216
+cap "XM3/a_15_n64#" "a_590_n694#" 3.21505
+cap "XM16C/a_18_n209#" "XM1/a_n33_n241#" 8.83465
+cap "XMDUM16/a_n76_n209#" "vss" 37.4
+cap "XM1/a_15_n144#" "XM1/w_n109_n244#" 46.4692
+cap "XM16A/a_18_n29#" "XM16A/a_n76_n29#" 9.12566
+cap "vss" "XM1/w_n109_n244#" 3.71523
+cap "XM11C/a_n33_235#" "XM1/w_n109_n244#" -44.3169
+cap "XM11D_1/a_n33_235#" "XM1/w_n109_n244#" -192.147
+cap "XM11C/a_n33_235#" "XM26/a_n76_n69#" 4.41593
+cap "XM24/a_n76_n129#" "XM1/a_n33_n241#" 1.05413
+cap "XM11A/a_n33_55#" "XM1/a_n73_n144#" 26.0774
+cap "vss" "XM16A/a_n76_n29#" 9.77141
+cap "XMDUM16B/a_n76_n209#" "XM13/a_15_n120#" 8.65096
+cap "XM13/a_n73_n120#" "XM1/a_n33_n241#" 2.28145
+cap "XM1/w_n109_n244#" "XM16D_2/a_18_n209#" 14.2773
+cap "XM11C/a_n33_235#" "XM11B/a_n33_115#" 10.3669
+cap "XM11B/a_n33_115#" "XM11D_1/a_n33_235#" 4.40674
+cap "a_590_n694#" "XMDUM16B/a_n33_n297#" 5.75368
+cap "XM24/a_n76_n129#" "XM13/a_n33_142#" 9.37965
+cap "XM3/a_15_n64#" "XM1/a_n73_n144#" 3.22347
+cap "XM16D_2/a_18_n209#" "XM16A/a_n76_n29#" 2.02342
+cap "XM13/a_n73_n120#" "XM13/a_n33_142#" 44.2393
+cap "XMDUM16B/a_n76_n209#" "XM1/a_n33_n241#" 17.2928
+cap "ng1" "XM16A/a_18_n29#" -0.293734
+cap "vco_switch_p_2/sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" "XM11D_1/a_n33_235#" 0.508562
+cap "XM16C/a_18_n209#" "XM16A/a_18_n29#" 96.9346
+cap "XMDUM16/a_n76_n209#" "XM26/a_n76_n69#" 0.0019407
+cap "XM1/a_n33_n241#" "XM1/a_n73_n144#" 7.5302
+cap "XMDUM16/a_n76_n209#" "XM16A/a_n76_n29#" 12.5306
+cap "XM3/a_15_n64#" "XM1/a_n33_n241#" 64.4646
+cap "XMDUM16B/a_n76_n209#" "XM13/a_n33_142#" 1.52489
+cap "vss" "XM16C/a_18_n209#" 37.4
+cap "XM1/a_n33_n241#" "XM13/a_15_n120#" 0.212704
+cap "XM26/a_n76_n69#" "XM1/w_n109_n244#" 70.7604
+cap "vco_switch_p_2/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" "XM11D_1/a_n33_235#" 0.55618
+cap "XM16A/a_18_n29#" "a_590_n694#" 16.7825
+cap "XM3/a_15_n64#" "XM13/a_n33_142#" 0.192737
+cap "XM13/a_n33_142#" "XM13/a_15_n120#" 19.6055
+cap "XM11B/a_n33_115#" "XM1/w_n109_n244#" -4.55551
+cap "XM16C/a_n33_n297#" "a_590_n694#" 5.75368
+cap "XM16C/a_18_n209#" "XM16D_2/a_18_n209#" 65.0634
+cap "XM26/a_n76_n69#" "XM11B/a_n33_115#" 10.474
+cap "XM24/a_n76_n129#" "vss" 3.66667
+cap "vco_switch_p_2/sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" "XM1/w_n109_n244#" 1.33913
+cap "XM13/a_n73_n120#" "vss" 10.1912
+cap "XM1/a_n33_n241#" "XM13/a_n33_142#" 11.8249
+cap "XM16A/a_n33_n117#" "XM1/a_15_n144#" 2.14552
+cap "XM1/a_n33_n241#" "XMDUM16B/a_n33_n297#" 2.92292
+cap "XM1/w_n109_n244#" "vco_switch_p_1/sel" 2.15003
+cap "XM16A/a_18_n29#" "XMDUM16B/a_n76_n209#" 77.8241
+cap "XM26/a_n76_n69#" "vco_switch_p_1/sel" 1.48454
+cap "XM16A/a_n33_n117#" "vss" 3.58224
+cap "vss" "XMDUM16B/a_n76_n209#" 120.403
+cap "XM1/a_15_n144#" "XM1/a_n73_n144#" 5.09259
+cap "XM16A/a_18_n29#" "XM1/a_n73_n144#" 1.79808
+cap "XM13/a_n73_n120#" "XM16D_2/a_18_n209#" 6.87126
+cap "XM1/a_15_n144#" "XM3/a_15_n64#" 43.2961
+cap "vco_switch_p_2/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" "XM1/w_n109_n244#" 3.06761
+cap "XM3/a_15_n64#" "XM16A/a_18_n29#" 8.37613
+cap "XM16C/a_18_n209#" "XM16A/a_n76_n29#" 3.21316
+cap "XM11C/a_n33_235#" "XM1/a_n73_n144#" 15.9992
+cap "XM11D_1/a_n33_235#" "XM1/a_n73_n144#" 41.8398
+cap "XM16C/a_n33_n297#" "XM3/a_15_n64#" 2.59677
+cap "vco_switch_p_2/sel" "XM1/a_n73_n144#" 1.30645
+cap "vss" "XM3/a_15_n64#" 25.7281
+cap "vss" "XM13/a_15_n120#" 0.846154
+cap "XM1/a_15_n144#" "XMDUM16/a_n33_n297#" 1.77976
+cap "XMDUM16/a_n33_n297#" "XM16A/a_18_n29#" 16.7825
+cap "XM16D_2/a_18_n209#" "XMDUM16B/a_n76_n209#" 77.752
+cap "XM1/a_15_n144#" "XM1/a_n33_n241#" 36.4137
+cap "XM16A/a_18_n29#" "XM1/a_n33_n241#" 4.41732
+cap "XM5/w_n109_n298#" "XM13/a_n73_n120#" 15.2444
+cap "XM5/w_n109_n298#" "XM13/a_n33_142#" -30.4529
+cap "XM13/a_n33_142#" "XM24/a_n76_n129#" 16.4928
 cap "XM13/a_15_n120#" "XM24/a_n76_n129#" 228.678
+cap "XM13/a_n73_n120#" "XM24/a_n76_n129#" 92.2113
+cap "XM21/a_n15_n53#" "XM24/a_n76_n129#" 1.05413
+cap "XMDUM16B/a_n76_n209#" "XM13/a_15_n120#" 8.65096
+cap "vss" "XM13/a_15_n120#" 0.846154
+cap "XMDUM16B/a_n76_n209#" "XM13/a_n73_n120#" 17.7442
+cap "XMDUM16B/a_n76_n209#" "XM13/a_n33_142#" 1.375
 cap "vss" "XM13/a_n73_n120#" 10.1912
-cap "XM24/a_n76_n129#" "XM21/a_n15_n53#" 1.05413
-cap "XM13/a_15_n120#" "XMDUM16B/a_n76_n209#" 8.65096
+cap "XM5/a_n73_n236#" "XM13/a_n33_142#" 0.637807
+cap "XM5/w_n109_n298#" "XM24/a_18_n129#" 160.216
+cap "XM24/a_18_n129#" "XM24/a_n76_n129#" 3.33511
 cap "XM5/a_n33_395#" "XM13/a_n33_142#" 0.192737
-cap "XM13/a_15_n120#" "XM24/a_18_n129#" 291.505
-cap "XM16D_2/a_18_n209#" "XM13/a_n73_n120#" 6.87126
-cap "XM13/a_n33_142#" "XM5/w_n109_n298#" -30.4529
-cap "XM13/a_n73_n120#" "XM5/w_n109_n298#" 15.2444
-cap "XM13/a_15_n120#" "XM13/a_n33_142#" 70.6166
-cap "XM13/a_15_n120#" "vss" 0.846154
 cap "XM13/a_15_n120#" "XM13/a_n73_n120#" 3.40206
+cap "XM5/w_n109_n298#" "XM24/a_n76_n129#" 6.80625
+cap "XM13/a_15_n120#" "XM13/a_n33_142#" 70.6166
+cap "XM13/a_n33_142#" "XM13/a_n73_n120#" 113.734
+cap "vss" "XM24/a_18_n129#" 2.71978
+cap "XM13/a_15_n120#" "XM21/a_n15_n53#" 0.212704
 cap "XM21/a_n15_n53#" "XM13/a_n33_142#" 6.24162
-cap "sel2" "vco_switch_p_0/sel" 316.809
-cap "vco_switch_p_0/selb" "vco_switch_p_1/selb" 1.09235
-cap "XM25/a_n33_95#" "XMDUM11/a_n33_235#" 54.6323
-cap "sel3" "vco_switch_p_0/selb" 11.8123
-cap "XMDUM11/a_n33_235#" "vco_switch_p_1/sel" -138.486
-cap "XM11A/a_n33_55#" "vco_switch_p_1/selb" 26.9504
-cap "XM25/a_n33_95#" "vco_switch_p_0/sel" 44.9392
+cap "XM21/a_n15_n53#" "XM13/a_n73_n120#" 2.28145
+cap "vss" "XM24/a_n76_n129#" 3.66667
+cap "XM16D_2/a_18_n209#" "XM13/a_n73_n120#" 6.87126
+cap "XM13/a_15_n120#" "XM24/a_18_n129#" 291.505
+cap "XM24/a_18_n129#" "XM13/a_n73_n120#" 31.2366
+cap "XM24/a_18_n129#" "XM13/a_n33_142#" 6.02695
+cap "XM13/a_15_n120#" "XM5/w_n109_n298#" 335.966
+cap "vco_switch_p_1/sel" "vco_switch_p_0/sel" 57.1232
 cap "vco_switch_p_0/vss" "vco_switch_p_0/sel" 30.3946
-cap "XMDUM11/a_n33_235#" "vco_switch_p_0/selb" 18.5451
-cap "vco_switch_p_0/sel" "vco_switch_p_1/sel" 57.1232
-cap "XM25/a_n33_95#" "vco_switch_p_0/vss" 10.1664
-cap "vco_switch_p_0/sel" "vco_switch_p_0/selb" 17.2205
-cap "sel2" "vco_switch_p_0/selb" 16.58
-cap "XM25/a_n33_95#" "vco_switch_p_1/sel" 106.623
-cap "XMDUM11/a_n33_235#" "XM11A/a_n33_55#" 22.0896
-cap "XMDUM11/a_n33_235#" "vco_switch_p_1/selb" 0.205607
-cap "XM25/a_n33_95#" "vco_switch_p_0/selb" 6.39406
+cap "vco_switch_p_0/selb" "XM25/a_n33_95#" 6.39406
 cap "XM11A/a_n33_55#" "vco_switch_p_0/sel" 32.5749
-cap "sel2" "XM11A/a_n33_55#" 137.717
-cap "vco_switch_p_0/vss" "vco_switch_p_0/selb" 7.48
-cap "sel3" "XMDUM11/a_n33_235#" 267.409
-cap "vco_switch_p_0/selb" "vco_switch_p_1/sel" 145.127
-cap "XM25/a_n33_95#" "XM11A/a_n33_55#" 222.216
-cap "vco_switch_p_0/vss" "XM11A/a_n33_55#" 49.7301
-cap "sel3" "vco_switch_p_0/sel" 31.4715
-cap "XM11A/a_n33_55#" "vco_switch_p_1/sel" 87.7161
-cap "XM25/a_n33_95#" "vco_switch_p_1/selb" 3.51738
 cap "XMDUM11/a_n33_235#" "vco_switch_p_0/sel" 7.92187
-cap "XMDUM11/a_n33_235#" "sel2" -157.835
-cap "XM11A/a_n33_55#" "vco_switch_p_0/selb" 7.0055
-cap "XM11C/a_n33_235#" "XM11D_1/a_n33_235#" 13.9838
-cap "XM25/a_n33_95#" "vco_switch_p_2/selb" 7.10155
-cap "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "XMDUM11/a_n33_235#" 2.40773
-cap "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "vco_switch_p_1/selb" 3.51738
-cap "vco_switch_p_2/sel" "vco_switch_p_3/sel" 52.0786
-cap "XM25/a_n33_95#" "vco_switch_p_3/sel" 126.654
-cap "vco_switch_p_1/out" "vco_switch_p_0/vss" 63.1957
-cap "vco_switch_p_1/out" "XM11C/a_n33_235#" 12.1418
-cap "vco_switch_p_1/selb" "XMDUM11/a_n33_235#" 17.409
-cap "vco_switch_p_3/sel" "vco_switch_p_2/selb" 18.0352
-cap "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n68_67#" "vco_switch_p_1/selb" 1.09235
-cap "vco_switch_p_1/out" "vco_switch_p_1/sel" 32.586
-cap "XM11/a_n76_n96#" "XMDUM11/a_n33_235#" 10.9741
-cap "XM25/a_n33_95#" "vco_switch_p_3/selb" 3.51738
-cap "vco_switch_p_3/selb" "vco_switch_p_2/selb" 1.09235
-cap "vco_switch_p_2/sel" "XM11D_1/a_18_n276#" 1.30645
-cap "XM11/a_n76_n96#" "vco_switch_p_1/selb" 0.815407
-cap "vco_switch_p_2/sel" "XM11D_1/a_n33_235#" 29.5171
-cap "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "vco_switch_p_0/vss" 2.44978
-cap "XM11D_1/a_n33_235#" "vco_switch_p_2/selb" 10.0903
-cap "vco_switch_p_1/out" "vco_switch_p_2/sel" 265.655
-cap "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "vco_switch_p_1/sel" 11.3917
-cap "XM11C/a_n33_235#" "XMDUM11/a_n33_235#" 13.5906
-cap "vco_switch_p_1/out" "XM25/a_n33_95#" 258.937
-cap "vco_switch_p_1/sel" "XMDUM11/a_n33_235#" -92.8854
-cap "vco_switch_p_1/out" "vco_switch_p_2/selb" 54.5484
-cap "vco_switch_p_0/vss" "vco_switch_p_1/selb" 7.48
-cap "vco_switch_p_1/sel" "vco_switch_p_1/selb" 110.605
-cap "XM11A/a_n33_55#" "XMDUM11/a_n33_235#" 17.9901
-cap "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n68_67#" "vco_switch_p_1/sel" 3.11143
+cap "sel2" "vco_switch_p_0/sel" 316.809
 cap "XM11A/a_n33_55#" "vco_switch_p_1/selb" 26.9504
-cap "vco_switch_p_1/sel" "vco_switch_p_0/sel" 6.27151
-cap "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "XM25/a_n33_95#" 16.4475
-cap "XM11D_1/a_n33_235#" "XM11D_1/a_18_n276#" 8.71698
-cap "vco_switch_p_0/vss" "vco_switch_p_0/sel" 3.20704
-cap "vco_switch_p_2/sel" "XMDUM11/a_n33_235#" -312.27
-cap "vco_switch_p_2/sel" "vco_switch_p_1/selb" 22.8567
-cap "vco_switch_p_1/sel" "vco_switch_p_0/sel" 4.78875
-cap "vco_switch_p_0/vss" "XM11C/a_n33_235#" 49.7301
-cap "XM25/a_n33_95#" "XMDUM11/a_n33_235#" -127.703
-cap "vco_switch_p_1/out" "XM11D_1/a_n33_235#" 4.93372
-cap "XMDUM11/a_n33_235#" "vco_switch_p_2/selb" 20.2248
-cap "XM25/a_n33_95#" "vco_switch_p_1/selb" 3.66243
-cap "vco_switch_p_1/sel" "vco_switch_p_0/vss" 21.4801
-cap "vco_switch_p_1/selb" "vco_switch_p_2/selb" 2.20213
-cap "XM25/a_n33_95#" "XM11/a_n76_n96#" 0.795643
-cap "XM11A/a_n33_55#" "vco_switch_p_0/vss" 13.118
-cap "XM11A/a_n33_55#" "vco_switch_p_1/sel" 61.9841
-cap "vco_switch_p_3/sel" "XMDUM11/a_n33_235#" 547.249
-cap "vco_switch_p_3/selb" "XMDUM11/a_n33_235#" 0.205607
-cap "XM11B/a_18_n156#" "XMDUM11/a_n33_235#" 6.08829
-cap "vco_switch_p_3/sel" "vco_switch_p_1/selb" 11.8123
-cap "XM25/a_n33_95#" "vco_switch_p_0/sel" 0.605381
+cap "XMDUM11/a_n33_235#" "vco_switch_p_1/selb" 0.205607
+cap "sel3" "XMDUM11/a_n33_235#" 267.409
+cap "XM25/a_n33_95#" "vco_switch_p_0/sel" 44.9392
+cap "XM11A/a_n33_55#" "vco_switch_p_1/sel" 87.7161
+cap "XM11A/a_n33_55#" "vco_switch_p_0/vss" 49.7301
+cap "XMDUM11/a_n33_235#" "vco_switch_p_1/sel" -138.486
+cap "vco_switch_p_0/selb" "vco_switch_p_0/sel" 17.2205
+cap "XM11A/a_n33_55#" "XMDUM11/a_n33_235#" 22.0896
+cap "XM25/a_n33_95#" "vco_switch_p_1/selb" 3.51738
+cap "XM11A/a_n33_55#" "sel2" 137.717
+cap "vco_switch_p_0/selb" "sel3" 11.8123
+cap "sel2" "XMDUM11/a_n33_235#" -157.835
+cap "vco_switch_p_0/selb" "vco_switch_p_1/selb" 1.09235
+cap "vco_switch_p_1/sel" "XM25/a_n33_95#" 106.623
+cap "vco_switch_p_0/vss" "XM25/a_n33_95#" 10.1664
+cap "XM11A/a_n33_55#" "XM25/a_n33_95#" 222.216
+cap "vco_switch_p_0/selb" "vco_switch_p_0/vss" 7.48
+cap "vco_switch_p_0/selb" "vco_switch_p_1/sel" 145.127
+cap "XMDUM11/a_n33_235#" "XM25/a_n33_95#" 54.6323
+cap "XM11A/a_n33_55#" "vco_switch_p_0/selb" 7.0055
+cap "vco_switch_p_0/selb" "XMDUM11/a_n33_235#" 18.5451
+cap "vco_switch_p_0/selb" "sel2" 16.58
+cap "sel3" "vco_switch_p_0/sel" 31.4715
 cap "XMDUM11/a_n33_235#" "XM11C/a_n76_n276#" 7.64761
-cap "vco_switch_p_2/sel" "XM11C/a_n33_235#" 66.6129
-cap "vco_switch_p_2/sel" "vco_switch_p_0/vss" 17.6377
-cap "XMDUM11/a_n33_235#" "XM11D_1/a_18_n276#" 8.50219
+cap "vco_switch_p_2/sel" "XM11D_1/a_n33_235#" 29.5171
+cap "vco_switch_p_2/selb" "XM11D_1/a_n33_235#" 10.0903
+cap "XMDUM11/a_n33_235#" "XM11/a_n76_n96#" 10.9741
+cap "XM11C/a_n33_235#" "vco_switch_p_1/out" 12.1418
+cap "vco_switch_p_0/sel" "vco_switch_p_1/sel" 6.27151
+cap "XMDUM11/a_n33_235#" "XM11B/a_18_n156#" 6.08829
+cap "vco_switch_p_1/sel" "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n68_67#" 3.11143
 cap "XM25/a_n33_95#" "vco_switch_p_0/vss" 7.00951
-cap "XM11D_1/a_n33_235#" "XMDUM11/a_n33_235#" -215.551
-cap "XM25/a_n33_95#" "XM11C/a_n33_235#" 240.888
-cap "vco_switch_p_2/sel" "vco_switch_p_1/sel" 330.23
-cap "vco_switch_p_0/vss" "vco_switch_p_2/selb" 7.48
-cap "XM11C/a_n33_235#" "vco_switch_p_2/selb" 21.492
-cap "XM25/a_n33_95#" "vco_switch_p_1/sel" 138.329
-cap "XM11A/a_n33_55#" "XM25/a_n33_95#" 34.5663
-cap "vco_switch_p_1/out" "XMDUM11/a_n33_235#" 40.8242
-cap "XM11C/a_n33_235#" "vco_switch_p_3/sel" 120.826
-cap "vco_switch_p_0/vss" "vco_switch_p_3/sel" 4.6118
-cap "vco_switch_p_1/out" "vco_switch_p_1/selb" 21.3624
-cap "XM11C/a_n33_235#" "vco_switch_p_3/selb" 26.9504
-cap "vco_switch_p_1/sel" "vco_switch_p_3/sel" 31.4715
+cap "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "vco_switch_p_0/vss" 2.44978
+cap "XM11A/a_n33_55#" "vco_switch_p_0/vss" 13.118
+cap "vco_switch_p_1/sel" "vco_switch_p_0/vss" 21.4801
 cap "XM11C/a_n33_235#" "XM11C/a_n76_n276#" 0.695876
+cap "vco_switch_p_3/sel" "vco_switch_p_2/selb" 18.0352
+cap "vco_switch_p_0/vss" "vco_switch_p_1/selb" 7.48
+cap "XMDUM11/a_n33_235#" "XM11C/a_n33_235#" 13.5906
+cap "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n68_67#" "vco_switch_p_1/selb" 1.09235
+cap "vco_switch_p_3/sel" "vco_switch_p_2/sel" 52.0786
+cap "XM11D_1/a_18_n276#" "XM11D_1/a_n33_235#" 8.71698
+cap "vco_switch_p_0/vss" "vco_switch_p_1/out" 63.1957
+cap "vco_switch_p_1/out" "XM11D_1/a_n33_235#" 4.93372
+cap "vco_switch_p_3/selb" "vco_switch_p_2/selb" 1.09235
+cap "vco_switch_p_2/selb" "vco_switch_p_2/sel" 57.9939
+cap "XM25/a_n33_95#" "vco_switch_p_3/sel" 126.654
+cap "vco_switch_p_1/sel" "vco_switch_p_3/sel" 31.4715
+cap "vco_switch_p_0/sel" "XM25/a_n33_95#" 0.605381
+cap "XM25/a_n33_95#" "vco_switch_p_3/selb" 3.51738
+cap "XMDUM11/a_n33_235#" "XM11D_1/a_n33_235#" -215.551
+cap "XM25/a_n33_95#" "vco_switch_p_2/selb" 7.10155
 cap "XM25/a_n33_95#" "vco_switch_p_2/sel" 165.371
-cap "vco_switch_p_2/sel" "vco_switch_p_2/selb" 57.9939
-cap "vco_switch_p_2/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "vco_switch_p_3/sel" 6.75692
-cap "vco_switch_p_3/selb" "vco_switch_p_3/sel" 136.122
-cap "XM11D_2/a_18_n276#" "vco_switch_p_3/sel" -320.085
-cap "vco_switch_p_3/selb" "vco_switch_p_2/out" 26.9504
-cap "vco_switch_p_2/vss" "vco_switch_p_3/out" 3.61204
-cap "vco_switch_p_3/in" "vco_switch_p_3/sel" 56.6746
-cap "XM11D_2/a_18_n276#" "vco_switch_p_2/out" 14.9311
-cap "vco_switch_p_2/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "vco_switch_p_3/selb" 3.51738
-cap "vco_switch_p_3/in" "vco_switch_p_2/out" 0.38961
+cap "vco_switch_p_0/sel" "vco_switch_p_1/sel" 4.78875
+cap "vco_switch_p_3/sel" "vco_switch_p_1/selb" 11.8123
+cap "vco_switch_p_1/sel" "vco_switch_p_2/sel" 330.23
+cap "vco_switch_p_1/selb" "vco_switch_p_2/sel" 22.8567
+cap "XM11D_1/a_18_n276#" "vco_switch_p_2/sel" 1.30645
+cap "vco_switch_p_1/out" "vco_switch_p_2/sel" 265.655
+cap "vco_switch_p_2/selb" "vco_switch_p_1/out" 54.5484
+cap "XM11C/a_n33_235#" "vco_switch_p_0/vss" 49.7301
+cap "vco_switch_p_2/selb" "vco_switch_p_1/selb" 2.20213
+cap "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "XM25/a_n33_95#" 16.4475
+cap "XM25/a_n33_95#" "XM11A/a_n33_55#" 34.5663
+cap "XM11C/a_n33_235#" "XM11D_1/a_n33_235#" 13.9838
+cap "vco_switch_p_1/sel" "XM25/a_n33_95#" 138.329
+cap "vco_switch_p_1/sel" "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" 11.3917
+cap "vco_switch_p_1/sel" "XM11A/a_n33_55#" 61.9841
+cap "XMDUM11/a_n33_235#" "vco_switch_p_3/sel" 547.249
+cap "XM25/a_n33_95#" "vco_switch_p_1/out" 258.937
+cap "XM25/a_n33_95#" "vco_switch_p_1/selb" 3.66243
+cap "XMDUM11/a_n33_235#" "vco_switch_p_3/selb" 0.205607
+cap "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "vco_switch_p_1/selb" 3.51738
+cap "vco_switch_p_1/sel" "vco_switch_p_1/selb" 110.605
+cap "vco_switch_p_1/sel" "vco_switch_p_1/out" 32.586
+cap "XM11A/a_n33_55#" "vco_switch_p_1/selb" 26.9504
+cap "XMDUM11/a_n33_235#" "vco_switch_p_2/selb" 20.2248
+cap "XMDUM11/a_n33_235#" "vco_switch_p_2/sel" -312.27
+cap "XM11C/a_n33_235#" "vco_switch_p_3/sel" 120.826
+cap "vco_switch_p_1/out" "vco_switch_p_1/selb" 21.3624
+cap "XM25/a_n33_95#" "XM11/a_n76_n96#" 0.795643
+cap "XM11C/a_n33_235#" "vco_switch_p_3/selb" 26.9504
+cap "XMDUM11/a_n33_235#" "XM25/a_n33_95#" -127.703
+cap "XM11C/a_n33_235#" "vco_switch_p_2/selb" 21.492
+cap "vco_switch_p_1/sel" "XMDUM11/a_n33_235#" -92.8854
+cap "XM11C/a_n33_235#" "vco_switch_p_2/sel" 66.6129
+cap "XMDUM11/a_n33_235#" "vco_switch_p_0/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" 2.40773
+cap "XMDUM11/a_n33_235#" "XM11A/a_n33_55#" 17.9901
+cap "XMDUM11/a_n33_235#" "XM11D_1/a_18_n276#" 8.50219
+cap "XM11/a_n76_n96#" "vco_switch_p_1/selb" 0.815407
+cap "XMDUM11/a_n33_235#" "vco_switch_p_1/out" 40.8242
+cap "XMDUM11/a_n33_235#" "vco_switch_p_1/selb" 17.409
+cap "vco_switch_p_0/vss" "vco_switch_p_3/sel" 4.6118
+cap "XM25/a_n33_95#" "XM11C/a_n33_235#" 240.888
+cap "vco_switch_p_0/sel" "vco_switch_p_0/vss" 3.20704
+cap "vco_switch_p_0/vss" "vco_switch_p_2/selb" 7.48
+cap "vco_switch_p_0/vss" "vco_switch_p_2/sel" 17.6377
+cap "vco_switch_p_3/out" "vco_switch_p_2/vss" 3.61204
+cap "vco_switch_p_3/sel" "vco_switch_p_2/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" 6.75692
+cap "vco_switch_p_2/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n68_67#" "vco_switch_p_3/selb" 1.09235
 cap "XM11D_2/a_18_n276#" "vco_switch_p_2/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" 2.40773
-cap "vco_switch_p_2/sel" "vco_switch_p_3/sel" 1.09524
-cap "vco_switch_p_2/vss" "vco_switch_p_2/sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_n18_n99#" 3.20704
-cap "XM11D_2/a_18_n276#" "vco_switch_p_3/selb" 0.205607
-cap "vco_switch_p_3/out" "vco_switch_p_3/sel" 13.4311
-cap "vco_switch_p_3/in" "vco_switch_p_2/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" 2.70974
-cap "vco_switch_p_3/sel" "vco_switch_p_2/sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_n18_n99#" 4.44805
-cap "XM11D_2/a_18_n276#" "vco_switch_p_3/in" 109.026
-cap "vco_switch_p_3/selb" "vco_switch_p_2/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n68_67#" 1.09235
-cap "vco_switch_p_2/vss" "vco_switch_p_3/sel" -6.19138
-cap "vco_switch_p_2/vss" "vco_switch_p_2/out" 13.118
-cap "XM11D_2/a_18_n276#" "vco_switch_p_3/out" 30.0199
-cap "vco_switch_p_3/in" "vco_switch_p_3/out" 72.2544
+cap "vco_switch_p_2/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "vco_switch_p_3/selb" 3.51738
+cap "XM11D_2/a_18_n276#" "vco_switch_p_3/sel" -320.085
+cap "vco_switch_p_2/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "vco_switch_p_3/in" 2.70974
+cap "vco_switch_p_3/sel" "vco_switch_p_3/selb" 136.122
 cap "vco_switch_p_2/vss" "vco_switch_p_2/sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" 2.44978
+cap "XM11D_2/a_18_n276#" "vco_switch_p_3/selb" 0.205607
+cap "vco_switch_p_3/sel" "vco_switch_p_3/in" 56.6746
+cap "vco_switch_p_2/sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_n18_n99#" "vco_switch_p_3/sel" 4.44805
 cap "vco_switch_p_2/out" "vco_switch_p_3/sel" 14.3666
+cap "XM11D_2/a_18_n276#" "vco_switch_p_3/in" 109.026
+cap "vco_switch_p_2/vss" "vco_switch_p_3/sel" -6.19138
+cap "XM11D_2/a_18_n276#" "vco_switch_p_2/out" 14.9311
+cap "vco_switch_p_3/out" "vco_switch_p_3/sel" 13.4311
+cap "vco_switch_p_2/out" "vco_switch_p_3/selb" 26.9504
+cap "vco_switch_p_3/out" "XM11D_2/a_18_n276#" 30.0199
+cap "vco_switch_p_2/out" "vco_switch_p_3/in" 0.38961
+cap "vco_switch_p_3/out" "vco_switch_p_3/in" 72.2544
+cap "vco_switch_p_2/vss" "vco_switch_p_2/sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_n18_n99#" 3.20704
+cap "vco_switch_p_2/sel" "vco_switch_p_3/sel" 1.09524
+cap "vco_switch_p_2/vss" "vco_switch_p_2/out" 13.118
 merge "XM16C/a_n33_n297#" "vco_switch_n_v2_2/out" -175.458 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 48438 -276 46440 0 0 0 0 0 0 0 0 0
 merge "vco_switch_n_v2_2/out" "ng2"
 merge "vco_switch_p_0/out" "XM11A/a_n33_55#" -116.482 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -44862 -276 0 0 0 0 0 0 0 0 0 0
diff --git a/mag/3-stage_cs-vco_dp9/3-stage_cs-vco_dp9.mag b/mag/3-stage_cs-vco_dp9/3-stage_cs-vco_dp9.mag
index 14e7760..5d6018d 100755
--- a/mag/3-stage_cs-vco_dp9/3-stage_cs-vco_dp9.mag
+++ b/mag/3-stage_cs-vco_dp9/3-stage_cs-vco_dp9.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647616625
+timestamp 1647637375
 << nwell >>
 rect -1098 242 1795 1691
 rect -1098 -1641 1795 -1149
@@ -1158,171 +1158,171 @@
 rect 1783 -1593 1788 -1503
 rect 1688 -1598 1788 -1593
 use sky130_fd_pr__pfet_01v8_MP1P4U  XM1
-timestamp 1647613837
+timestamp 1647637375
 transform 0 1 -465 -1 0 351
 box -109 -244 109 198
 use sky130_fd_pr__nfet_01v8_EMZ8SC  XM2
-timestamp 1647613837
+timestamp 1647637375
 transform 0 -1 -437 1 0 101
 box -73 -129 73 129
 use sky130_fd_pr__pfet_01v8_MP0P75  XM3
-timestamp 1647613837
+timestamp 1647637375
 transform 0 1 -99 -1 0 351
 box -109 -164 109 148
 use sky130_fd_pr__nfet_01v8_MP0P50  XM4
-timestamp 1647613837
+timestamp 1647637375
 transform 0 -1 -167 1 0 101
 box -73 -127 73 99
 use sky130_fd_pr__pfet_01v8_MP3P0U  XM5
-timestamp 1647613837
+timestamp 1647637375
 transform 0 -1 517 1 0 351
 box -109 -298 109 464
 use sky130_fd_pr__nfet_01v8_8T82FM  XM6
-timestamp 1647613837
+timestamp 1647637375
 transform 0 -1 466 1 0 101
 box -73 -201 73 201
+use sky130_fd_pr__pfet_01v8_4XEGTB  XM11
+timestamp 1647637375
+transform 1 0 -64 0 1 1079
+box -112 -158 112 124
 use sky130_fd_pr__pfet_01v8_4XEGTB  XM11A
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -64 0 1 791
 box -112 -158 112 124
 use sky130_fd_pr__pfet_01v8_KQRM7Z  XM11B
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 143 0 1 1019
 box -112 -218 112 184
-use sky130_fd_pr__pfet_01v8_4XEGTB  XM11
-timestamp 1647613837
-transform 1 0 -64 0 1 1079
-box -112 -158 112 124
 use sky130_fd_pr__pfet_01v8_TPJM7Z  XM11C
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 350 0 1 898
 box -112 -338 112 304
 use sky130_fd_pr__pfet_01v8_TPJM7Z  XM11D_1
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 556 0 1 898
 box -112 -338 112 304
 use sky130_fd_pr__pfet_01v8_TPJM7Z  XM11D_2
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 650 0 1 898
 box -112 -338 112 304
 use sky130_fd_pr__pfet_01v8_NC2CGG  XM12
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1152 0 1 582
 box -109 -340 109 340
 use sky130_fd_pr__nfet_01v8_44BYND  XM13
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1152 0 1 54
 box -73 -146 73 208
 use sky130_fd_pr__nfet_01v8_NNRSEG  XM16A
-timestamp 1647613837
+timestamp 1647637375
 transform -1 0 -64 0 1 -299
 box -76 -117 76 117
 use sky130_fd_pr__nfet_01v8_MV8TJR  XM16B
-timestamp 1647613837
+timestamp 1647637375
 transform -1 0 143 0 1 -517
 box -76 -177 76 177
 use sky130_fd_pr__nfet_01v8_26QSQN  XM16C
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 350 0 1 -397
 box -76 -297 76 297
 use sky130_fd_pr__nfet_01v8_26QSQN  XM16D_1
-timestamp 1647613837
+timestamp 1647637375
 transform -1 0 557 0 1 -397
 box -76 -297 76 297
 use sky130_fd_pr__nfet_01v8_26QSQN  XM16D_2
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 651 0 1 -397
 box -76 -297 76 297
 use sky130_fd_pr__nfet_01v8_NNRSEG  XM16
-timestamp 1647613837
+timestamp 1647637375
 transform -1 0 -64 0 1 -577
 box -76 -117 76 117
 use sky130_fd_pr__pfet_01v8_AZHELG  XM21
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 894 0 1 300
 box -109 -58 109 200
 use sky130_fd_pr__nfet_01v8_LS30AB  XM22_0p42
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 886 0 1 107
 box -73 -111 73 99
 use sky130_fd_pr__pfet_01v8_UUCHZP  XM23
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1453 0 1 562
 box -209 -366 209 376
 use sky130_fd_pr__nfet_01v8_TUVSF7  XM24
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1357 0 1 45
 box -76 -217 76 217
 use sky130_fd_pr__pfet_01v8_XZZ25Z  XM25
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -688 0 1 1039
 box -112 -198 112 164
 use sky130_fd_pr__nfet_01v8_B87NCT  XM26
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -685 0 1 -537
 box -76 -157 76 157
 use sky130_fd_pr__pfet_01v8_TPJM7Z  XMDUM11B
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 858 0 1 897
 box -112 -338 112 304
 use sky130_fd_pr__pfet_01v8_TPJM7Z  XMDUM11
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -271 0 1 899
 box -112 -338 112 304
 use sky130_fd_pr__nfet_01v8_26QSQN  XMDUM16B
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 858 0 1 -397
 box -76 -297 76 297
 use sky130_fd_pr__nfet_01v8_TWMWTA  XMDUM16
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -271 0 1 -397
 box -76 -297 76 297
-use sky130_fd_pr__pfet_01v8_XZZ25Z  XMDUM25
-timestamp 1647613837
-transform 1 0 -894 0 1 1039
-box -112 -198 112 164
 use sky130_fd_pr__pfet_01v8_XZZ25Z  XMDUM25B
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -482 0 1 1040
 box -112 -198 112 164
-use sky130_fd_pr__nfet_01v8_B87NCT  XMDUM26
-timestamp 1647613837
-transform 1 0 -891 0 1 -537
-box -76 -157 76 157
+use sky130_fd_pr__pfet_01v8_XZZ25Z  XMDUM25
+timestamp 1647637375
+transform 1 0 -894 0 1 1039
+box -112 -198 112 164
 use sky130_fd_pr__nfet_01v8_B87NCT  XMDUM26B
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -478 0 1 -537
 box -76 -157 76 157
+use sky130_fd_pr__nfet_01v8_B87NCT  XMDUM26
+timestamp 1647637375
+transform 1 0 -891 0 1 -537
+box -76 -157 76 157
 use vco_switch_n_v2  vco_switch_n_v2_0
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -1367 0 -1 -304
 box 376 462 987 1215
 use vco_switch_n_v2  vco_switch_n_v2_1
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -721 0 -1 -304
 box 376 462 987 1215
 use vco_switch_n_v2  vco_switch_n_v2_2
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -78 0 -1 -304
 box 376 462 987 1215
 use vco_switch_n_v2  vco_switch_n_v2_3
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 568 0 -1 -304
 box 376 462 987 1215
 use vco_switch_p  vco_switch_p_0
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -1367 0 -1 2536
 box 376 462 987 1215
 use vco_switch_p  vco_switch_p_1
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -721 0 -1 2536
 box 376 462 987 1215
 use vco_switch_p  vco_switch_p_2
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -78 0 -1 2536
 box 376 462 987 1215
 use vco_switch_p  vco_switch_p_3
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 568 0 -1 2536
 box 376 462 987 1215
 << labels >>
diff --git a/mag/3-stage_cs-vco_dp9/FD_v2.ext b/mag/3-stage_cs-vco_dp9/FD_v2.ext
index 23c937e..376d1b8 100755
--- a/mag/3-stage_cs-vco_dp9/FD_v2.ext
+++ b/mag/3-stage_cs-vco_dp9/FD_v2.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -41,116 +41,116 @@
 node "a_1687_n263#" 2026 0 1687 -263 pdif 0 0 0 0 0 0 0 0 0 0 2016 316 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "VDD" 15744 1945.75 68 -49 m1 0 0 0 0 629805 4324 0 0 57800 3468 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 80342 4794 105270 3746 0 0 0 0 0 0 0 0 0 0
 substrate "GND" 0 0 96 -688 m1 0 0 0 0 0 0 0 0 0 0 61710 3698 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 92684 5520 105270 3746 0 0 0 0 0 0 0 0 0 0
-cap "li_204_n127#" "Clk_In" 197.189
-cap "li_204_n127#" "a_971_n597#" 64.8276
-cap "Clk_Out" "7" 97.5628
-cap "Clk_In" "a_971_n597#" 563.519
-cap "VDD" "6" 95.0651
-cap "li_1553_n470#" "7" 186.069
-cap "li_204_n127#" "Clkb" 8.01429
-cap "Clkb" "Clk_In" 68.1857
-cap "Clkb" "a_971_n597#" 22.898
+cap "6" "li_1553_n470#" 33.5915
+cap "6" "7" 157.372
+cap "2" "Clkb" 13.6829
+cap "VDD" "3" 136.674
+cap "4" "3" 130.479
+cap "6" "5" 154.27
+cap "a_971_n597#" "5" 12.5172
+cap "Clk_Out" "VDD" 73.6181
 cap "li_1556_n369#" "6" 79.361
-cap "5" "6" 154.27
-cap "4" "6" 3.16056
-cap "VDD" "Clk_Out" 73.6181
+cap "Clk_In" "5" 72.7032
+cap "2" "7" 38.6655
+cap "2" "5" 151.309
+cap "4" "VDD" 87.618
+cap "li_1556_n369#" "2" 10.2936
+cap "Clk_In" "3" 143.21
+cap "li_1553_n470#" "7" 186.069
+cap "6" "Clk_Out" 8.23846
+cap "2" "3" 109.908
+cap "Clkb" "3" 35.8436
+cap "li_1556_n369#" "li_1553_n470#" 15.2687
+cap "li_1556_n369#" "7" 64.3828
+cap "6" "VDD" 95.0651
+cap "a_971_n597#" "VDD" 94.534
+cap "li_204_n127#" "VDD" 882.312
+cap "2" "Clk_Out" 24.1201
+cap "6" "4" 3.16056
+cap "Clk_In" "VDD" 849.512
+cap "Clk_In" "4" 40.6174
+cap "2" "VDD" 250.304
+cap "3" "5" 16.6953
+cap "VDD" "Clkb" 32.155
+cap "2" "4" 113.627
+cap "7" "Clk_Out" 97.5628
+cap "4" "Clkb" 9.71094
+cap "6" "a_971_n597#" 12.7317
+cap "li_204_n127#" "a_971_n597#" 64.8276
+cap "a_971_n597#" "Clk_In" 563.519
+cap "li_204_n127#" "Clk_In" 197.189
+cap "li_1553_n470#" "VDD" 2.81203
+cap "7" "VDD" 241.737
 cap "li_1556_n369#" "Clk_Out" 5.31754
 cap "2" "6" 313.227
-cap "li_1553_n470#" "VDD" 2.81203
-cap "li_204_n127#" "VDD" 882.312
-cap "VDD" "Clk_In" 849.512
-cap "li_1553_n470#" "li_1556_n369#" 15.2687
-cap "VDD" "a_971_n597#" 94.534
-cap "3" "Clk_In" 143.21
-cap "2" "Clk_Out" 24.1201
-cap "5" "Clk_In" 72.7032
-cap "4" "Clk_In" 40.6174
-cap "VDD" "Clkb" 32.155
-cap "5" "a_971_n597#" 12.5172
-cap "3" "Clkb" 35.8436
-cap "VDD" "7" 241.737
-cap "li_204_n127#" "2" 73.4694
-cap "Clkb" "4" 9.71094
-cap "Clk_In" "2" 772.197
-cap "li_1556_n369#" "7" 64.3828
 cap "2" "a_971_n597#" 457.606
-cap "Clkb" "2" 13.6829
-cap "2" "7" 38.6655
-cap "3" "VDD" 136.674
+cap "li_204_n127#" "2" 73.4694
+cap "a_971_n597#" "Clkb" 22.898
+cap "VDD" "5" 144.699
+cap "li_204_n127#" "Clkb" 8.01429
+cap "4" "5" 29.8951
+cap "2" "Clk_In" 772.197
+cap "Clk_In" "Clkb" 68.1857
 cap "li_1556_n369#" "VDD" 3.7651
-cap "5" "VDD" 144.699
-cap "Clk_Out" "6" 8.23846
-cap "VDD" "4" 87.618
-cap "3" "5" 16.6953
-cap "3" "4" 130.479
-cap "li_1553_n470#" "6" 33.5915
-cap "5" "4" 29.8951
-cap "VDD" "2" 250.304
-cap "3" "2" 109.908
-cap "a_971_n597#" "6" 12.7317
-cap "li_1556_n369#" "2" 10.2936
-cap "5" "2" 151.309
-cap "4" "2" 113.627
-cap "6" "7" 157.372
-cap "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_15_n36#" 2.94263
-cap "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" 10.378
 cap "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 34.9474
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" 9.31816
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" 8.20812
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_5/a_15_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 9.52101
-cap "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" 14.6086
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" 63.8225
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" 101.363
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" 21.3754
-cap "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" 100.03
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" 0.215969
-cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 236.191
-cap "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_15_n36#" "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" 14.9692
-cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" 188.888
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 18.1003
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 84.3136
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" 75.7818
-cap "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 76.2931
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" 68.6513
-cap "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" 61.8779
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" 4.53321
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" -48.0139
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" 27.7803
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" 0.215969
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_15_n36#" 17.6716
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" 8.20812
+cap "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" -29.567
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" 45.2419
+cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" 21.3754
+cap "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" 18.1003
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" 29.3333
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" 63.8225
+cap "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_15_n36#" 14.9692
+cap "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_15_n36#" 2.94263
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" 68.6513
+cap "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" 10.378
+cap "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_15_n36#" 11.1681
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_15_n36#" 19.6289
 cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_15_n36#" 213.62
-cap "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" 0.668788
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" 29.3333
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" 12.731
-cap "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" 36.5315
-cap "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" 45.2419
+cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" 27.7803
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_15_n36#" 97.9663
 cap "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" 5.47232
-cap "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_15_n36#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 11.1681
-cap "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_15_n36#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" 97.9663
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" 20.7091
-cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" 15.8854
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 55.1837
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" -29.567
+cap "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" -48.0139
+cap "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" 76.2931
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" 36.5315
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" 100.03
 cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" 25.3679
-cap "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_15_n36#" "sky130_fd_pr__nfet_01v8_PW5BNL_2/a_15_n79#" 17.6716
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" 102.424
-cap "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_15_n36#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" 19.6289
-cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_15_n79#" 42.5694
-cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" 124.772
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_n73_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_5/a_15_n79#" 33.4734
-cap "sky130_fd_pr__pfet_01v8_A7DS5R_3/w_n109_n86#" "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_n73_n79#" 10.1789
-cap "sky130_fd_pr__pfet_01v8_A7DS5R_3/w_n109_n86#" "sky130_fd_pr__nfet_01v8_PW5BNL_5/a_15_n79#" 8.12678
-cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" 0.770619
-cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__nfet_01v8_PW5BNL_5/a_15_n79#" 8.49674
-cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_n73_n79#" 56.1379
-cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__pfet_01v8_A7DS5R_3/w_n109_n86#" 3.54362
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" "sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" 0.215969
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_15_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" 34.9474
-cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__nfet_01v8_NDE37H_1/a_n73_n115#" 5.03919
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_15_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_n73_n79#" 16.2506
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_15_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_5/a_15_n79#" 9.52101
-cap "sky130_fd_pr__pfet_01v8_A7DS5R_3/w_n109_n86#" "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_15_n79#" 22.0544
-cap "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_n73_n79#" 0.663184
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" 61.8779
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" 20.7091
+cap "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" 55.1837
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" 101.363
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 84.3136
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 14.6086
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" 102.424
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" 75.7818
+cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__nfet_01v8_NDE37H_0/a_15_n115#" 0.668788
+cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" 15.8854
+cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_15_n79#" 9.31816
+cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 236.191
+cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_37#" 4.53321
+cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__nfet_01v8_PW5BNL_0/a_n73_n79#" 188.888
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_0/w_n109_n86#" 12.731
+cap "sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "sky130_fd_pr__nfet_01v8_PW5BNL_5/a_15_n79#" 9.52101
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_5/a_15_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_15_n79#" 9.52101
 cap "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_5/a_15_n79#" 46.0273
-cap "sky130_fd_pr__pfet_01v8_A7DS5R_3/w_n109_n86#" "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" -67.2997
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_n73_n79#" "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" 56.1379
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_n73_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_15_n79#" 16.2506
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_n73_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" 0.663184
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_15_n79#" "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" 42.5694
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" 124.772
+cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_n73_n115#" "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" 5.03919
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_15_n79#" 34.9474
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_5/a_15_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_3/w_n109_n86#" 8.12678
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_n73_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_3/w_n109_n86#" 10.1789
+cap "sky130_fd_pr__pfet_01v8_A7DS5R_3/w_n109_n86#" "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" 3.54362
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_n73_n79#" "sky130_fd_pr__nfet_01v8_PW5BNL_5/a_15_n79#" 33.4734
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_5/a_15_n79#" "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" 8.49674
+cap "sky130_fd_pr__nfet_01v8_NDE37H_1/a_15_n115#" "sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" 0.770619
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_3/a_15_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_3/w_n109_n86#" 22.0544
+cap "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_3/w_n109_n86#" -67.2997
+cap "sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" "sky130_fd_pr__nfet_01v8_PW5BNL_4/a_n73_n79#" 0.215969
 merge "sky130_fd_pr__pfet_01v8_A7DS5R_5/VSUBS" "sky130_fd_pr__nfet_01v8_PW5BNL_5/VSUBS" -136.753 0 0 0 0 0 0 0 0 37968 -336 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8624 -706 0 0 0 0 0 0 0 0 0 0 0 0
 merge "sky130_fd_pr__nfet_01v8_PW5BNL_5/VSUBS" "sky130_fd_pr__nfet_01v8_PW5BNL_5/a_n73_n79#"
 merge "sky130_fd_pr__nfet_01v8_PW5BNL_5/a_n73_n79#" "sky130_fd_pr__pfet_01v8_A7DS5R_4/VSUBS"
diff --git a/mag/3-stage_cs-vco_dp9/FD_v2.mag b/mag/3-stage_cs-vco_dp9/FD_v2.mag
index 017227a..823fdcb 100755
--- a/mag/3-stage_cs-vco_dp9/FD_v2.mag
+++ b/mag/3-stage_cs-vco_dp9/FD_v2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << nwell >>
 rect 68 -313 1883 34
 << pwell >>
@@ -182,67 +182,67 @@
 rect 1772 -676 1883 -642
 rect 68 -688 1883 -676
 use sky130_fd_pr__nfet_01v8_NDE37H  sky130_fd_pr__nfet_01v8_NDE37H_0
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 595 0 -1 -499
 box -118 -141 73 98
 use sky130_fd_pr__nfet_01v8_NDE37H  sky130_fd_pr__nfet_01v8_NDE37H_1
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1138 0 -1 -499
 box -118 -141 73 98
 use sky130_fd_pr__nfet_01v8_PW5BNL  sky130_fd_pr__nfet_01v8_PW5BNL_0
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 177 0 1 -422
 box -73 -115 73 103
 use sky130_fd_pr__nfet_01v8_PW5BNL  sky130_fd_pr__nfet_01v8_PW5BNL_1
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 377 0 1 -422
 box -73 -115 73 103
 use sky130_fd_pr__nfet_01v8_PW5BNL  sky130_fd_pr__nfet_01v8_PW5BNL_2
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 879 0 1 -422
 box -73 -115 73 103
 use sky130_fd_pr__nfet_01v8_PW5BNL  sky130_fd_pr__nfet_01v8_PW5BNL_3
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1383 0 1 -422
 box -73 -115 73 103
 use sky130_fd_pr__nfet_01v8_PW5BNL  sky130_fd_pr__nfet_01v8_PW5BNL_4
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1614 0 1 -422
 box -73 -115 73 103
 use sky130_fd_pr__nfet_01v8_PW5BNL  sky130_fd_pr__nfet_01v8_PW5BNL_5
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1774 0 1 -422
 box -73 -115 73 103
 use sky130_fd_pr__pfet_01v8_A7DS5R  sky130_fd_pr__pfet_01v8_A7DS5R_0
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 177 0 1 -227
 box -109 -133 109 170
 use sky130_fd_pr__pfet_01v8_A7DS5R  sky130_fd_pr__pfet_01v8_A7DS5R_1
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 377 0 1 -227
 box -109 -133 109 170
 use sky130_fd_pr__pfet_01v8_A7DS5R  sky130_fd_pr__pfet_01v8_A7DS5R_2
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 879 0 1 -227
 box -109 -133 109 170
 use sky130_fd_pr__pfet_01v8_A7DS5R  sky130_fd_pr__pfet_01v8_A7DS5R_3
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1383 0 1 -227
 box -109 -133 109 170
 use sky130_fd_pr__pfet_01v8_A7DS5R  sky130_fd_pr__pfet_01v8_A7DS5R_4
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1614 0 1 -227
 box -109 -133 109 170
 use sky130_fd_pr__pfet_01v8_A7DS5R  sky130_fd_pr__pfet_01v8_A7DS5R_5
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1774 0 1 -227
 box -109 -133 109 170
 use sky130_fd_pr__pfet_01v8_ACPHKB  sky130_fd_pr__pfet_01v8_ACPHKB_0
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 595 0 1 -173
 box -109 -140 109 106
 use sky130_fd_pr__pfet_01v8_ACPHKB  sky130_fd_pr__pfet_01v8_ACPHKB_1
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1138 0 1 -173
 box -109 -140 109 106
 << labels >>
diff --git a/mag/3-stage_cs-vco_dp9/FD_v5.ext b/mag/3-stage_cs-vco_dp9/FD_v5.ext
index 66eb6aa..8526a48 100755
--- a/mag/3-stage_cs-vco_dp9/FD_v5.ext
+++ b/mag/3-stage_cs-vco_dp9/FD_v5.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -64,212 +64,212 @@
 node "Clkb_buf" 1844 3803.45 2213 1 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 31376 1860 0 0 43860 2988 289445 12672 0 0 0 0 0 0 0 0 0 0
 node "VDD" 40269 8766.5 156 95 m1 0 0 0 0 2909902 12836 0 0 158814 9478 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 284716 16816 343766 11970 0 0 0 0 0 0 0 0 0 0
 substrate "GND" 0 0 184 -760 m1 0 0 0 0 0 0 0 0 0 0 201484 11920 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 339320 20028 343708 11968 0 0 0 0 0 0 0 0 0 0
-cap "li_2322_n667#" "3" 6.03226
-cap "6" "li_4024_n83#" 22
-cap "Clkb_int" "a_n112_n585#" 7.0744
-cap "Clk_Out" "li_5462_n270#" 1.83333
-cap "4" "li_2586_n83#" 22
-cap "6" "a_3651_1#" 3.33929
-cap "li_3760_n126#" "2" 90.9805
-cap "4" "3" 170.035
-cap "VDD" "Clk_In" 5.1
-cap "4" "li_2410_n92#" 23.2941
-cap "li_2322_n126#" "Clkb_buf" 245.012
-cap "li_3760_n126#" "VDD" 408.583
-cap "2" "5" 307.459
-cap "Clkb_int" "a_n112_n263#" 2.9155
-cap "li_1356_17#" "a_3651_1#" 17
-cap "dus" "VDD" 98.7105
-cap "a_2222_n669#" "2" 270.978
-cap "li_1356_17#" "Clk_In_buf" 3.9507
-cap "2" "Clkb_buf" 2298.82
-cap "3" "a_3651_1#" 121.852
-cap "dus" "Clk_In" 18.3829
-cap "li_4024_n83#" "li_3848_n92#" 9.52817
-cap "5" "VDD" 254.495
-cap "li_2322_n126#" "4" 12.6168
-cap "Clk_In_buf" "3" 16.3922
-cap "Clk_Out" "li_5129_n369#" 5.31754
-cap "li_3760_n667#" "6" 88.9945
-cap "VDD" "Clkb_buf" 3417.21
-cap "4" "2" 415.856
-cap "li_3760_n126#" "5" 14.7632
-cap "7" "Clk_Out" 92.3198
-cap "6" "li_3848_n92#" 23.2941
-cap "VDD" "a_n40_n319#" 59.4
-cap "li_2322_n126#" "a_3651_1#" 170.315
-cap "4" "VDD" 275.264
-cap "dus" "Clkb_buf" 97.1345
-cap "li_90_n270#" "VDD" 45.9225
-cap "6" "li_5129_n369#" 74.8
-cap "dus" "a_n40_n319#" 15.0989
-cap "2" "a_3651_1#" 1934.53
-cap "5" "Clkb_buf" 28.3979
-cap "VDD" "Clk_Out" 30.2767
-cap "6" "7" 146.867
-cap "Clk_In_buf" "2" 5.13697
-cap "VDD" "li_4024_n83#" 39.5697
-cap "a_2222_n669#" "Clkb_buf" 653.464
-cap "li_2410_n92#" "li_2586_n83#" 9.52817
-cap "dus" "li_90_n270#" 26.2851
-cap "Clkb_int" "VDD" 280.253
-cap "6" "li_5126_n470#" 29.5263
-cap "VDD" "a_3651_1#" 2978.55
-cap "6" "2" 578.272
-cap "4" "5" 18.9494
-cap "a_2222_n669#" "li_2322_n667#" 602.691
-cap "7" "li_5462_n270#" 3.71523
-cap "Clkb_int" "Clk_In" 235.533
-cap "Clk_In_buf" "VDD" 70.9841
-cap "4" "a_2222_n669#" 9
-cap "li_3760_n126#" "a_3651_1#" 235.31
-cap "Clkb_int" "dus" 96.2735
-cap "4" "Clkb_buf" 3.33929
-cap "6" "VDD" 275.264
-cap "4" "li_2322_n667#" 88.9945
-cap "li_2322_n126#" "3" 14.7632
-cap "5" "a_3651_1#" 84.9256
-cap "VDD" "li_5462_n270#" 45.9225
-cap "li_3760_n126#" "6" 12.6168
-cap "li_2322_n126#" "li_2410_n92#" 1.88
+cap "VDD" "6" 275.264
+cap "5" "li_3760_n126#" 14.7632
+cap "li_3848_n92#" "6" 23.2941
+cap "7" "li_5126_n470#" 181.929
 cap "3" "2" 331.614
-cap "a_2222_n669#" "a_3651_1#" 259.483
-cap "li_1356_17#" "VDD" 101.091
-cap "Clkb_buf" "a_3651_1#" 1409.52
-cap "VDD" "li_2586_n83#" 39.5697
-cap "6" "5" 171.334
-cap "a_2222_n669#" "Clk_In_buf" 10.6857
-cap "Clk_In_buf" "Clkb_buf" 74.1789
-cap "7" "li_5129_n369#" 61.6231
+cap "a_3651_1#" "6" 3.33929
+cap "2" "4" 415.856
+cap "5" "Clkb_buf" 28.3979
+cap "li_2322_n667#" "3" 6.03226
 cap "3" "VDD" 238.741
-cap "VDD" "li_3848_n92#" 43.293
-cap "li_5126_n470#" "li_5129_n369#" 15.2687
-cap "6" "Clkb_buf" 35.6561
-cap "4" "a_3651_1#" 121.852
-cap "Clkb_int" "li_90_n270#" 5.79139
-cap "li_2410_n92#" "VDD" 43.293
-cap "2" "li_5129_n369#" 5.96809
-cap "li_2322_n126#" "2" 90.9805
-cap "li_5126_n470#" "7" 181.929
-cap "7" "2" 12.3056
-cap "li_3760_n126#" "li_3848_n92#" 1.88
-cap "li_3760_n667#" "5" 6.03226
-cap "li_2322_n126#" "VDD" 408.583
-cap "li_1356_17#" "Clkb_buf" 27.0946
+cap "li_2322_n667#" "4" 88.9945
+cap "3" "a_3651_1#" 121.852
+cap "VDD" "4" 275.264
+cap "li_2586_n83#" "4" 22
+cap "a_3651_1#" "4" 121.852
+cap "5" "6" 171.334
+cap "a_n112_n585#" "Clkb_int" 7.0744
+cap "li_2410_n92#" "li_2322_n126#" 1.88
+cap "5" "4" 18.9494
+cap "2" "7" 12.3056
+cap "Clk_In" "dus" 18.3829
 cap "7" "VDD" 269.598
-cap "6" "Clk_Out" 8.23846
-cap "a_2222_n669#" "3" 7.94891
-cap "li_3760_n667#" "Clkb_buf" 602.691
-cap "Clk_In_buf" "a_3651_1#" 87.5799
-cap "3" "Clkb_buf" 24.1398
+cap "Clk_In" "VDD" 5.1
+cap "dus" "VDD" 98.7105
+cap "li_1356_17#" "Clk_In_buf" 3.9507
 cap "2" "VDD" 92.652
-cap "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_37#" "sky130_fd_pr__pfet_01v8_A1DS5R_0/w_n109_n86#" -138.428
-cap "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_n163#" "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_37#" -30.7228
-cap "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_15_n163#" "sky130_fd_pr__pfet_01v8_A1DS5R_0/w_n109_n86#" 288.302
+cap "dus" "Clkb_int" 96.2735
+cap "2" "a_3651_1#" 1934.53
+cap "Clk_In" "Clkb_int" 235.533
+cap "li_1356_17#" "Clkb_buf" 27.0946
+cap "li_3848_n92#" "VDD" 43.293
+cap "li_2586_n83#" "VDD" 39.5697
+cap "VDD" "a_3651_1#" 2978.55
+cap "Clkb_int" "VDD" 280.253
+cap "5" "2" 307.459
+cap "li_2410_n92#" "4" 23.2941
+cap "a_2222_n669#" "Clk_In_buf" 10.6857
+cap "5" "VDD" 254.495
+cap "5" "a_3651_1#" 84.9256
+cap "a_2222_n669#" "Clkb_buf" 653.464
+cap "Clk_Out" "li_5462_n270#" 1.83333
+cap "Clkb_buf" "li_3760_n667#" 602.691
+cap "dus" "li_90_n270#" 26.2851
+cap "Clkb_int" "a_n112_n263#" 2.9155
+cap "a_2222_n669#" "3" 7.94891
+cap "6" "li_3760_n667#" 88.9945
+cap "a_2222_n669#" "4" 9
+cap "li_90_n270#" "VDD" 45.9225
+cap "Clk_Out" "li_5129_n369#" 5.31754
+cap "Clkb_int" "li_90_n270#" 5.79139
+cap "Clk_Out" "6" 8.23846
+cap "li_2410_n92#" "VDD" 43.293
+cap "li_2322_n126#" "Clkb_buf" 245.012
+cap "li_2586_n83#" "li_2410_n92#" 9.52817
+cap "li_1356_17#" "VDD" 101.091
+cap "dus" "a_n40_n319#" 15.0989
+cap "li_1356_17#" "a_3651_1#" 17
+cap "VDD" "a_n40_n319#" 59.4
+cap "Clk_In_buf" "Clkb_buf" 74.1789
+cap "a_2222_n669#" "2" 270.978
+cap "6" "li_4024_n83#" 22
+cap "li_2322_n126#" "3" 14.7632
+cap "li_2322_n126#" "4" 12.6168
+cap "6" "li_3760_n126#" 12.6168
+cap "li_2322_n667#" "a_2222_n669#" 602.691
+cap "Clkb_buf" "6" 35.6561
+cap "a_2222_n669#" "a_3651_1#" 259.483
+cap "3" "Clk_In_buf" 16.3922
+cap "li_5129_n369#" "6" 74.8
+cap "Clk_Out" "7" 92.3198
+cap "3" "Clkb_buf" 24.1398
+cap "Clkb_buf" "4" 3.33929
+cap "li_5129_n369#" "li_5126_n470#" 15.2687
+cap "Clk_Out" "VDD" 30.2767
+cap "li_5462_n270#" "7" 3.71523
+cap "6" "li_5126_n470#" 29.5263
+cap "5" "li_3760_n667#" 6.03226
+cap "li_2322_n126#" "2" 90.9805
+cap "3" "4" 170.035
+cap "li_5462_n270#" "VDD" 45.9225
+cap "li_2322_n126#" "VDD" 408.583
+cap "li_2322_n126#" "a_3651_1#" 170.315
+cap "Clk_In_buf" "2" 5.13697
+cap "2" "li_3760_n126#" 90.9805
+cap "dus" "Clkb_buf" 97.1345
+cap "VDD" "li_4024_n83#" 39.5697
+cap "2" "Clkb_buf" 2298.82
+cap "li_3848_n92#" "li_4024_n83#" 9.52817
+cap "li_5129_n369#" "7" 61.6231
+cap "Clk_In_buf" "VDD" 70.9841
+cap "VDD" "li_3760_n126#" 408.583
+cap "li_3848_n92#" "li_3760_n126#" 1.88
+cap "a_3651_1#" "li_3760_n126#" 235.31
+cap "li_5129_n369#" "2" 5.96809
+cap "Clk_In_buf" "a_3651_1#" 87.5799
+cap "7" "6" 146.867
+cap "VDD" "Clkb_buf" 3417.21
+cap "2" "6" 578.272
+cap "Clkb_buf" "a_3651_1#" 1409.52
+cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_15_n163#" 529.086
+cap "MNClkin/a_15_n163#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" 21.3071
 cap "sky130_fd_pr__nfet_01v8_PW8BNL_0/a_n73_37#" "sky130_fd_pr__pfet_01v8_A1DS5R_0/w_n109_n86#" 11.4
-cap "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_n163#" "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_15_n163#" 145.425
-cap "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_n163#" "sky130_fd_pr__nfet_01v8_PW8BNL_0/a_n73_37#" -9.58974
-cap "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_15_n163#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" 529.086
-cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" "MNClkin/a_15_n163#" 21.3071
-cap "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_37#" "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_15_n163#" 374.693
-cap "sky130_fd_pr__nfet_01v8_PW8BNL_0/a_n73_37#" "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_37#" 135.963
-cap "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_n163#" "sky130_fd_pr__pfet_01v8_A1DS5R_0/w_n109_n86#" 46.6931
-cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" "sky130_fd_pr__pfet_01v8_A1DS5R_0/w_n109_n86#" 61.2575
+cap "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_37#" "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_n163#" -30.7228
+cap "sky130_fd_pr__pfet_01v8_A1DS5R_0/w_n109_n86#" "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_n163#" 46.6931
 cap "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_n163#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" 75.8306
-cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_103_n163#" "MNinv1/a_191_n163#" 53.3624
-cap "MNTgate1/a_15_n163#" "MNClkin/a_15_n163#" -6.873
-cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" "MNinv1/a_n73_37#" 195.054
-cap "MNTgate1/a_15_n163#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_103_n163#" 1.23612
-cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" "sky130_fd_pr__pfet_01v8_A4DS5R_0/a_n15_n133#" -213.068
-cap "MNinv1/a_n73_37#" "sky130_fd_pr__pfet_01v8_A4DS5R_0/a_103_n36#" 13.7802
-cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" "sky130_fd_pr__pfet_01v8_A4DS5R_0/a_103_n36#" 217.334
-cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_103_n163#" "MNClkin/a_15_n163#" 4.00786
-cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" "MNinv1/a_191_n163#" 275.689
-cap "MNinv1/a_n73_37#" "MNinv1/a_191_n163#" 187.723
-cap "MNinv1/a_191_n163#" "sky130_fd_pr__pfet_01v8_A4DS5R_0/a_103_n36#" 82.8018
-cap "MNTgate1/a_15_n163#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" 17.5757
-cap "MNTgate1/a_15_n163#" "sky130_fd_pr__pfet_01v8_A4DS5R_0/a_103_n36#" 1.8255
-cap "MNinv1/a_n73_37#" "MNClkin/a_15_n163#" 135.831
-cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" "MNClkin/a_15_n163#" 522.162
-cap "MNTgate1/a_15_n163#" "MNinv1/a_191_n163#" 14.2405
-cap "MNClkin/a_15_n163#" "sky130_fd_pr__pfet_01v8_A4DS5R_0/a_103_n36#" -151.945
-cap "MNinv1/a_191_n163#" "MNClkin/a_15_n163#" 67.1697
+cap "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_37#" "sky130_fd_pr__pfet_01v8_A1DS5R_0/w_n109_n86#" -138.428
+cap "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_n163#" "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_15_n163#" 145.425
+cap "sky130_fd_pr__nfet_01v8_PW8BNL_0/a_n73_37#" "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_n163#" -9.58974
+cap "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_37#" "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_15_n163#" 374.693
+cap "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_n73_37#" "sky130_fd_pr__nfet_01v8_PW8BNL_0/a_n73_37#" 135.963
+cap "sky130_fd_pr__pfet_01v8_A1DS5R_0/w_n109_n86#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" 61.2575
+cap "sky130_fd_pr__pfet_01v8_A1DS5R_0/w_n109_n86#" "sky130_fd_pr__nfet_01v8_PW6BNL_0/a_15_n163#" 288.302
+cap "sky130_fd_pr__pfet_01v8_A4DS5R_0/a_103_n36#" "MNinv1/a_n73_37#" 13.7802
 cap "MNinv1/a_n73_37#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_103_n163#" -6.48841
-cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_103_n163#" 217.945
-cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_103_n163#" "sky130_fd_pr__pfet_01v8_A4DS5R_0/a_103_n36#" 33
-cap "MNinv2/a_191_n163#" "MNinv1/a_191_n163#" 26.5082
-cap "2" "MNinv2/a_191_n163#" -1.42109e-14
-cap "MPinv1/a_279_n36#" "MNTgate2/a_15_n163#" 20.6585
-cap "MPinv1/a_279_n36#" "MPTgate2/a_n15_n81#" 12.7582
-cap "MNinv2/a_n73_n163#" "MNinv2/a_191_n163#" 37.1757
-cap "MPinv1/a_279_n36#" "MNinv2/a_191_n163#" -14.6416
-cap "MNTgate1/a_n15_n199#" "MNTgate2/a_n15_n199#" 109.567
-cap "MNTgate1/a_15_n163#" "MNinv1/a_191_n163#" 307.928
-cap "2" "MNTgate1/a_15_n163#" 123.703
-cap "MNinv2/a_n73_n163#" "MNTgate1/a_15_n163#" 52.0859
-cap "MNTgate2/a_n15_n199#" "MPTgate2/a_n15_n81#" 2.43269
-cap "MPTgate2/a_n15_n81#" "MNTgate2/a_15_n163#" 6.53314
-cap "MPTgate1/a_n15_n81#" "MNinv1/a_191_n163#" 150.312
-cap "MNTgate2/a_n15_n199#" "MNinv2/a_191_n163#" 81.901
-cap "MPinv1/a_279_n36#" "MNTgate1/a_15_n163#" -163.785
-cap "MPTgate2/a_n15_n81#" "MNinv2/a_191_n163#" 85.0149
-cap "MPinv1/a_279_n36#" "MPTgate1/a_n15_n81#" -215.618
-cap "MNTgate2/a_15_n163#" "MNinv2/a_191_n163#" -2.92226
-cap "MNTgate1/a_n15_n199#" "MNTgate1/a_15_n163#" 24.0588
+cap "MNinv1/a_n73_37#" "MNClkin/a_15_n163#" 135.831
+cap "MNinv1/a_n73_37#" "MNinv1/a_191_n163#" 187.723
+cap "MNinv1/a_n73_37#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" 195.054
+cap "sky130_fd_pr__pfet_01v8_A4DS5R_0/a_103_n36#" "MNTgate1/a_15_n163#" 1.8255
+cap "sky130_fd_pr__pfet_01v8_A4DS5R_0/a_103_n36#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_103_n163#" 33
+cap "sky130_fd_pr__pfet_01v8_A4DS5R_0/a_103_n36#" "MNClkin/a_15_n163#" -151.945
+cap "MNTgate1/a_15_n163#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_103_n163#" 1.23612
+cap "sky130_fd_pr__pfet_01v8_A4DS5R_0/a_103_n36#" "MNinv1/a_191_n163#" 82.8018
+cap "MNTgate1/a_15_n163#" "MNClkin/a_15_n163#" -6.873
+cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_103_n163#" "MNClkin/a_15_n163#" 4.00786
+cap "sky130_fd_pr__pfet_01v8_A4DS5R_0/a_103_n36#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" 217.334
+cap "MNTgate1/a_15_n163#" "MNinv1/a_191_n163#" 14.2405
+cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_103_n163#" "MNinv1/a_191_n163#" 53.3624
+cap "MNClkin/a_15_n163#" "MNinv1/a_191_n163#" 67.1697
+cap "MNTgate1/a_15_n163#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" 17.5757
+cap "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_103_n163#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" 217.945
+cap "MNClkin/a_15_n163#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" 522.162
+cap "sky130_fd_pr__pfet_01v8_A4DS5R_0/a_n15_n133#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" -213.068
+cap "MNinv1/a_191_n163#" "sky130_fd_pr__nfet_01v8_PW4BNL_0/a_191_n163#" 275.689
+cap "MPTgate2/a_n15_n81#" "MNTgate2/a_n15_n199#" 2.43269
+cap "MNinv2/a_191_n163#" "MNinv2/a_n73_n163#" 37.1757
 cap "MNTgate1/a_n15_n199#" "MNinv1/a_n73_37#" 0.332021
-cap "MNTgate2/a_n15_n199#" "MNTgate1/a_15_n163#" -159.294
-cap "MNTgate1/a_n15_n199#" "MPTgate1/a_n15_n81#" 27.0803
-cap "MNTgate1/a_15_n163#" "MPTgate2/a_n15_n81#" -166.446
-cap "MNTgate1/a_15_n163#" "MNinv2/a_191_n163#" 218.748
-cap "MPTgate1/a_n15_n81#" "MPTgate2/a_n15_n81#" 71.815
-cap "MNinv2/a_n73_n163#" "MNinv1/a_191_n163#" 69.7567
-cap "MPinv1/a_279_n36#" "MNinv1/a_191_n163#" -167.423
-cap "MNinv2/a_n73_n163#" "MPinv1/a_279_n36#" 16.5
-cap "MNTgate1/a_15_n163#" "MPTgate1/a_n15_n81#" -75.8445
+cap "MNTgate1/a_n15_n199#" "MNTgate1/a_15_n163#" 24.0588
+cap "2" "MNinv2/a_191_n163#" -1.42109e-14
+cap "MNinv2/a_191_n163#" "MNTgate2/a_15_n163#" -2.92226
+cap "MNinv2/a_191_n163#" "MPinv1/a_279_n36#" -14.6416
 cap "MNTgate1/a_n15_n199#" "MNinv1/a_191_n163#" 79.491
-cap "MPTgate1/a_n15_n81#" "MNinv1/a_n73_37#" 0.96988
-cap "MNTgate2/a_n15_n199#" "MNinv1/a_191_n163#" 204.7
+cap "MPTgate2/a_n15_n81#" "MPTgate1/a_n15_n81#" 71.815
+cap "MNinv2/a_191_n163#" "MNTgate1/a_15_n163#" 218.748
+cap "MNTgate1/a_n15_n199#" "MNTgate2/a_n15_n199#" 109.567
+cap "MNinv2/a_191_n163#" "MNinv1/a_191_n163#" 26.5082
+cap "MNinv2/a_n73_n163#" "MNTgate1/a_15_n163#" 52.0859
+cap "MNinv2/a_n73_n163#" "MPinv1/a_279_n36#" 16.5
+cap "MNinv2/a_191_n163#" "MNTgate2/a_n15_n199#" 81.901
+cap "MNTgate1/a_n15_n199#" "MPTgate1/a_n15_n81#" 27.0803
+cap "MNinv2/a_n73_n163#" "MNinv1/a_191_n163#" 69.7567
+cap "MNTgate2/a_15_n163#" "MPinv1/a_279_n36#" 20.6585
+cap "2" "MNTgate1/a_15_n163#" 123.703
+cap "MNinv2/a_191_n163#" "MPTgate2/a_n15_n81#" 85.0149
+cap "MPinv1/a_279_n36#" "MNTgate1/a_15_n163#" -163.785
 cap "MNinv2/a_n73_n163#" "MNTgate2/a_n15_n199#" 102.35
+cap "MPinv1/a_279_n36#" "MNinv1/a_191_n163#" -167.423
+cap "MNinv1/a_191_n163#" "MNTgate1/a_15_n163#" 307.928
+cap "MNTgate2/a_n15_n199#" "MNTgate1/a_15_n163#" -159.294
+cap "MNinv1/a_191_n163#" "MNTgate2/a_n15_n199#" 204.7
+cap "MNTgate2/a_15_n163#" "MPTgate2/a_n15_n81#" 6.53314
+cap "MPinv1/a_279_n36#" "MPTgate2/a_n15_n81#" 12.7582
+cap "MPTgate2/a_n15_n81#" "MNTgate1/a_15_n163#" -166.446
+cap "MPTgate1/a_n15_n81#" "MNinv1/a_n73_37#" 0.96988
+cap "MPinv1/a_279_n36#" "MPTgate1/a_n15_n81#" -215.618
+cap "MPTgate1/a_n15_n81#" "MNTgate1/a_15_n163#" -75.8445
 cap "MPTgate2/a_n15_n81#" "MNinv1/a_191_n163#" 59.2502
-cap "MNfb/a_n73_n163#" "MNfb/a_15_n163#" 69.8638
-cap "MNbuf1/a_n73_n163#" "MPinv2/a_279_n36#" -4.98108
-cap "MPinv2/a_279_n36#" "MPTgate2/a_n15_n81#" -235.15
+cap "MPTgate1/a_n15_n81#" "MNinv1/a_191_n163#" 150.312
+cap "MNTgate2/a_15_n163#" "MNbuf2/a_15_n163#" 4.24837
+cap "MNTgate2/a_15_n163#" "MPinv2/a_279_n36#" -214.066
+cap "MNfb/a_15_n163#" "MNfb/a_n73_n163#" 69.8638
 cap "MNinv2/a_191_n163#" "MNfb/a_n73_n163#" 73.6429
-cap "MNbuf2/a_15_n163#" "MNfb/a_n73_n163#" 20.5987
-cap "MNbuf1/a_n73_n163#" "MNfb/a_n73_n163#" -46.4039
-cap "MNinv2/a_191_n163#" "MNfb/a_15_n163#" 27.7597
+cap "MPinv2/a_n15_n133#" "MNTgate2/a_n15_n199#" 2.04298
+cap "MNbuf2/a_15_n163#" "MPinv2/a_279_n36#" 45.2648
 cap "MNTgate2/a_15_n163#" "MNfb/a_n73_n163#" 258.11
 cap "MNbuf1/a_n73_n163#" "MNfb/a_15_n163#" 129.706
+cap "MPTgate2/a_n15_n81#" "MNinv2/a_191_n163#" 159.969
+cap "MNbuf2/a_15_n163#" "MNfb/a_n73_n163#" 20.5987
+cap "MNfb/a_15_n163#" "MNinv2/a_191_n163#" 27.7597
+cap "MNfb/a_n73_n163#" "MPinv2/a_279_n36#" 17.2279
+cap "MNTgate2/a_15_n163#" "MNbuf1/a_n73_n163#" 97.3094
+cap "MNTgate2/a_15_n163#" "MPTgate2/a_n15_n81#" 140.389
 cap "MNTgate2/a_15_n163#" "MNfb/a_15_n163#" 440.45
-cap "MNTgate2/a_15_n163#" "MNbuf2/a_15_n163#" 4.24837
-cap "MPinv2/a_279_n36#" "MNfb/a_n73_n163#" 17.2279
 cap "MNTgate2/a_15_n163#" "MNinv2/a_191_n163#" 388.409
 cap "MNbuf1/a_n73_n163#" "MNbuf2/a_15_n163#" 37.9346
-cap "MNTgate2/a_15_n163#" "MNbuf1/a_n73_n163#" 97.3094
-cap "MPinv2/a_279_n36#" "MNfb/a_15_n163#" 31.9471
-cap "MNinv2/a_191_n163#" "MPTgate2/a_n15_n81#" 159.969
-cap "MNTgate2/a_n15_n199#" "MNinv2/a_191_n163#" 79.491
-cap "MNTgate2/a_n15_n199#" "MPinv2/a_n15_n133#" 2.04298
-cap "MNTgate2/a_15_n163#" "MPTgate2/a_n15_n81#" 140.389
+cap "MNbuf1/a_n73_n163#" "MPinv2/a_279_n36#" -4.98108
+cap "MPTgate2/a_n15_n81#" "MNTgate2/a_n15_n199#" 31.2823
 cap "MPTgate2/a_n15_n81#" "MPinv2/a_n15_n133#" 3.10902
-cap "MNTgate2/a_15_n163#" "MNTgate2/a_n15_n199#" 25.6357
+cap "MNinv2/a_191_n163#" "MNTgate2/a_n15_n199#" 79.491
+cap "MPTgate2/a_n15_n81#" "MPinv2/a_279_n36#" -235.15
+cap "MNfb/a_15_n163#" "MPinv2/a_279_n36#" 31.9471
 cap "MNinv2/a_191_n163#" "MPinv2/a_279_n36#" -182.47
-cap "MNTgate2/a_n15_n199#" "MPTgate2/a_n15_n81#" 31.2823
-cap "MPinv2/a_279_n36#" "MNbuf2/a_15_n163#" 45.2648
-cap "MNTgate2/a_15_n163#" "MPinv2/a_279_n36#" -214.066
-cap "MPfb/a_103_n36#" "MNfb/a_191_n163#" 31.8522
-cap "MNbuf1/a_n73_n163#" "MPfb/a_103_n36#" 66.7316
-cap "MNbuf1/a_n73_n163#" "MNbuf1/a_n73_37#" 30.087
-cap "MNbuf2/a_15_n163#" "MNfb/a_103_n163#" 57.4821
-cap "MNfb/a_103_n163#" "MNfb/a_191_n163#" 21.0913
-cap "MNbuf1/a_n73_n163#" "MNfb/a_103_n163#" -23.09
-cap "MNbuf1/a_n73_37#" "MNfb/a_103_n163#" 21.1947
+cap "MNbuf1/a_n73_n163#" "MNfb/a_n73_n163#" -46.4039
+cap "MNTgate2/a_15_n163#" "MNTgate2/a_n15_n199#" 25.6357
+cap "MNfb/a_191_n163#" "MNbuf1/a_n73_n163#" 0.0772348
 cap "MPfb/a_103_n36#" "MNfb/a_103_n163#" 19.0137
-cap "MNbuf2/a_15_n163#" "MNbuf1/a_n73_n163#" 143.468
+cap "MNfb/a_191_n163#" "MPfb/a_103_n36#" 31.8522
+cap "MNfb/a_191_n163#" "MNfb/a_103_n163#" 21.0913
+cap "MNbuf1/a_n73_n163#" "MNbuf2/a_15_n163#" 143.468
+cap "MNbuf1/a_n73_37#" "MNbuf1/a_n73_n163#" 30.087
+cap "MNbuf1/a_n73_37#" "MNbuf2/a_15_n163#" 4.24837
+cap "MNbuf1/a_n73_n163#" "MPfb/a_103_n36#" 66.7316
+cap "MPfb/a_103_n36#" "MNbuf2/a_15_n163#" 89.1249
 cap "MPfb/a_n15_n133#" "MNbuf1/a_n73_n163#" 5.41463
-cap "MNbuf1/a_n73_n163#" "MNfb/a_191_n163#" 0.0772348
-cap "MNbuf2/a_15_n163#" "MNbuf1/a_n73_37#" 4.24837
-cap "MNbuf2/a_15_n163#" "MPfb/a_103_n36#" 89.1249
+cap "MNbuf1/a_n73_n163#" "MNfb/a_103_n163#" -23.09
+cap "MNfb/a_103_n163#" "MNbuf2/a_15_n163#" 57.4821
+cap "MNbuf1/a_n73_37#" "MNfb/a_103_n163#" 21.1947
 merge "MPbuf2/a_103_n36#" "li_5462_n270#" -5338.22 0 0 0 0 -1793600 -19228 0 0 0 0 28800 -2304 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27738 -3248 0 0 0 0 0 0 0 0 0 0 0 0
 merge "li_5462_n270#" "MPbuf2/a_n73_n36#"
 merge "MPbuf2/a_n73_n36#" "MPbuf2/w_n109_n86#"
diff --git a/mag/3-stage_cs-vco_dp9/FD_v5.mag b/mag/3-stage_cs-vco_dp9/FD_v5.mag
index 5df315f..3e88fb9 100755
--- a/mag/3-stage_cs-vco_dp9/FD_v5.mag
+++ b/mag/3-stage_cs-vco_dp9/FD_v5.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << nwell >>
 rect -383 -58 5544 178
 rect -382 -313 5544 -58
@@ -432,91 +432,91 @@
 rect 5345 -748 5544 -714
 rect -382 -760 5544 -748
 use sky130_fd_pr__nfet_01v8_PW6BNL  MNClkin
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1329 0 1 -422
 box -73 -199 249 103
 use sky130_fd_pr__nfet_01v8_PW9BNL  MNTgate1
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 2383 0 -1 -580
 box -73 -199 689 50
 use sky130_fd_pr__nfet_01v8_PW9BNL  MNTgate2
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 3821 0 -1 -580
 box -73 -199 689 50
 use sky130_fd_pr__nfet_01v8_PW7BNL  MNbuf1
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 5187 0 1 -422
 box -73 -199 73 103
 use sky130_fd_pr__nfet_01v8_PW8BNL  MNbuf2
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 5347 0 1 -422
 box -73 -199 161 103
 use sky130_fd_pr__nfet_01v8_PW6BNL  MNfb
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 4704 0 1 -422
 box -73 -199 249 103
 use sky130_fd_pr__nfet_01v8_PW6BNL  MNinv1
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1845 0 1 -422
 box -73 -199 249 103
 use sky130_fd_pr__nfet_01v8_PW6BNL  MNinv2
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 3277 0 1 -422
 box -73 -199 249 103
 use sky130_fd_pr__pfet_01v8_A8DS5R  MPClkin
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1329 0 1 -227
 box -109 -133 373 314
-use sky130_fd_pr__pfet_01v8_A2DS5R  MPTgate1 3-stage_cs-vco_dp9
-timestamp 1647613837
+use sky130_fd_pr__pfet_01v8_A2DS5R  MPTgate1
+timestamp 1647637375
 transform 1 0 2383 0 -1 1
 box -109 -86 461 314
 use sky130_fd_pr__pfet_01v8_A2DS5R  MPTgate2
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 3821 0 -1 1
 box -109 -86 461 314
 use sky130_fd_pr__pfet_01v8_A9DS5R  MPbuf1
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 5187 0 1 -227
 box -109 -133 109 314
 use sky130_fd_pr__pfet_01v8_A1DS5R  MPbuf2
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 5347 0 1 -227
 box -109 -133 197 314
 use sky130_fd_pr__pfet_01v8_A8DS5R  MPfb
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 4704 0 1 -227
 box -109 -133 373 314
 use sky130_fd_pr__pfet_01v8_A8DS5R  MPinv1
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 1845 0 1 -227
 box -109 -133 373 314
 use sky130_fd_pr__pfet_01v8_A8DS5R  MPinv2
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 3277 0 1 -227
 box -109 -133 373 314
 use sky130_fd_pr__nfet_01v8_PW4BNL  sky130_fd_pr__nfet_01v8_PW4BNL_0
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 562 0 1 -422
 box -73 -199 425 103
 use sky130_fd_pr__nfet_01v8_PW6BNL  sky130_fd_pr__nfet_01v8_PW6BNL_0
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -25 0 1 -422
 box -73 -199 249 103
 use sky130_fd_pr__nfet_01v8_PW8BNL  sky130_fd_pr__nfet_01v8_PW8BNL_0
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -273 0 1 -422
 box -73 -199 161 103
 use sky130_fd_pr__pfet_01v8_A1DS5R  sky130_fd_pr__pfet_01v8_A1DS5R_0
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -273 0 1 -227
 box -109 -133 197 314
 use sky130_fd_pr__pfet_01v8_A2DS5R  sky130_fd_pr__pfet_01v8_A2DS5R_0
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 -25 0 1 -227
 box -109 -86 461 314
 use sky130_fd_pr__pfet_01v8_A4DS5R  sky130_fd_pr__pfet_01v8_A4DS5R_0
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 562 0 1 -227
 box -109 -133 637 314
 << labels >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_26QSQN.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_26QSQN.ext
index 282674a..2ae58a9 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_26QSQN.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_26QSQN.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,6 +10,6 @@
 node "a_n33_n297#" 823 148.863 -33 -297 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 23364 1320 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "a_n33_n297#" "a_n76_n209#" 3.69031
-cap "a_n33_n297#" "a_18_n209#" 4.24313
+cap "a_18_n209#" "a_n33_n297#" 4.24313
 cap "a_18_n209#" "a_n76_n209#" 349.025
 device msubckt sky130_fd_pr__nfet_01v8 -18 -209 -17 -208 l=36 w=480 "VSUBS" "a_n33_n297#" 72 0 "a_n76_n209#" 480 0 "a_18_n209#" 480 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_26QSQN.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_26QSQN.mag
index d09d413..df5846e 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_26QSQN.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_26QSQN.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -76 -209 -18 271
 rect 18 -209 76 271
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_44BYND.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_44BYND.ext
index 4644ebb..d6aff0f 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_44BYND.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_44BYND.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,6 +10,6 @@
 node "a_n33_142#" 577 144.799 -33 142 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12996 840 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "a_n33_142#" "a_n73_n120#" 5.82353
-cap "a_n33_142#" "a_15_n120#" 4.5
+cap "a_15_n120#" "a_n33_142#" 4.5
 cap "a_15_n120#" "a_n73_n120#" 145.444
 device msubckt sky130_fd_pr__nfet_01v8 -15 -120 -14 -119 l=30 w=240 "VSUBS" "a_n33_142#" 60 0 "a_n73_n120#" 240 0 "a_15_n120#" 240 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_44BYND.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_44BYND.mag
index 6b61ffa..eca3180 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_44BYND.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_44BYND.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -73 -120 -15 120
 rect 15 -120 73 120
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_8T82FM.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_8T82FM.ext
index a852a1d..d485fed 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_8T82FM.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_8T82FM.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,6 +10,6 @@
 node "a_n33_135#" 655 144.799 -33 135 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14436 936 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "a_n33_135#" "a_n73_n175#" 1.94118
-cap "a_n33_135#" "a_15_n175#" 1.94118
+cap "a_15_n175#" "a_n33_135#" 1.94118
 cap "a_15_n175#" "a_n73_n175#" 157.548
 device msubckt sky130_fd_pr__nfet_01v8 -15 -175 -14 -174 l=30 w=288 "VSUBS" "a_n33_135#" 60 0 "a_n73_n175#" 288 0 "a_15_n175#" 288 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_8T82FM.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_8T82FM.mag
index 4e011ce..0ec90e4 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_8T82FM.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_8T82FM.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -73 -175 -15 113
 rect 15 -175 73 113
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_B87NCT.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_B87NCT.ext
index e3c4705..0974e56 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_B87NCT.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_B87NCT.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,6 +10,6 @@
 node "a_n33_n157#" 446 148.863 -33 -157 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 13284 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "a_n33_n157#" "a_n76_n69#" 4.77127
-cap "a_n33_n157#" "a_18_n69#" 5.55882
+cap "a_18_n69#" "a_n33_n157#" 5.55882
 cap "a_18_n69#" "a_n76_n69#" 168.775
 device msubckt sky130_fd_pr__nfet_01v8 -18 -69 -17 -68 l=36 w=200 "VSUBS" "a_n33_n157#" 72 0 "a_n76_n69#" 200 0 "a_18_n69#" 200 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_B87NCT.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_B87NCT.mag
index 2851c9c..00c188a 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_B87NCT.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_B87NCT.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -76 -69 -18 131
 rect 18 -69 76 131
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_EMZ8SC.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_EMZ8SC.ext
index 13c0219..5e41584 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_EMZ8SC.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_EMZ8SC.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,6 +10,6 @@
 node "a_n33_63#" 422 144.799 -33 63 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10116 648 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "a_n33_63#" "a_n73_n103#" 1.65
-cap "a_n33_63#" "a_15_n103#" 1.65
+cap "a_15_n103#" "a_n33_63#" 1.65
 cap "a_15_n103#" "a_n73_n103#" 74.5161
 device msubckt sky130_fd_pr__nfet_01v8 -15 -103 -14 -102 l=30 w=144 "VSUBS" "a_n33_63#" 60 0 "a_n73_n103#" 144 0 "a_15_n103#" 144 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_EMZ8SC.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_EMZ8SC.mag
index 2d4c77b..fb73aaf 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_EMZ8SC.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_EMZ8SC.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -73 -103 -15 41
 rect 15 -103 73 41
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_HGTGXE_v2.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_HGTGXE_v2.ext
index fb9e46a..aeadb99 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_HGTGXE_v2.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_HGTGXE_v2.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -9,6 +9,6 @@
 node "a_n76_n73#" 207 17.4716 -76 -73 ndif 0 0 0 0 0 0 0 0 4872 284 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3128 252 0 0 0 0 0 0 0 0 0 0 0 0
 node "a_n18_n99#" 293 153.77 -18 -99 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10824 580 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n18_n99#" "a_18_n73#" 30.0882
+cap "a_18_n73#" "a_n18_n99#" 30.0882
 cap "a_18_n73#" "a_n76_n73#" 50.6
 device msubckt sky130_fd_pr__nfet_01v8 -18 -73 -17 -72 l=36 w=84 "VSUBS" "a_n18_n99#" 72 0 "a_n76_n73#" 84 0 "a_18_n73#" 84 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_HGTGXE_v2.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_HGTGXE_v2.mag
index 4d64915..3f6801d 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_HGTGXE_v2.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_HGTGXE_v2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -76 -73 -18 11
 rect 18 -73 76 11
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_LS30AB.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_LS30AB.ext
index 0d87f2a..9519c8e 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_LS30AB.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_LS30AB.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,6 +10,6 @@
 node "a_n33_33#" 343 152.353 -33 33 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8676 552 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "a_n33_33#" "a_n73_n80#" 1.53488
-cap "a_n33_33#" "a_15_n80#" 1.53488
+cap "a_15_n80#" "a_n33_33#" 1.53488
 cap "a_15_n80#" "a_n73_n80#" 46.8387
 device msubckt sky130_fd_pr__nfet_01v8 -15 -80 -14 -79 l=30 w=84 "VSUBS" "a_n33_33#" 60 0 "a_n73_n80#" 84 0 "a_15_n80#" 84 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_LS30AB.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_LS30AB.mag
index 9d3007a..5a65477 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_LS30AB.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_LS30AB.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -73 -80 -15 4
 rect 15 -80 73 4
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_M34CP3.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_M34CP3.ext
index e6e37a4..b08be58 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_M34CP3.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_M34CP3.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_M34CP3.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_M34CP3.mag
index cac8f35..be0168c 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_M34CP3.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_M34CP3.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -73 -96 -15 34
 rect 15 -96 73 34
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MP0P50.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MP0P50.ext
index 7f69ae1..cdf0303 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MP0P50.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MP0P50.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,6 +10,6 @@
 node "a_n33_33#" 369 152.353 -33 33 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9156 584 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "a_n33_33#" "a_n73_n96#" 1.53488
-cap "a_n33_33#" "a_15_n96#" 1.53488
+cap "a_15_n96#" "a_n33_33#" 1.53488
 cap "a_15_n96#" "a_n73_n96#" 55.3548
 device msubckt sky130_fd_pr__nfet_01v8 -15 -96 -14 -95 l=30 w=100 "VSUBS" "a_n33_33#" 60 0 "a_n73_n96#" 100 0 "a_15_n96#" 100 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MP0P50.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MP0P50.mag
index 10cc724..d6090e5 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MP0P50.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MP0P50.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -73 -96 -15 4
 rect 15 -96 73 4
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MV8TJR.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MV8TJR.ext
index 2290012..22385fa 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MV8TJR.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MV8TJR.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,6 +10,6 @@
 node "a_n33_n177#" 500 148.863 -33 -177 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14724 840 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "a_n33_n177#" "a_n76_n89#" 4.46349
-cap "a_n33_n177#" "a_18_n89#" 5.23135
+cap "a_18_n89#" "a_n33_n177#" 5.23135
 cap "a_18_n89#" "a_n76_n89#" 194.525
 device msubckt sky130_fd_pr__nfet_01v8 -18 -89 -17 -88 l=36 w=240 "VSUBS" "a_n33_n177#" 72 0 "a_n76_n89#" 240 0 "a_18_n89#" 240 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MV8TJR.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MV8TJR.mag
index 88ddb2f..7cb2148 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MV8TJR.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_MV8TJR.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -76 -89 -18 151
 rect 18 -89 76 151
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NDE37H.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NDE37H.ext
index 4212cb0..8f0c991 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NDE37H.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NDE37H.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NDE37H.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NDE37H.mag
index 809a6a5..9a89978 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NDE37H.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NDE37H.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -73 -115 -15 53
 rect 15 -115 73 53
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NNRSEG.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NNRSEG.ext
index 5b59be4..d678862 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NNRSEG.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NNRSEG.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,6 +10,6 @@
 node "a_n33_n117#" 337 148.863 -33 -117 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10404 600 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "a_n33_n117#" "a_n76_n29#" 5.99396
-cap "a_n33_n117#" "a_18_n29#" 6.60029
+cap "a_18_n29#" "a_n33_n117#" 6.60029
 cap "a_18_n29#" "a_n76_n29#" 117.275
 device msubckt sky130_fd_pr__nfet_01v8 -18 -29 -17 -28 l=36 w=120 "VSUBS" "a_n33_n117#" 72 0 "a_n76_n29#" 120 0 "a_18_n29#" 120 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NNRSEG.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NNRSEG.mag
index 8ffffc4..9533658 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NNRSEG.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_NNRSEG.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -76 -29 -18 91
 rect 18 -29 76 91
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW4BNL.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW4BNL.ext
index aee1917..d594709 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW4BNL.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW4BNL.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -13,21 +13,21 @@
 node "a_n73_n163#" 418 26.3006 -73 -163 ndif 0 0 0 0 0 0 0 0 9744 452 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6664 460 0 0 0 0 0 0 0 0 0 0 0 0
 node "a_n73_37#" 2052 658.756 -73 37 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 64440 3372 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_15_n163#" "a_103_n163#" 119.778
-cap "a_n73_n163#" "a_191_n163#" 28.1217
-cap "a_367_n163#" "a_103_n163#" 28.1217
-cap "a_n73_37#" "a_n73_n163#" 33
-cap "a_15_n163#" "a_191_n163#" 45.5493
-cap "a_n73_n163#" "a_279_n163#" 20.3396
-cap "a_367_n163#" "a_191_n163#" 45.5493
-cap "a_103_n163#" "a_191_n163#" 119.778
-cap "a_15_n163#" "a_279_n163#" 28.1217
-cap "a_367_n163#" "a_279_n163#" 119.778
+cap "a_191_n163#" "a_103_n163#" 119.778
+cap "a_279_n163#" "a_103_n163#" 45.5493
 cap "a_n73_n163#" "a_15_n163#" 119.778
-cap "a_103_n163#" "a_279_n163#" 45.5493
-cap "a_n73_n163#" "a_103_n163#" 45.5493
 cap "a_367_n163#" "a_15_n163#" 20.3396
-cap "a_191_n163#" "a_279_n163#" 119.778
+cap "a_279_n163#" "a_191_n163#" 119.778
+cap "a_n73_n163#" "a_103_n163#" 45.5493
+cap "a_367_n163#" "a_103_n163#" 28.1217
+cap "a_n73_n163#" "a_191_n163#" 28.1217
+cap "a_n73_n163#" "a_279_n163#" 20.3396
+cap "a_191_n163#" "a_367_n163#" 45.5493
+cap "a_279_n163#" "a_367_n163#" 119.778
+cap "a_15_n163#" "a_103_n163#" 119.778
+cap "a_n73_37#" "a_n73_n163#" 33
+cap "a_191_n163#" "a_15_n163#" 45.5493
+cap "a_279_n163#" "a_15_n163#" 28.1217
 device msubckt sky130_fd_pr__nfet_01v8 337 -163 338 -162 l=30 w=168 "VSUBS" "a_n73_37#" 60 0 "a_279_n163#" 168 0 "a_367_n163#" 168 0
 device msubckt sky130_fd_pr__nfet_01v8 249 -163 250 -162 l=30 w=168 "VSUBS" "a_n73_37#" 60 0 "a_191_n163#" 168 0 "a_279_n163#" 168 0
 device msubckt sky130_fd_pr__nfet_01v8 161 -163 162 -162 l=30 w=168 "VSUBS" "a_n73_37#" 60 0 "a_103_n163#" 168 0 "a_191_n163#" 168 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW4BNL.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW4BNL.mag
index 6dd1dec..f8ea671 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW4BNL.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW4BNL.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -73 -163 -15 5
 rect 15 -163 73 5
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW5BNL.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW5BNL.ext
index a5bc26f..e23c3c3 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW5BNL.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW5BNL.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW5BNL.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW5BNL.mag
index 215176b..1d4196f 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW5BNL.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW5BNL.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -73 -79 -15 5
 rect 15 -79 73 5
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW6BNL.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW6BNL.ext
index f7c27e6..4b76474 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW6BNL.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW6BNL.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -11,13 +11,13 @@
 node "a_n73_n163#" 418 26.3006 -73 -163 ndif 0 0 0 0 0 0 0 0 9744 452 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6664 460 0 0 0 0 0 0 0 0 0 0 0 0
 node "a_n73_37#" 1269 412.562 -73 37 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 38664 2076 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_15_n163#" "a_103_n163#" 119.778
 cap "a_n73_n163#" "a_191_n163#" 28.1217
-cap "a_n73_37#" "a_n73_n163#" 33
-cap "a_15_n163#" "a_191_n163#" 45.5493
-cap "a_103_n163#" "a_191_n163#" 119.778
-cap "a_n73_n163#" "a_15_n163#" 119.778
 cap "a_n73_n163#" "a_103_n163#" 45.5493
+cap "a_15_n163#" "a_191_n163#" 45.5493
+cap "a_15_n163#" "a_103_n163#" 119.778
+cap "a_103_n163#" "a_191_n163#" 119.778
+cap "a_n73_37#" "a_n73_n163#" 33
+cap "a_n73_n163#" "a_15_n163#" 119.778
 device msubckt sky130_fd_pr__nfet_01v8 161 -163 162 -162 l=30 w=168 "VSUBS" "a_n73_37#" 60 0 "a_103_n163#" 168 0 "a_191_n163#" 168 0
 device msubckt sky130_fd_pr__nfet_01v8 73 -163 74 -162 l=30 w=168 "VSUBS" "a_n73_37#" 60 0 "a_15_n163#" 168 0 "a_103_n163#" 168 0
 device msubckt sky130_fd_pr__nfet_01v8 -15 -163 -14 -162 l=30 w=168 "VSUBS" "a_n73_37#" 60 0 "a_n73_n163#" 168 0 "a_15_n163#" 168 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW6BNL.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW6BNL.mag
index 0189480..f282cbf 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW6BNL.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW6BNL.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -73 -163 -15 5
 rect 15 -163 73 5
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW7BNL.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW7BNL.ext
index 98445d5..ded4bbd 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW7BNL.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW7BNL.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW7BNL.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW7BNL.mag
index c1b39db..e718089 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW7BNL.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW7BNL.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -73 -163 -15 5
 rect 15 -163 73 5
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW8BNL.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW8BNL.ext
index 6c04ce7..d0c5566 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW8BNL.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW8BNL.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,9 +10,9 @@
 node "a_n73_n163#" 418 26.3006 -73 -163 ndif 0 0 0 0 0 0 0 0 9744 452 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6664 460 0 0 0 0 0 0 0 0 0 0 0 0
 node "a_n73_37#" 878 289.464 -73 37 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 25776 1428 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+cap "a_n73_n163#" "a_103_n163#" 45.5493
 cap "a_15_n163#" "a_103_n163#" 119.778
 cap "a_n73_37#" "a_n73_n163#" 33
 cap "a_n73_n163#" "a_15_n163#" 119.778
-cap "a_n73_n163#" "a_103_n163#" 45.5493
 device msubckt sky130_fd_pr__nfet_01v8 73 -163 74 -162 l=30 w=168 "VSUBS" "a_n73_37#" 60 0 "a_15_n163#" 168 0 "a_103_n163#" 168 0
 device msubckt sky130_fd_pr__nfet_01v8 -15 -163 -14 -162 l=30 w=168 "VSUBS" "a_n73_37#" 60 0 "a_n73_n163#" 168 0 "a_15_n163#" 168 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW8BNL.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW8BNL.mag
index a4d36ec..4a60b75 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW8BNL.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW8BNL.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -73 -163 -15 5
 rect 15 -163 73 5
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW9BNL.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW9BNL.ext
index 46a2082..36d094f 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW9BNL.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW9BNL.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -16,32 +16,32 @@
 node "a_n73_n163#" 418 26.3006 -73 -163 ndif 0 0 0 0 0 0 0 0 9744 452 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6664 460 0 0 0 0 0 0 0 0 0 0 0 0
 node "a_n15_n199#" 3853 811.993 -15 -199 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 71940 4856 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_103_n163#" "a_367_n163#" 28.1217
-cap "a_n73_n163#" "a_15_n163#" 119.778
-cap "a_191_n163#" "a_n73_n163#" 28.1217
-cap "a_191_n163#" "a_455_n163#" 28.1217
-cap "a_n73_n163#" "a_279_n163#" 20.3396
-cap "a_191_n163#" "a_15_n163#" 45.5493
-cap "a_455_n163#" "a_279_n163#" 45.5493
 cap "a_455_n163#" "a_631_n163#" 45.5493
-cap "a_367_n163#" "a_455_n163#" 119.778
-cap "a_15_n163#" "a_279_n163#" 28.1217
-cap "a_15_n163#" "a_367_n163#" 20.3396
-cap "a_191_n163#" "a_279_n163#" 119.778
-cap "a_191_n163#" "a_367_n163#" 45.5493
-cap "a_n73_n163#" "a_103_n163#" 45.5493
-cap "a_455_n163#" "a_543_n163#" 119.778
-cap "a_279_n163#" "a_631_n163#" 20.3396
-cap "a_103_n163#" "a_455_n163#" 20.3396
-cap "a_367_n163#" "a_279_n163#" 119.778
-cap "a_367_n163#" "a_631_n163#" 28.1217
-cap "a_191_n163#" "a_543_n163#" 20.3396
-cap "a_103_n163#" "a_15_n163#" 119.778
-cap "a_191_n163#" "a_103_n163#" 119.778
 cap "a_279_n163#" "a_543_n163#" 28.1217
-cap "a_543_n163#" "a_631_n163#" 119.778
+cap "a_n73_n163#" "a_15_n163#" 119.778
 cap "a_367_n163#" "a_543_n163#" 45.5493
-cap "a_103_n163#" "a_279_n163#" 45.5493
+cap "a_279_n163#" "a_n73_n163#" 20.3396
+cap "a_455_n163#" "a_191_n163#" 28.1217
+cap "a_279_n163#" "a_631_n163#" 20.3396
+cap "a_191_n163#" "a_15_n163#" 45.5493
+cap "a_367_n163#" "a_631_n163#" 28.1217
+cap "a_455_n163#" "a_279_n163#" 45.5493
+cap "a_279_n163#" "a_191_n163#" 119.778
+cap "a_n73_n163#" "a_103_n163#" 45.5493
+cap "a_455_n163#" "a_367_n163#" 119.778
+cap "a_279_n163#" "a_15_n163#" 28.1217
+cap "a_367_n163#" "a_191_n163#" 45.5493
+cap "a_455_n163#" "a_103_n163#" 20.3396
+cap "a_367_n163#" "a_15_n163#" 20.3396
+cap "a_191_n163#" "a_103_n163#" 119.778
+cap "a_367_n163#" "a_279_n163#" 119.778
+cap "a_15_n163#" "a_103_n163#" 119.778
+cap "a_543_n163#" "a_631_n163#" 119.778
+cap "a_279_n163#" "a_103_n163#" 45.5493
+cap "a_455_n163#" "a_543_n163#" 119.778
+cap "a_191_n163#" "a_543_n163#" 20.3396
+cap "a_367_n163#" "a_103_n163#" 28.1217
+cap "a_191_n163#" "a_n73_n163#" 28.1217
 device msubckt sky130_fd_pr__nfet_01v8 601 -163 602 -162 l=30 w=168 "VSUBS" "a_n15_n199#" 60 0 "a_543_n163#" 168 0 "a_631_n163#" 168 0
 device msubckt sky130_fd_pr__nfet_01v8 513 -163 514 -162 l=30 w=168 "VSUBS" "a_n15_n199#" 60 0 "a_455_n163#" 168 0 "a_543_n163#" 168 0
 device msubckt sky130_fd_pr__nfet_01v8 425 -163 426 -162 l=30 w=168 "VSUBS" "a_n15_n199#" 60 0 "a_367_n163#" 168 0 "a_455_n163#" 168 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW9BNL.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW9BNL.mag
index e3b00d7..c71df42 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW9BNL.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_PW9BNL.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -73 -163 -15 5
 rect 15 -163 73 5
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TUVSF7.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TUVSF7.ext
index d9dee1f..88149d5 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TUVSF7.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TUVSF7.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,6 +10,6 @@
 node "a_n33_n217#" 615 210.624 -33 -217 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19584 1060 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "a_n33_n217#" "a_n76_n129#" 2.91176
-cap "a_n33_n217#" "a_18_n129#" 2.91176
+cap "a_18_n129#" "a_n33_n217#" 2.91176
 cap "a_18_n129#" "a_n76_n129#" 146.3
 device msubckt sky130_fd_pr__nfet_01v8 -18 -129 -17 -128 l=36 w=258 "VSUBS" "a_n33_n217#" 72 0 "a_n76_n129#" 258 0 "a_18_n129#" 258 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TUVSF7.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TUVSF7.mag
index f246b60..98611a8 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TUVSF7.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TUVSF7.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -76 -129 -18 129
 rect 18 -129 76 129
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TWMWTA.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TWMWTA.ext
index 8b861b3..0fa2d7a 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TWMWTA.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TWMWTA.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,6 +10,6 @@
 node "a_n33_n297#" 823 148.863 -33 -297 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 23364 1320 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "a_n33_n297#" "a_n76_n209#" 4.47426
-cap "a_n33_n297#" "a_18_n209#" 4.25907
+cap "a_18_n209#" "a_n33_n297#" 4.25907
 cap "a_18_n209#" "a_n76_n209#" 465.275
 device msubckt sky130_fd_pr__nfet_01v8 -18 -209 -17 -208 l=36 w=480 "VSUBS" "a_n33_n297#" 72 0 "a_n76_n209#" 480 0 "a_18_n209#" 480 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TWMWTA.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TWMWTA.mag
index c0ba8b0..665b623 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TWMWTA.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__nfet_01v8_TWMWTA.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -76 -209 -18 271
 rect 18 -209 76 271
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_4XEGTB.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_4XEGTB.ext
index 5d06b26..acfbe71 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_4XEGTB.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_4XEGTB.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,10 +10,10 @@
 node "a_n33_55#" 349 16.9812 -33 55 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10728 618 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 node "w_n112_n158#" 2140 189.504 -112 -158 nw 0 0 0 0 63168 1012 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_18_n96#" "a_n76_n96#" 128.525
 cap "w_n112_n158#" "a_n33_55#" 137.69
-cap "w_n112_n158#" "a_18_n96#" 17.4716
-cap "a_18_n96#" "a_n33_55#" 5.51661
-cap "w_n112_n158#" "a_n76_n96#" 17.4716
+cap "a_n76_n96#" "a_18_n96#" 128.525
+cap "a_n76_n96#" "w_n112_n158#" 17.4716
 cap "a_n76_n96#" "a_n33_55#" 5.51661
+cap "w_n112_n158#" "a_18_n96#" 17.4716
+cap "a_n33_55#" "a_18_n96#" 5.51661
 device msubckt sky130_fd_pr__pfet_01v8 -18 -96 -17 -95 l=36 w=120 "w_n112_n158#" "a_n33_55#" 72 0 "a_n76_n96#" 120 0 "a_18_n96#" 120 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_4XEGTB.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_4XEGTB.mag
index 3f8d71f..01040f7 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_4XEGTB.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_4XEGTB.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -112 -158 112 124
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_5YXW2B.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_5YXW2B.ext
index 717fe1d..9c16f48 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_5YXW2B.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_5YXW2B.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,8 +10,8 @@
 node "a_n18_n98#" 262 4.9608 -18 -98 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7056 464 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n112_n134#" 2034 180.096 -112 -134 nw 0 0 0 0 60032 984 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "w_n112_n134#" "a_n76_n72#" 22.3916
-cap "w_n112_n134#" "a_18_n72#" 22.3916
-cap "a_n76_n72#" "a_18_n72#" 218.6
+cap "a_18_n72#" "w_n112_n134#" 22.3916
 cap "a_n18_n98#" "w_n112_n134#" 68.2
+cap "a_n76_n72#" "w_n112_n134#" 22.3916
+cap "a_18_n72#" "a_n76_n72#" 218.6
 device msubckt sky130_fd_pr__pfet_01v8 -18 -72 -17 -71 l=36 w=144 "w_n112_n134#" "a_n18_n98#" 72 0 "a_n76_n72#" 144 0 "a_18_n72#" 144 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_5YXW2B.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_5YXW2B.mag
index 71575ff..4e2f928 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_5YXW2B.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_5YXW2B.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -56 -67 56 67
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A1DS5R.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A1DS5R.ext
index 3f049eb..2792e96 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A1DS5R.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A1DS5R.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -11,12 +11,12 @@
 node "a_n15_n133#" 1407 107.372 -15 -133 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 26458 1818 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n109_n86#" 2222 367.2 -109 -86 nw 0 0 0 0 122400 1412 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n73_n36#" "a_15_n36#" 180.889
-cap "w_n109_n86#" "a_n15_n133#" 133.1
-cap "a_n73_n36#" "a_103_n36#" 68.7887
 cap "w_n109_n86#" "a_n73_n36#" 17.4716
-cap "a_15_n36#" "a_103_n36#" 180.889
-cap "w_n109_n86#" "a_15_n36#" 17.4716
 cap "w_n109_n86#" "a_103_n36#" 17.4716
+cap "a_n73_n36#" "a_103_n36#" 68.7887
+cap "w_n109_n86#" "a_15_n36#" 17.4716
+cap "a_n73_n36#" "a_15_n36#" 180.889
+cap "a_15_n36#" "a_103_n36#" 180.889
+cap "w_n109_n86#" "a_n15_n133#" 133.1
 device msubckt sky130_fd_pr__pfet_01v8 73 -36 74 -35 l=30 w=288 "w_n109_n86#" "a_n15_n133#" 60 0 "a_15_n36#" 288 0 "a_103_n36#" 288 0
 device msubckt sky130_fd_pr__pfet_01v8 -15 -36 -14 -35 l=30 w=288 "w_n109_n86#" "a_n15_n133#" 60 0 "a_n73_n36#" 288 0 "a_15_n36#" 288 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A1DS5R.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A1DS5R.mag
index b3f9fa9..12fca8c 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A1DS5R.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A1DS5R.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -109 -86 197 314
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A2DS5R.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A2DS5R.ext
index 7332e14..5bd86f7 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A2DS5R.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A2DS5R.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -14,27 +14,27 @@
 node "a_n15_n81#" 3257 46.6665 -15 -81 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 60810 4114 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n109_n86#" 2423 684 -109 -86 nw 0 0 0 0 228000 1940 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_279_n36#" "a_191_n36#" 180.889
-cap "w_n109_n86#" "a_15_n36#" 17.4716
-cap "a_191_n36#" "a_367_n36#" 68.7887
-cap "a_15_n36#" "a_191_n36#" 68.7887
-cap "w_n109_n86#" "a_103_n36#" 17.4716
-cap "a_279_n36#" "a_n73_n36#" 30.717
-cap "a_103_n36#" "a_191_n36#" 180.889
+cap "a_n73_n36#" "w_n109_n86#" 17.4716
+cap "a_103_n36#" "a_279_n36#" 68.7887
+cap "a_15_n36#" "w_n109_n86#" 17.4716
 cap "a_n73_n36#" "a_15_n36#" 180.889
-cap "w_n109_n86#" "a_191_n36#" 17.4716
-cap "a_279_n36#" "a_367_n36#" 180.889
-cap "a_279_n36#" "a_15_n36#" 42.4696
-cap "w_n109_n86#" "a_n15_n81#" 421.85
-cap "a_15_n36#" "a_367_n36#" 30.717
-cap "a_n73_n36#" "a_103_n36#" 68.7887
-cap "a_279_n36#" "a_103_n36#" 68.7887
-cap "w_n109_n86#" "a_n73_n36#" 17.4716
 cap "a_103_n36#" "a_367_n36#" 42.4696
-cap "a_279_n36#" "w_n109_n86#" 17.4716
-cap "a_15_n36#" "a_103_n36#" 180.889
+cap "a_103_n36#" "a_191_n36#" 180.889
+cap "a_367_n36#" "a_279_n36#" 180.889
+cap "a_103_n36#" "w_n109_n86#" 17.4716
+cap "a_103_n36#" "a_n73_n36#" 68.7887
+cap "a_191_n36#" "a_279_n36#" 180.889
+cap "a_103_n36#" "a_15_n36#" 180.889
+cap "w_n109_n86#" "a_279_n36#" 17.4716
+cap "a_n73_n36#" "a_279_n36#" 30.717
+cap "a_367_n36#" "a_191_n36#" 68.7887
+cap "a_15_n36#" "a_279_n36#" 42.4696
+cap "a_n15_n81#" "w_n109_n86#" 421.85
+cap "a_367_n36#" "w_n109_n86#" 17.4716
+cap "a_367_n36#" "a_15_n36#" 30.717
+cap "w_n109_n86#" "a_191_n36#" 17.4716
 cap "a_n73_n36#" "a_191_n36#" 42.4696
-cap "w_n109_n86#" "a_367_n36#" 17.4716
+cap "a_15_n36#" "a_191_n36#" 68.7887
 device msubckt sky130_fd_pr__pfet_01v8 337 -36 338 -35 l=30 w=288 "w_n109_n86#" "a_n15_n81#" 60 0 "a_279_n36#" 288 0 "a_367_n36#" 288 0
 device msubckt sky130_fd_pr__pfet_01v8 249 -36 250 -35 l=30 w=288 "w_n109_n86#" "a_n15_n81#" 60 0 "a_191_n36#" 288 0 "a_279_n36#" 288 0
 device msubckt sky130_fd_pr__pfet_01v8 161 -36 162 -35 l=30 w=288 "w_n109_n86#" "a_n15_n81#" 60 0 "a_103_n36#" 288 0 "a_191_n36#" 288 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A2DS5R.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A2DS5R.mag
index 4d7ddb1..9d936bd 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A2DS5R.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A2DS5R.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -109 -86 461 314
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A4DS5R.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A4DS5R.ext
index 977a023..3351738 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A4DS5R.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A4DS5R.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -16,37 +16,37 @@
 node "a_n15_n133#" 5182 427.215 -15 -133 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 96750 6510 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n109_n86#" 3171 895.2 -109 -86 nw 0 0 0 0 298400 2292 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "w_n109_n86#" "a_n73_n36#" 17.4716
-cap "a_367_n36#" "a_279_n36#" 180.889
-cap "a_279_n36#" "w_n109_n86#" 17.4716
-cap "a_455_n36#" "a_543_n36#" 180.889
-cap "a_455_n36#" "a_103_n36#" 30.717
-cap "a_455_n36#" "a_191_n36#" 42.4696
-cap "a_367_n36#" "a_15_n36#" 30.717
-cap "a_455_n36#" "a_279_n36#" 68.7887
-cap "w_n109_n86#" "a_15_n36#" 17.4716
-cap "a_543_n36#" "a_191_n36#" 30.717
-cap "a_191_n36#" "a_103_n36#" 180.889
-cap "a_n73_n36#" "a_103_n36#" 68.7887
-cap "a_n73_n36#" "a_191_n36#" 42.4696
-cap "a_543_n36#" "a_279_n36#" 42.4696
 cap "a_367_n36#" "w_n109_n86#" 17.4716
-cap "a_279_n36#" "a_103_n36#" 68.7887
-cap "a_279_n36#" "a_191_n36#" 180.889
-cap "a_279_n36#" "a_n73_n36#" 30.717
-cap "a_367_n36#" "a_455_n36#" 180.889
-cap "a_15_n36#" "a_103_n36#" 180.889
-cap "a_15_n36#" "a_191_n36#" 68.7887
-cap "a_455_n36#" "w_n109_n86#" 17.4716
-cap "w_n109_n86#" "a_n15_n133#" 465.85
-cap "a_n73_n36#" "a_15_n36#" 180.889
+cap "a_n73_n36#" "w_n109_n86#" 17.4716
+cap "a_279_n36#" "w_n109_n86#" 17.4716
+cap "a_191_n36#" "w_n109_n86#" 17.4716
+cap "a_15_n36#" "a_367_n36#" 30.717
+cap "a_15_n36#" "a_n73_n36#" 180.889
 cap "a_279_n36#" "a_15_n36#" 42.4696
-cap "a_367_n36#" "a_543_n36#" 68.7887
-cap "a_367_n36#" "a_103_n36#" 42.4696
-cap "a_367_n36#" "a_191_n36#" 68.7887
+cap "a_455_n36#" "w_n109_n86#" 17.4716
+cap "a_191_n36#" "a_15_n36#" 68.7887
 cap "a_543_n36#" "w_n109_n86#" 17.4716
-cap "w_n109_n86#" "a_103_n36#" 17.4716
-cap "w_n109_n86#" "a_191_n36#" 17.4716
+cap "a_279_n36#" "a_367_n36#" 180.889
+cap "a_103_n36#" "w_n109_n86#" 17.4716
+cap "a_279_n36#" "a_n73_n36#" 30.717
+cap "a_191_n36#" "a_367_n36#" 68.7887
+cap "a_191_n36#" "a_n73_n36#" 42.4696
+cap "a_279_n36#" "a_191_n36#" 180.889
+cap "a_455_n36#" "a_367_n36#" 180.889
+cap "a_15_n36#" "a_103_n36#" 180.889
+cap "a_543_n36#" "a_367_n36#" 68.7887
+cap "a_455_n36#" "a_279_n36#" 68.7887
+cap "a_543_n36#" "a_279_n36#" 42.4696
+cap "a_455_n36#" "a_191_n36#" 42.4696
+cap "a_543_n36#" "a_191_n36#" 30.717
+cap "a_103_n36#" "a_367_n36#" 42.4696
+cap "a_103_n36#" "a_n73_n36#" 68.7887
+cap "a_n15_n133#" "w_n109_n86#" 465.85
+cap "a_279_n36#" "a_103_n36#" 68.7887
+cap "a_15_n36#" "w_n109_n86#" 17.4716
+cap "a_455_n36#" "a_543_n36#" 180.889
+cap "a_191_n36#" "a_103_n36#" 180.889
+cap "a_455_n36#" "a_103_n36#" 30.717
 device msubckt sky130_fd_pr__pfet_01v8 513 -36 514 -35 l=30 w=288 "w_n109_n86#" "a_n15_n133#" 60 0 "a_455_n36#" 288 0 "a_543_n36#" 288 0
 device msubckt sky130_fd_pr__pfet_01v8 425 -36 426 -35 l=30 w=288 "w_n109_n86#" "a_n15_n133#" 60 0 "a_367_n36#" 288 0 "a_455_n36#" 288 0
 device msubckt sky130_fd_pr__pfet_01v8 337 -36 338 -35 l=30 w=288 "w_n109_n86#" "a_n15_n133#" 60 0 "a_279_n36#" 288 0 "a_367_n36#" 288 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A4DS5R.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A4DS5R.mag
index 15986ad..0e16b8f 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A4DS5R.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A4DS5R.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -109 -86 637 314
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A7DS5R.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A7DS5R.ext
index 4d2640a..3c1c8ec 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A7DS5R.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A7DS5R.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -11,7 +11,7 @@
 node "w_n109_n86#" 1996 167.424 -109 -86 nw 0 0 0 0 55808 948 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "a_15_n36#" "a_n73_n36#" 92.8889
-cap "w_n109_n86#" "a_15_n36#" 17.4716
-cap "w_n109_n86#" "a_n73_n36#" 17.4716
+cap "a_15_n36#" "w_n109_n86#" 17.4716
 cap "a_n15_n133#" "w_n109_n86#" 66.55
+cap "w_n109_n86#" "a_n73_n36#" 17.4716
 device msubckt sky130_fd_pr__pfet_01v8 -15 -36 -14 -35 l=30 w=144 "w_n109_n86#" "a_n15_n133#" 60 0 "a_n73_n36#" 144 0 "a_15_n36#" 144 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A7DS5R.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A7DS5R.mag
index 923af47..f467eee 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A7DS5R.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A7DS5R.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -109 -86 109 170
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A8DS5R.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A8DS5R.ext
index d385141..cef761b 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A8DS5R.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A8DS5R.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -13,22 +13,22 @@
 node "a_n15_n133#" 2921 235.547 -15 -133 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 54540 3696 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n109_n86#" 2049 578.4 -109 -86 nw 0 0 0 0 192800 1764 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n73_n36#" "a_103_n36#" 68.7887
-cap "w_n109_n86#" "a_15_n36#" 17.4716
-cap "a_279_n36#" "a_191_n36#" 180.889
-cap "a_103_n36#" "a_191_n36#" 180.889
-cap "a_n73_n36#" "w_n109_n86#" 17.4716
-cap "a_n73_n36#" "a_15_n36#" 180.889
-cap "a_103_n36#" "a_279_n36#" 68.7887
-cap "w_n109_n86#" "a_191_n36#" 17.4716
-cap "a_191_n36#" "a_15_n36#" 68.7887
-cap "w_n109_n86#" "a_279_n36#" 17.4716
-cap "a_n73_n36#" "a_191_n36#" 42.4696
-cap "a_103_n36#" "w_n109_n86#" 17.4716
+cap "a_103_n36#" "a_n73_n36#" 68.7887
+cap "a_15_n36#" "w_n109_n86#" 17.4716
+cap "a_279_n36#" "a_103_n36#" 68.7887
+cap "a_15_n36#" "a_n73_n36#" 180.889
 cap "a_279_n36#" "a_15_n36#" 42.4696
 cap "a_103_n36#" "a_15_n36#" 180.889
+cap "a_191_n36#" "w_n109_n86#" 17.4716
+cap "a_191_n36#" "a_n73_n36#" 42.4696
+cap "a_279_n36#" "a_191_n36#" 180.889
+cap "w_n109_n86#" "a_n73_n36#" 17.4716
 cap "w_n109_n86#" "a_n15_n133#" 266.2
-cap "a_n73_n36#" "a_279_n36#" 30.717
+cap "a_103_n36#" "a_191_n36#" 180.889
+cap "a_279_n36#" "w_n109_n86#" 17.4716
+cap "a_103_n36#" "w_n109_n86#" 17.4716
+cap "a_279_n36#" "a_n73_n36#" 30.717
+cap "a_191_n36#" "a_15_n36#" 68.7887
 device msubckt sky130_fd_pr__pfet_01v8 249 -36 250 -35 l=30 w=288 "w_n109_n86#" "a_n15_n133#" 60 0 "a_191_n36#" 288 0 "a_279_n36#" 288 0
 device msubckt sky130_fd_pr__pfet_01v8 161 -36 162 -35 l=30 w=288 "w_n109_n86#" "a_n15_n133#" 60 0 "a_103_n36#" 288 0 "a_191_n36#" 288 0
 device msubckt sky130_fd_pr__pfet_01v8 73 -36 74 -35 l=30 w=288 "w_n109_n86#" "a_n15_n133#" 60 0 "a_15_n36#" 288 0 "a_103_n36#" 288 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A8DS5R.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A8DS5R.mag
index 5a4a1cd..8e52550 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A8DS5R.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A8DS5R.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -109 -86 373 314
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A9DS5R.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A9DS5R.ext
index 1230232..8ab62ce 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A9DS5R.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A9DS5R.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,8 +10,8 @@
 node "a_n15_n133#" 660 43.8785 -15 -133 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12330 882 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n109_n86#" 3119 261.6 -109 -86 nw 0 0 0 0 87200 1236 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "w_n109_n86#" "a_n15_n133#" 66.55
 cap "w_n109_n86#" "a_n73_n36#" 17.4716
-cap "a_15_n36#" "a_n73_n36#" 180.889
 cap "w_n109_n86#" "a_15_n36#" 17.4716
+cap "a_n73_n36#" "a_15_n36#" 180.889
+cap "w_n109_n86#" "a_n15_n133#" 66.55
 device msubckt sky130_fd_pr__pfet_01v8 -15 -36 -14 -35 l=30 w=288 "w_n109_n86#" "a_n15_n133#" 60 0 "a_n73_n36#" 288 0 "a_15_n36#" 288 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A9DS5R.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A9DS5R.mag
index 5835334..8238b43 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A9DS5R.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_A9DS5R.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -109 -86 109 314
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B.ext
index 46efb28..43eaca0 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,10 +10,10 @@
 node "a_n33_67#" 382 16.9812 -33 67 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11592 666 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 node "w_n112_n170#" 2322 205.632 -112 -170 nw 0 0 0 0 68544 1060 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_18_n108#" "a_n76_n108#" 218.6
 cap "w_n112_n170#" "a_n33_67#" 137.69
-cap "w_n112_n170#" "a_18_n108#" 22.3916
-cap "a_18_n108#" "a_n33_67#" 7.79013
-cap "w_n112_n170#" "a_n76_n108#" 22.3916
+cap "a_n76_n108#" "a_18_n108#" 218.6
+cap "a_n76_n108#" "w_n112_n170#" 22.3916
 cap "a_n76_n108#" "a_n33_67#" 7.79013
+cap "w_n112_n170#" "a_18_n108#" 22.3916
+cap "a_n33_67#" "a_18_n108#" 7.79013
 device msubckt sky130_fd_pr__pfet_01v8 -18 -108 -17 -107 l=36 w=144 "w_n112_n170#" "a_n33_67#" 72 0 "a_n76_n108#" 144 0 "a_18_n108#" 144 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B.mag
index e0a44d0..4869c5c 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -112 -170 112 136
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B_v2.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B_v2.ext
index c3f97d3..e2e6a0d 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B_v2.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B_v2.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,9 +10,9 @@
 node "a_n68_67#" 386 20.4792 -68 67 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12912 706 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n112_n170#" 2322 205.632 -112 -170 nw 0 0 0 0 68544 1060 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "w_n112_n170#" "a_n76_n108#" 22.3916
-cap "w_n112_n170#" "a_18_n108#" 22.3916
-cap "a_n76_n108#" "a_18_n108#" 218.6
-cap "a_n68_67#" "w_n112_n170#" 141.72
+cap "a_18_n108#" "w_n112_n170#" 22.3916
 cap "a_n68_67#" "a_n76_n108#" 26.093
+cap "a_n68_67#" "w_n112_n170#" 141.72
+cap "a_n76_n108#" "w_n112_n170#" 22.3916
+cap "a_18_n108#" "a_n76_n108#" 218.6
 device msubckt sky130_fd_pr__pfet_01v8 -18 -108 -17 -107 l=36 w=144 "w_n112_n170#" "a_n68_67#" 72 0 "a_n76_n108#" 144 0 "a_18_n108#" 144 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B_v2.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B_v2.mag
index 09bbde6..0324f1f 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B_v2.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACAZ2B_v2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -112 -170 112 136
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACPHKB.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACPHKB.ext
index 4b45f37..8050434 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACPHKB.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACPHKB.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -11,9 +11,9 @@
 node "w_n109_n140#" 1918 160.884 -109 -140 nw 0 0 0 0 53628 928 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "a_15_n78#" "a_n73_n78#" 56.2222
-cap "w_n109_n140#" "a_15_n78#" 17.4716
+cap "a_n33_37#" "a_n73_n78#" 4.60465
+cap "a_15_n78#" "w_n109_n140#" 17.4716
+cap "a_n33_37#" "w_n109_n140#" 134.39
 cap "w_n109_n140#" "a_n73_n78#" 17.4716
 cap "a_n33_37#" "a_15_n78#" 4.60465
-cap "a_n33_37#" "a_n73_n78#" 4.60465
-cap "a_n33_37#" "w_n109_n140#" 134.39
 device msubckt sky130_fd_pr__pfet_01v8 -15 -78 -14 -77 l=30 w=84 "w_n109_n140#" "a_n33_37#" 60 0 "a_n73_n78#" 84 0 "a_15_n78#" 84 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACPHKB.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACPHKB.mag
index 3b6f1df..60eb0e8 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACPHKB.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_ACPHKB.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -109 -140 109 106
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_AZHELG.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_AZHELG.ext
index 0e95239..f1ae5ff 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_AZHELG.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_AZHELG.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,8 +10,8 @@
 node "a_n15_n53#" 349 4.5315 -15 -53 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6510 494 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n109_n58#" 2012 168.732 -109 -58 nw 0 0 0 0 56244 952 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_15_n22#" "a_n72_n22#" 90.8852
 cap "w_n109_n58#" "a_n15_n53#" 64.35
+cap "a_n72_n22#" "a_15_n22#" 90.8852
+cap "a_n72_n22#" "w_n109_n58#" 17.4716
 cap "w_n109_n58#" "a_15_n22#" 17.4716
-cap "w_n109_n58#" "a_n72_n22#" 17.4716
 device msubckt sky130_fd_pr__pfet_01v8 -15 -22 -14 -21 l=30 w=160 "w_n109_n58#" "a_n15_n53#" 60 0 "a_n72_n22#" 160 0 "a_15_n22#" 160 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_AZHELG.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_AZHELG.mag
index fd1bf3c..12359fd 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_AZHELG.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_AZHELG.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -109 -58 109 200
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_KQRM7Z.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_KQRM7Z.ext
index 8a7de0c..1415a0a 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_KQRM7Z.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_KQRM7Z.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,10 +10,10 @@
 node "a_n33_115#" 512 16.9812 -33 115 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 15048 858 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 node "w_n112_n218#" 3051 270.144 -112 -218 nw 0 0 0 0 90048 1252 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "w_n112_n218#" "a_n76_n156#" 17.4716
-cap "w_n112_n218#" "a_18_n156#" 17.4716
-cap "a_n76_n156#" "a_18_n156#" 239.525
-cap "a_n33_115#" "w_n112_n218#" 137.69
+cap "a_18_n156#" "w_n112_n218#" 17.4716
 cap "a_n33_115#" "a_n76_n156#" 4.42497
+cap "a_n33_115#" "w_n112_n218#" 137.69
 cap "a_n33_115#" "a_18_n156#" 4.42497
+cap "a_n76_n156#" "w_n112_n218#" 17.4716
+cap "a_18_n156#" "a_n76_n156#" 239.525
 device msubckt sky130_fd_pr__pfet_01v8 -18 -156 -17 -155 l=36 w=240 "w_n112_n218#" "a_n33_115#" 72 0 "a_n76_n156#" 240 0 "a_18_n156#" 240 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_KQRM7Z.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_KQRM7Z.mag
index c650ee4..8e7d71c 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_KQRM7Z.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_KQRM7Z.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -112 -218 112 184
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP0P75.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP0P75.ext
index 6466f0a..bd4fc0d 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP0P75.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP0P75.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,8 +10,8 @@
 node "a_n33_n161#" 446 16.0749 -33 -161 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10566 678 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n109_n164#" 2433 204.048 -109 -164 nw 0 0 0 0 68016 1060 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_15_n64#" "a_n73_n64#" 70.8889
 cap "w_n109_n164#" "a_n33_n161#" 134.39
-cap "a_15_n64#" "a_n33_n161#" 3.04615
+cap "a_n73_n64#" "a_15_n64#" 70.8889
 cap "a_n73_n64#" "a_n33_n161#" 3.04615
+cap "a_n33_n161#" "a_15_n64#" 3.04615
 device msubckt sky130_fd_pr__pfet_01v8 -15 -64 -14 -63 l=30 w=150 "w_n109_n164#" "a_n33_n161#" 60 0 "a_n73_n64#" 150 0 "a_15_n64#" 150 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP0P75.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP0P75.mag
index 655235a..d44d547 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP0P75.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP0P75.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -109 -164 109 148
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP1P4U.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP1P4U.ext
index 535ba05..ec861c7 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP1P4U.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP1P4U.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,10 +10,10 @@
 node "a_n33_n241#" 657 16.0749 -33 -241 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14466 938 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n109_n244#" 3447 289.068 -109 -244 nw 0 0 0 0 96356 1320 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_15_n144#" "a_n73_n144#" 153.29
 cap "w_n109_n244#" "a_n33_n241#" 134.39
-cap "w_n109_n244#" "a_15_n144#" 17.4716
-cap "a_15_n144#" "a_n33_n241#" 1.53488
-cap "w_n109_n244#" "a_n73_n144#" 17.4716
+cap "a_n73_n144#" "a_15_n144#" 153.29
+cap "a_n73_n144#" "w_n109_n244#" 17.4716
 cap "a_n73_n144#" "a_n33_n241#" 1.53488
+cap "w_n109_n244#" "a_15_n144#" 17.4716
+cap "a_n33_n241#" "a_15_n144#" 1.53488
 device msubckt sky130_fd_pr__pfet_01v8 -15 -144 -14 -143 l=30 w=280 "w_n109_n244#" "a_n33_n241#" 60 0 "a_n73_n144#" 280 0 "a_15_n144#" 280 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP1P4U.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP1P4U.mag
index 3806d17..57633f7 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP1P4U.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP1P4U.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -109 -244 109 198
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP3P0U.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP3P0U.ext
index d615bfb..26d3ed0 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP3P0U.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP3P0U.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,10 +10,10 @@
 node "a_n33_395#" 1172 16.0749 -33 395 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 24066 1578 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n109_n298#" 5942 498.348 -109 -298 nw 0 0 0 0 166116 1960 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_15_n236#" "a_n73_n236#" 323.613
 cap "w_n109_n298#" "a_n33_395#" 134.39
-cap "w_n109_n298#" "a_15_n236#" 17.4716
-cap "a_15_n236#" "a_n33_395#" 1.53488
-cap "w_n109_n298#" "a_n73_n236#" 17.4716
+cap "a_n73_n236#" "a_15_n236#" 323.613
+cap "a_n73_n236#" "w_n109_n298#" 17.4716
 cap "a_n73_n236#" "a_n33_395#" 1.53488
+cap "w_n109_n298#" "a_15_n236#" 17.4716
+cap "a_n33_395#" "a_15_n236#" 1.53488
 device msubckt sky130_fd_pr__pfet_01v8 -15 -236 -14 -235 l=30 w=600 "w_n109_n298#" "a_n33_395#" 60 0 "a_n73_n236#" 600 0 "a_15_n236#" 600 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP3P0U.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP3P0U.mag
index 91f7f03..d61d95e 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP3P0U.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_MP3P0U.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -109 -298 109 464
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_NC2CGG.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_NC2CGG.ext
index b838f58..50ad466 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_NC2CGG.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_NC2CGG.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,8 +10,8 @@
 node "a_n33_n337#" 962 16.3929 -33 -337 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 20586 1346 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n109_n340#" 5303 444.72 -109 -340 nw 0 0 0 0 148240 1796 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_15_n240#" "a_n73_n240#" 196.778
 cap "w_n109_n340#" "a_n33_n337#" 122.65
+cap "a_n73_n240#" "a_15_n240#" 196.778
+cap "a_n73_n240#" "w_n109_n340#" 8.7358
 cap "w_n109_n340#" "a_15_n240#" 8.7358
-cap "w_n109_n340#" "a_n73_n240#" 8.7358
 device msubckt sky130_fd_pr__pfet_01v8 -15 -240 -14 -239 l=30 w=480 "w_n109_n340#" "a_n33_n337#" 60 0 "a_n73_n240#" 480 0 "a_15_n240#" 480 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_NC2CGG.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_NC2CGG.mag
index f018d6e..e9ebb5c 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_NC2CGG.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_NC2CGG.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -109 -340 109 340
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_TPJM7Z.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_TPJM7Z.ext
index e6362cd..8d31b10 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_TPJM7Z.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_TPJM7Z.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,10 +10,10 @@
 node "a_n33_235#" 835 16.9812 -33 235 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 23688 1338 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 node "w_n112_n338#" 4872 431.424 -112 -338 nw 0 0 0 0 143808 1732 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_18_n276#" "a_n76_n276#" 461.525
 cap "w_n112_n338#" "a_n33_235#" 137.69
-cap "w_n112_n338#" "a_18_n276#" 17.4716
-cap "a_18_n276#" "a_n33_235#" 3.56637
-cap "w_n112_n338#" "a_n76_n276#" 17.4716
+cap "a_n76_n276#" "a_18_n276#" 461.525
+cap "a_n76_n276#" "w_n112_n338#" 17.4716
 cap "a_n76_n276#" "a_n33_235#" 3.56637
+cap "w_n112_n338#" "a_18_n276#" 17.4716
+cap "a_n33_235#" "a_18_n276#" 3.56637
 device msubckt sky130_fd_pr__pfet_01v8 -18 -276 -17 -275 l=36 w=480 "w_n112_n338#" "a_n33_235#" 72 0 "a_n76_n276#" 480 0 "a_18_n276#" 480 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_TPJM7Z.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_TPJM7Z.mag
index aebde7e..13ac90b 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_TPJM7Z.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_TPJM7Z.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -112 -338 112 304
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_UUCHZP.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_UUCHZP.ext
index 7f6f326..aaefcf4 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_UUCHZP.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_UUCHZP.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -14,28 +14,28 @@
 node "a_n33_310#" 861 85.4998 -33 310 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 24372 1376 0 0 2244 200 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n209_n320#" 2924 780.672 -209 -320 nw 0 0 0 0 260224 2116 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n78_n220#" "a_n129_n366#" 0.717391
 cap "a_n33_310#" "a_n78_n220#" 0.647059
-cap "a_n173_n220#" "w_n209_n320#" 17.4716
-cap "a_n173_n220#" "a_114_n220#" 58.2047
-cap "a_n173_n220#" "a_18_n220#" 139.494
-cap "a_114_n220#" "w_n209_n320#" 17.4716
-cap "a_n173_n220#" "a_n129_n366#" 0.717391
-cap "w_n209_n320#" "a_18_n220#" 17.4716
-cap "w_n209_n320#" "a_n129_n366#" 108.89
-cap "a_63_n366#" "w_n209_n320#" 108.89
-cap "a_n33_310#" "w_n209_n320#" 107.25
-cap "a_n173_n220#" "a_n78_n220#" 238.452
 cap "w_n209_n320#" "a_n78_n220#" 17.4716
-cap "a_114_n220#" "a_18_n220#" 238.452
-cap "a_63_n366#" "a_114_n220#" 0.717391
-cap "a_63_n366#" "a_18_n220#" 0.717391
-cap "a_n33_310#" "a_18_n220#" 0.647059
+cap "a_18_n220#" "a_n78_n220#" 238.452
+cap "a_n173_n220#" "a_n78_n220#" 238.452
 cap "a_63_n366#" "a_n129_n366#" 36.5806
-cap "a_114_n220#" "a_n78_n220#" 93.5696
+cap "a_63_n366#" "a_114_n220#" 0.717391
 cap "a_n33_310#" "a_n129_n366#" 19.9333
 cap "a_63_n366#" "a_n33_310#" 19.9333
-cap "a_n78_n220#" "a_18_n220#" 238.452
+cap "a_n129_n366#" "w_n209_n320#" 108.89
+cap "a_63_n366#" "w_n209_n320#" 108.89
+cap "a_114_n220#" "w_n209_n320#" 17.4716
+cap "a_n33_310#" "w_n209_n320#" 107.25
+cap "a_18_n220#" "a_63_n366#" 0.717391
+cap "a_18_n220#" "a_114_n220#" 238.452
+cap "a_18_n220#" "a_n33_310#" 0.647059
+cap "a_n129_n366#" "a_n173_n220#" 0.717391
+cap "a_18_n220#" "w_n209_n320#" 17.4716
+cap "a_114_n220#" "a_n173_n220#" 58.2047
+cap "w_n209_n320#" "a_n173_n220#" 17.4716
+cap "a_18_n220#" "a_n173_n220#" 139.494
+cap "a_n129_n366#" "a_n78_n220#" 0.717391
+cap "a_114_n220#" "a_n78_n220#" 93.5696
 device msubckt sky130_fd_pr__pfet_01v8 78 -220 79 -219 l=36 w=440 "w_n209_n320#" "a_63_n366#" 72 0 "a_18_n220#" 440 0 "a_114_n220#" 440 0
 device msubckt sky130_fd_pr__pfet_01v8 -18 -220 -17 -219 l=36 w=440 "w_n209_n320#" "a_n33_310#" 72 0 "a_n78_n220#" 440 0 "a_18_n220#" 440 0
 device msubckt sky130_fd_pr__pfet_01v8 -114 -220 -113 -219 l=36 w=440 "w_n209_n320#" "a_n129_n366#" 72 0 "a_n173_n220#" 440 0 "a_n78_n220#" 440 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_UUCHZP.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_UUCHZP.mag
index c41fbc8..cfacf4b 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_UUCHZP.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_UUCHZP.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -113 282 113 320
 rect -209 -320 209 282
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_XZZ25Z.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_XZZ25Z.ext
index d3a8a30..710b218 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_XZZ25Z.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_XZZ25Z.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,10 +10,10 @@
 node "a_n33_95#" 458 16.9812 -33 95 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 13608 778 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 node "w_n112_n198#" 2747 243.264 -112 -198 nw 0 0 0 0 81088 1172 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "w_n112_n198#" "a_n76_n136#" 17.4716
-cap "a_18_n136#" "w_n112_n198#" 17.4716
-cap "a_n33_95#" "w_n112_n198#" 137.69
 cap "a_18_n136#" "a_n76_n136#" 202.525
 cap "a_n33_95#" "a_n76_n136#" 4.69594
 cap "a_18_n136#" "a_n33_95#" 4.69594
+cap "a_n76_n136#" "w_n112_n198#" 17.4716
+cap "a_18_n136#" "w_n112_n198#" 17.4716
+cap "a_n33_95#" "w_n112_n198#" 137.69
 device msubckt sky130_fd_pr__pfet_01v8 -18 -136 -17 -135 l=36 w=200 "w_n112_n198#" "a_n33_95#" 72 0 "a_n76_n136#" 200 0 "a_18_n136#" 200 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_XZZ25Z.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_XZZ25Z.mag
index e708850..0d78eaa 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_XZZ25Z.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_XZZ25Z.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -112 -198 112 164
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_hvt_N83GLL.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_hvt_N83GLL.ext
index 72894e6..962ae4c 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_hvt_N83GLL.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_hvt_N83GLL.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,8 +10,8 @@
 node "a_n15_n132#" 415 4.611 -15 -132 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7740 576 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n109_n136#" 2324 194.892 -109 -136 nw 0 0 0 0 64964 1032 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_15_n100#" "a_n73_n100#" 127.111
 cap "w_n109_n136#" "a_n15_n132#" 64.9
+cap "a_n73_n100#" "a_15_n100#" 127.111
+cap "a_n73_n100#" "w_n109_n136#" 17.4716
 cap "w_n109_n136#" "a_15_n100#" 17.4716
-cap "w_n109_n136#" "a_n73_n100#" 17.4716
 device msubckt sky130_fd_pr__pfet_01v8_hvt -15 -100 -14 -99 l=30 w=200 "w_n109_n136#" "a_n15_n132#" 60 0 "a_n73_n100#" 200 0 "a_15_n100#" 200 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_hvt_N83GLL.mag b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_hvt_N83GLL.mag
index d17f088..5e59305 100755
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_hvt_N83GLL.mag
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_pr__pfet_01v8_hvt_N83GLL.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << error_p >>
 rect -109 -136 109 162
 << nwell >>
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_16.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_16.ext
index 1e9b656..bb385f9 100644
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_16.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_16.ext
@@ -30,20 +30,20 @@
 node "A" 2351 538.585 29 153 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 83394 4098 0 0 7056 350 0 0 0 0 0 0 0 0 0 0 0 0
 node "VPB" 10147 1845.11 29 527 nw 0 0 0 0 615036 4474 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "A" "VPWR" 89.8988
-cap "VGND" "VPWR" 72.4031
-cap "VPWR" "VPB" 1000.66
-cap "A" "X" 2.45745
-cap "VGND" "X" 2233
-cap "A" "a_110_47#" 544.488
-cap "X" "VPB" 31.1615
-cap "a_110_47#" "VGND" 990.729
-cap "X" "VPWR" 3419.81
+cap "VPWR" "X" 3419.81
 cap "a_110_47#" "VPB" 1313.11
-cap "a_110_47#" "VPWR" 1186.85
-cap "A" "VGND" 129.616
+cap "A" "X" 2.45745
+cap "VGND" "a_110_47#" 990.729
+cap "A" "VPWR" 89.8988
 cap "a_110_47#" "X" 3326.02
+cap "X" "VPB" 31.1615
+cap "a_110_47#" "VPWR" 1186.85
+cap "A" "a_110_47#" 544.488
+cap "VGND" "X" 2233
+cap "VPWR" "VPB" 1000.66
 cap "A" "VPB" 264.83
+cap "VGND" "VPWR" 72.4031
+cap "A" "VGND" 129.616
 device msubckt sky130_fd_pr__nfet_01v8 1713 47 1714 48 l=30 w=84 "VNB" "a_110_47#" 60 0 "X" 84 0 "VGND" 84 0
 device msubckt sky130_fd_pr__nfet_01v8 1627 47 1628 48 l=30 w=84 "VNB" "a_110_47#" 60 0 "VGND" 84 0 "X" 84 0
 device msubckt sky130_fd_pr__nfet_01v8 1541 47 1542 48 l=30 w=84 "VNB" "a_110_47#" 60 0 "X" 84 0 "VGND" 84 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_2.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_2.ext
index b2f5331..45a9d93 100644
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_2.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_2.ext
@@ -28,20 +28,20 @@
 node "A" 766 181.455 121 153 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18261 1132 0 0 8352 376 0 0 0 0 0 0 0 0 0 0 0 0
 node "VPB" 2351 427.572 29 527 nw 0 0 0 0 142524 1530 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "X" "a_27_47#" 425.353
-cap "X" "VGND" 230.998
-cap "a_27_47#" "VGND" 203.464
-cap "X" "A" 37.8529
-cap "X" "VPB" 32.8131
 cap "X" "VPWR" 266.971
-cap "a_27_47#" "A" 370.873
-cap "a_27_47#" "VPB" 185.622
-cap "a_27_47#" "VPWR" 337.736
-cap "VGND" "A" 66.1479
+cap "X" "VGND" 230.998
+cap "VPB" "X" 32.8131
+cap "A" "a_27_47#" 370.873
 cap "VGND" "VPWR" 14.469
-cap "A" "VPB" 74.0288
+cap "VPB" "VPWR" 232.858
+cap "A" "X" 37.8529
+cap "X" "a_27_47#" 425.353
 cap "A" "VPWR" 78.9081
-cap "VPWR" "VPB" 232.858
+cap "VPWR" "a_27_47#" 337.736
+cap "A" "VGND" 66.1479
+cap "VGND" "a_27_47#" 203.464
+cap "A" "VPB" 74.0288
+cap "VPB" "a_27_47#" 185.622
 device msubckt sky130_fd_pr__nfet_01v8 259 47 260 48 l=30 w=84 "VNB" "a_27_47#" 60 0 "X" 84 0 "VGND" 84 0
 device msubckt sky130_fd_pr__nfet_01v8 175 47 176 48 l=30 w=84 "VNB" "a_27_47#" 60 0 "VGND" 84 0 "X" 84 0
 device msubckt sky130_fd_pr__nfet_01v8 80 47 81 48 l=30 w=84 "VNB" "A" 60 0 "a_27_47#" 84 0 "VGND" 84 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_4.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_4.ext
index b5caccf..4655dc5 100644
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_4.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_4.ext
@@ -25,20 +25,20 @@
 node "A" 814 176.642 121 153 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 17040 1124 0 0 7980 368 0 0 0 0 0 0 0 0 0 0 0 0
 node "VPB" 3326 604.764 29 527 nw 0 0 0 0 201588 1898 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_27_47#" "VPB" 303.281
-cap "VPWR" "VGND" 20.7593
-cap "a_27_47#" "VPWR" 413.347
-cap "a_27_47#" "VGND" 279.339
-cap "A" "X" 30.4287
-cap "A" "VPB" 77.2412
-cap "VPB" "X" 29.9537
-cap "A" "VPWR" 34.5962
-cap "A" "VGND" 62.0948
-cap "a_27_47#" "A" 381.986
-cap "VPWR" "X" 712.669
-cap "X" "VGND" 433.51
-cap "VPB" "VPWR" 352.927
+cap "VPB" "A" 77.2412
 cap "a_27_47#" "X" 659.675
+cap "VGND" "a_27_47#" 279.339
+cap "VPWR" "A" 34.5962
+cap "VPB" "a_27_47#" 303.281
+cap "VGND" "X" 433.51
+cap "VPB" "X" 29.9537
+cap "VPWR" "a_27_47#" 413.347
+cap "VPWR" "X" 712.669
+cap "A" "a_27_47#" 381.986
+cap "VPWR" "VGND" 20.7593
+cap "VPWR" "VPB" 352.927
+cap "A" "X" 30.4287
+cap "VGND" "A" 62.0948
 device msubckt sky130_fd_pr__nfet_01v8 435 47 436 48 l=30 w=84 "VNB" "a_27_47#" 60 0 "X" 84 0 "VGND" 84 0
 device msubckt sky130_fd_pr__nfet_01v8 349 47 350 48 l=30 w=84 "VNB" "a_27_47#" 60 0 "VGND" 84 0 "X" 84 0
 device msubckt sky130_fd_pr__nfet_01v8 263 47 264 48 l=30 w=84 "VNB" "a_27_47#" 60 0 "X" 84 0 "VGND" 84 0
diff --git a/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_8.ext b/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_8.ext
index 984c579..68ead55 100644
--- a/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_8.ext
+++ b/mag/3-stage_cs-vco_dp9/sky130_fd_sc_hd__clkbuf_8.ext
@@ -28,20 +28,20 @@
 node "A" 1261 313.482 29 153 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 41850 2154 0 0 7686 370 0 0 0 0 0 0 0 0 0 0 0 0
 node "VPB" 5762 1047.74 29 527 nw 0 0 0 0 349248 2818 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "VPB" "X" 30.647
-cap "A" "a_110_47#" 386.172
-cap "VPWR" "a_110_47#" 702.148
-cap "VGND" "a_110_47#" 449.413
-cap "VPB" "a_110_47#" 640.361
-cap "VPWR" "A" 84.1413
+cap "X" "VGND" 1096.83
+cap "VGND" "VPWR" 45.2468
+cap "a_110_47#" "VGND" 449.413
+cap "X" "VPB" 30.647
+cap "VPB" "VPWR" 620.47
+cap "a_110_47#" "VPB" 640.361
 cap "A" "VGND" 86.188
-cap "VPWR" "VGND" 45.2468
+cap "X" "VPWR" 1768.14
+cap "X" "a_110_47#" 1396.49
+cap "a_110_47#" "VPWR" 702.148
 cap "A" "VPB" 139.43
-cap "a_110_47#" "X" 1396.49
-cap "VPWR" "VPB" 620.47
 cap "A" "X" 6.49812
-cap "VPWR" "X" 1768.14
-cap "VGND" "X" 1096.83
+cap "A" "VPWR" 84.1413
+cap "A" "a_110_47#" 386.172
 device msubckt sky130_fd_pr__nfet_01v8 854 47 855 48 l=30 w=84 "VNB" "a_110_47#" 60 0 "X" 84 0 "VGND" 84 0
 device msubckt sky130_fd_pr__nfet_01v8 768 47 769 48 l=30 w=84 "VNB" "a_110_47#" 60 0 "VGND" 84 0 "X" 84 0
 device msubckt sky130_fd_pr__nfet_01v8 682 47 683 48 l=30 w=84 "VNB" "a_110_47#" 60 0 "X" 84 0 "VGND" 84 0
diff --git a/mag/3-stage_cs-vco_dp9/vco_switch_n_v2.ext b/mag/3-stage_cs-vco_dp9/vco_switch_n_v2.ext
index a9fc00d..7912869 100755
--- a/mag/3-stage_cs-vco_dp9/vco_switch_n_v2.ext
+++ b/mag/3-stage_cs-vco_dp9/vco_switch_n_v2.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -23,43 +23,43 @@
 node "out" 170 151.067 927 784 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16082 1014 4698 278 0 0 0 0 0 0 0 0 0 0
 node "vdd" 5970 699.298 376 1080 m1 0 0 0 0 225856 2176 0 0 9384 620 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14659 690 33284 840 0 0 0 0 0 0 0 0 0 0
 substrate "vss" 0 0 376 488 m1 0 0 0 0 0 0 0 0 0 0 8680 566 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16423 876 69470 1542 0 0 0 0 0 0 0 0 0 0
-cap "li_560_674#" "in" 69.4985
-cap "sel" "in" 524.804
-cap "out" "selb" 11.234
-cap "vdd" "selb" 25.6024
-cap "vdd" "m1_656_924#" 62.6389
-cap "li_560_674#" "selb" 19.4464
-cap "sel" "selb" 73.7852
-cap "vdd" "out" 119.292
-cap "li_560_674#" "m1_656_924#" 12.5581
-cap "sel" "m1_656_924#" 29.6703
 cap "vdd" "li_560_674#" 15.6432
-cap "li_560_674#" "out" 3.5
+cap "out" "li_560_674#" 3.5
+cap "vdd" "out" 119.292
+cap "m1_656_924#" "in" 96.4286
 cap "in" "selb" 23.1304
-cap "vdd" "sel" 44.249
-cap "sel" "out" 33.5213
-cap "li_610_937#" "m1_656_924#" 36.5189
-cap "in" "m1_656_924#" 96.4286
-cap "sel" "li_560_674#" 129.263
-cap "vdd" "li_610_937#" 68.7837
+cap "m1_656_924#" "sel" 29.6703
+cap "li_560_674#" "m1_656_924#" 12.5581
+cap "vdd" "m1_656_924#" 62.6389
+cap "li_610_937#" "vdd" 68.7837
+cap "sel" "selb" 73.7852
 cap "li_610_937#" "out" 5.06639
+cap "in" "sel" 524.804
+cap "li_560_674#" "selb" 19.4464
+cap "vdd" "selb" 25.6024
+cap "li_560_674#" "in" 69.4985
 cap "vdd" "in" 305.745
-cap "in" "out" 118.361
-cap "sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n76_n73#" "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" 9.62368
-cap "sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n76_n73#" "sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_18_n73#" 72.3306
-cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" -15.68
+cap "out" "selb" 11.234
+cap "out" "in" 118.361
+cap "li_560_674#" "sel" 129.263
+cap "li_610_937#" "m1_656_924#" 36.5189
+cap "vdd" "sel" 44.249
+cap "out" "sel" 33.5213
 cap "sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_18_n73#" "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" 21.0908
-cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_n15_n132#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" 137.365
-cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" "sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n76_n73#" -12.8826
-cap "sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n76_n73#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_n15_n132#" 30.674
-cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" 10.0179
-cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" "sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_18_n73#" -34.8758
-cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_n15_n132#" "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" 24.9046
 cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_n15_n132#" "sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_18_n73#" 23.393
-cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_n15_n132#" 51.1319
-cap "sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n76_n73#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" 44.7292
-cap "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" 49.2705
+cap "sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_18_n73#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" -34.8758
+cap "sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_18_n73#" "sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n76_n73#" 72.3306
+cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_n15_n132#" "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" 24.9046
 cap "sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_18_n73#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" 48.4129
+cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" 10.0179
+cap "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" "sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n76_n73#" 9.62368
+cap "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" 49.2705
+cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_n15_n132#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" 51.1319
+cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_n15_n132#" "sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n76_n73#" 30.674
+cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" "sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n76_n73#" -12.8826
+cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_n15_n132#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" 137.365
+cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" -15.68
+cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" "sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n76_n73#" 44.7292
 merge "XM25/a_n33_67#" "m1_656_924#" -153.269 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -3273 -608 -2668 -208 0 0 0 0 0 0 0 0 0 0
 merge "m1_656_924#" "sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n18_n99#"
 merge "sky130_fd_pr__nfet_01v8_HGTGXE_v2_1/a_n18_n99#" "sky130_fd_pr__nfet_01v8_M34CP3_0/a_15_n96#"
diff --git a/mag/3-stage_cs-vco_dp9/vco_switch_n_v2.mag b/mag/3-stage_cs-vco_dp9/vco_switch_n_v2.mag
index a2fff1a..87fc83c 100755
--- a/mag/3-stage_cs-vco_dp9/vco_switch_n_v2.mag
+++ b/mag/3-stage_cs-vco_dp9/vco_switch_n_v2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << nwell >>
 rect 376 952 987 1215
 rect 376 845 932 952
@@ -98,23 +98,23 @@
 rect 817 508 901 543
 rect 376 462 901 508
 use sky130_fd_pr__pfet_01v8_ACAZ2B  XM25
-timestamp 1647613837
+timestamp 1647637375
 transform 0 -1 789 1 0 957
 box -112 -170 112 136
-use sky130_fd_pr__nfet_01v8_HGTGXE_v2  sky130_fd_pr__nfet_01v8_HGTGXE_v2_0 3-stage_cs-vco_dp9
-timestamp 1647613837
+use sky130_fd_pr__nfet_01v8_HGTGXE_v2  sky130_fd_pr__nfet_01v8_HGTGXE_v2_0
+timestamp 1647637375
 transform 0 -1 828 1 0 701
 box -76 -99 76 99
 use sky130_fd_pr__nfet_01v8_HGTGXE_v2  sky130_fd_pr__nfet_01v8_HGTGXE_v2_1
-timestamp 1647613837
+timestamp 1647637375
 transform 0 -1 828 -1 0 607
 box -76 -99 76 99
-use sky130_fd_pr__nfet_01v8_M34CP3  sky130_fd_pr__nfet_01v8_M34CP3_0 3-stage_cs-vco_dp9
-timestamp 1647613837
+use sky130_fd_pr__nfet_01v8_M34CP3  sky130_fd_pr__nfet_01v8_M34CP3_0
+timestamp 1647637375
 transform 1 0 549 0 1 727
 box -73 -122 73 122
-use sky130_fd_pr__pfet_01v8_hvt_N83GLL  sky130_fd_pr__pfet_01v8_hvt_N83GLL_0 3-stage_cs-vco_dp9
-timestamp 1647613837
+use sky130_fd_pr__pfet_01v8_hvt_N83GLL  sky130_fd_pr__pfet_01v8_hvt_N83GLL_0
+timestamp 1647637375
 transform 1 0 549 0 1 981
 box -109 -136 109 162
 << labels >>
diff --git a/mag/3-stage_cs-vco_dp9/vco_switch_p.ext b/mag/3-stage_cs-vco_dp9/vco_switch_p.ext
index b926c83..da37644 100755
--- a/mag/3-stage_cs-vco_dp9/vco_switch_p.ext
+++ b/mag/3-stage_cs-vco_dp9/vco_switch_p.ext
@@ -1,4 +1,4 @@
-timestamp 1647613837
+timestamp 1647637375
 version 8.3
 tech sky130A
 style ngspice()
@@ -21,34 +21,34 @@
 node "sel" 306 383.732 478 793 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4572 276 0 0 16660 1184 25196 1532 0 0 0 0 0 0 0 0 0 0
 node "vdd" 6009 699.298 376 1080 nw 0 0 0 0 225856 2176 0 0 9384 620 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10540 688 47015 1254 0 0 0 0 0 0 0 0 0 0
 substrate "vss" 0 0 376 488 m1 0 0 0 0 0 0 0 0 0 0 8228 552 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9418 622 65270 1442 0 0 0 0 0 0 0 0 0 0
-cap "vdd" "out" 119.292
-cap "selb" "in" 23.1304
-cap "vdd" "li_610_903#" 65.4914
-cap "out" "li_610_903#" 5.0875
-cap "selb" "vdd" 25.283
-cap "sel" "in" 730.084
-cap "selb" "out" 11.234
-cap "sel" "vdd" 634.013
+cap "in" "selb" 23.1304
+cap "li_610_903#" "vdd" 65.4914
 cap "sel" "out" 38.489
+cap "in" "out" 118.361
+cap "sel" "vdd" 634.013
+cap "in" "vdd" 332.708
 cap "sel" "li_610_903#" 24.4665
+cap "out" "selb" 11.234
+cap "sel" "in" 730.084
+cap "vdd" "selb" 25.283
+cap "out" "vdd" 119.292
+cap "li_610_903#" "out" 5.0875
 cap "sel" "selb" 84.7852
-cap "vdd" "in" 332.708
-cap "out" "in" 118.361
-cap "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" 39.2136
-cap "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" 3.85567
-cap "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" 34.8277
-cap "sky130_fd_pr__pfet_01v8_5YXW2B_0/a_n18_n98#" "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" 23.2405
-cap "sky130_fd_pr__pfet_01v8_5YXW2B_0/a_n18_n98#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" 227.173
+cap "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" 4.8755
+cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" -31.6358
+cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" 34.8277
+cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_5YXW2B_0/a_n18_n98#" 227.173
+cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" 67.4141
+cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" 39.2136
+cap "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" -35.181
+cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" "sky130_fd_pr__pfet_01v8_5YXW2B_0/a_n18_n98#" 150.695
 cap "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" "sky130_fd_pr__pfet_01v8_5YXW2B_0/a_n18_n98#" 100.345
 cap "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" 8.49929
-cap "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" 4.8755
-cap "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" 67.4141
 cap "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" 72.3306
-cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" 10.0179
+cap "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" 10.0179
 cap "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "sky130_fd_pr__pfet_01v8_5YXW2B_0/a_n18_n98#" 27.0717
-cap "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" -35.181
-cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/a_15_n100#" -31.6358
-cap "sky130_fd_pr__pfet_01v8_hvt_N83GLL_0/w_n109_n136#" "sky130_fd_pr__pfet_01v8_5YXW2B_0/a_n18_n98#" 150.695
+cap "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_18_n108#" 3.85567
+cap "sky130_fd_pr__nfet_01v8_M34CP3_0/a_n73_n96#" "sky130_fd_pr__pfet_01v8_5YXW2B_0/a_n18_n98#" 23.2405
 merge "sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_18_n73#" "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" -78.8077 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2040 -188 -1224 -290 0 0 0 0 0 0 0 0 0 0
 merge "sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0/a_n76_n108#" "in"
 merge "sky130_fd_pr__nfet_01v8_HGTGXE_v2_0/a_n76_n73#" "sky130_fd_pr__pfet_01v8_5YXW2B_0/a_n76_n72#" -17.0247 0 0 0 0 0 0 0 0 0 0 -8352 -404 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -5702 -538 -6624 -380 0 0 0 0 0 0 0 0 0 0
diff --git a/mag/3-stage_cs-vco_dp9/vco_switch_p.mag b/mag/3-stage_cs-vco_dp9/vco_switch_p.mag
index c431a31..cdd9bf7 100755
--- a/mag/3-stage_cs-vco_dp9/vco_switch_p.mag
+++ b/mag/3-stage_cs-vco_dp9/vco_switch_p.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647613837
+timestamp 1647637375
 << nwell >>
 rect 376 952 987 1215
 rect 376 845 932 952
@@ -95,24 +95,24 @@
 rect 376 508 690 542
 rect 817 508 901 534
 rect 376 462 901 508
-use sky130_fd_pr__nfet_01v8_HGTGXE_v2  sky130_fd_pr__nfet_01v8_HGTGXE_v2_0 3-stage_cs-vco_dp9
-timestamp 1647613837
+use sky130_fd_pr__nfet_01v8_HGTGXE_v2  sky130_fd_pr__nfet_01v8_HGTGXE_v2_0
+timestamp 1647637375
 transform 0 -1 828 1 0 701
 box -76 -99 76 99
-use sky130_fd_pr__nfet_01v8_M34CP3  sky130_fd_pr__nfet_01v8_M34CP3_0 3-stage_cs-vco_dp9
-timestamp 1647613837
+use sky130_fd_pr__nfet_01v8_M34CP3  sky130_fd_pr__nfet_01v8_M34CP3_0
+timestamp 1647637375
 transform 1 0 549 0 1 727
 box -73 -122 73 122
-use sky130_fd_pr__pfet_01v8_5YXW2B  sky130_fd_pr__pfet_01v8_5YXW2B_0 3-stage_cs-vco_dp9
-timestamp 1647613837
+use sky130_fd_pr__pfet_01v8_5YXW2B  sky130_fd_pr__pfet_01v8_5YXW2B_0
+timestamp 1647637375
 transform 0 -1 825 1 0 1051
 box -112 -134 112 134
 use sky130_fd_pr__pfet_01v8_ACAZ2B_v2  sky130_fd_pr__pfet_01v8_ACAZ2B_v2_0
-timestamp 1647613837
+timestamp 1647637375
 transform 0 -1 789 1 0 957
 box -112 -170 112 136
-use sky130_fd_pr__pfet_01v8_hvt_N83GLL  sky130_fd_pr__pfet_01v8_hvt_N83GLL_0 3-stage_cs-vco_dp9
-timestamp 1647613837
+use sky130_fd_pr__pfet_01v8_hvt_N83GLL  sky130_fd_pr__pfet_01v8_hvt_N83GLL_0
+timestamp 1647637375
 transform 1 0 549 0 1 981
 box -109 -136 109 162
 << labels >>
diff --git a/mag/3-stage_cs-vco_dp9/vco_with_fdivs.ext b/mag/3-stage_cs-vco_dp9/vco_with_fdivs.ext
index 34490f3..4b11973 100755
--- a/mag/3-stage_cs-vco_dp9/vco_with_fdivs.ext
+++ b/mag/3-stage_cs-vco_dp9/vco_with_fdivs.ext
@@ -1,4 +1,4 @@
-timestamp 1647616692
+timestamp 1647887906
 version 8.3
 tech sky130A
 style ngspice()
@@ -34,21 +34,28 @@
 port "vss" 4 1994 2687 2051 2721 m1
 port "out_div256_buf" 9 12793 -1239 12853 -1185 m2
 port "out_div128_buf" 2 12803 879 12857 931 m2
-node "m2_n2159_1638#" 3 519.697 -2159 1638 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 43240 2242 0 0 0 0 0 0 0 0
-node "m2_n2159_1718#" 3 486.537 -2159 1718 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 40400 2100 0 0 0 0 0 0 0 0
-node "m2_n2159_1798#" 3 456.177 -2159 1798 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 36840 1922 0 0 0 0 0 0 0 0
-node "m2_n2159_1958#" 3 511.013 -2159 1958 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 33440 1752 0 0 0 0 0 0 0 0
-node "vctrl" 0 134.133 -1702 -522 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4324 280 0 0 0 0 0 0 0 0 0 0
-node "m1_n2159_n461#" 1 295.61 -2159 -461 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30520 1012 0 0 0 0 0 0 0 0 0 0
+port "vdd_2" 10 2344 1858 2398 1896 m3
+port "vss_2" 11 2650 2102 2718 2134 m3
+node "m2_n2159_1638#" 3 444.357 -2159 1638 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 43240 2242 0 0 0 0 0 0 0 0
+node "m2_n2159_1718#" 3 411.197 -2159 1718 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 40400 2100 0 0 0 0 0 0 0 0
+node "m2_n2159_1798#" 3 380.837 -2159 1798 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 36840 1922 0 0 0 0 0 0 0 0
+node "m2_n2159_1958#" 3 347.092 -2159 1958 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 33440 1752 0 0 0 0 0 0 0 0
+node "m1_1702_n1381#" 0 130.257 1702 -1381 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19995 572 0 0 0 0 0 0 0 0 0 0
+node "m1_1968_n594#" 0 65.232 1968 -594 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4480 304 0 0 0 0 0 0 0 0 0 0
+node "vctrl" 0 60.2106 -1702 -522 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4324 280 0 0 0 0 0 0 0 0 0 0
+node "m1_n2159_n461#" 1 227.298 -2159 -461 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30520 1012 0 0 0 0 0 0 0 0 0 0
 node "m1_2161_286#" 2 493.837 2161 286 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12280 656 10008 568 50596 1802 0 0 0 0 0 0
 node "m1_7680_300#" 4 740.571 7680 300 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 65090 2922 0 0 0 0 0 0 0 0 0 0
+node "m1_1704_1531#" 0 155.721 1704 1531 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27633 672 0 0 0 0 0 0 0 0 0 0
 node "m1_n889_1476#" 0 84.687 -889 1476 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9180 384 0 0 0 0 0 0 0 0 0 0
 node "vsel3" 0 25.6106 -1161 1449 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 924 122 0 0 0 0 0 0 0 0 0 0
+node "m1_n1029_1423#" 17 5575.59 -1029 1423 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 36720 1536 809372 18522 356950 8110 0 0 0 0 0 0
 node "vsel2" 0 25.6106 -1248 1534 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 924 122 0 0 0 0 0 0 0 0 0 0
 node "vsel1" 0 25.6106 -1330 1605 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 924 122 0 0 0 0 0 0 0 0 0 0
 node "vsel0" 0 24.3208 -1407 1757 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 832 116 0 0 0 0 0 0 0 0 0 0
+node "m1_n1047_2276#" 17 5201.26 -1047 2276 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 32404 1432 772054 18196 307000 7000 0 0 0 0 0 0
 node "vdd" 0 46.009 1732 2426 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2660 216 0 0 0 0 0 0 0 0 0 0
-node "vss" 0 86.9735 1994 2687 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2660 216 0 0 0 0 0 0 0 0 0 0
+node "vss" 0 46.009 1994 2687 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2660 216 0 0 0 0 0 0 0 0 0 0
 node "out_div256_buf" 14 489.358 12793 -1239 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7134 338 12099 440 73021 1908 0 0 0 0 0 0 0 0
 node "li_10187_n1210#" 122 145.666 10187 -1210 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3468 408 12220 736 0 0 0 0 0 0 0 0 0 0
 node "li_9326_n1210#" 72 88.6247 9326 -1210 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2312 272 7656 476 0 0 0 0 0 0 0 0 0 0
@@ -61,704 +68,733 @@
 node "li_8587_462#" 239 809.308 8587 462 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6902 746 86706 4372 172163 8076 0 0 0 0 0 0 0 0
 node "out_div128_buf" 37 2826.11 12803 879 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22222 670 26208 648 238352 5886 62624 1534 0 0 0 0 0 0
 node "out" 216 332.429 1728 1040 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 28280 1494 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_7680_n1770#" 59765 55333 7680 -1770 nw 0 0 0 0 5874215 21806 0 0 250240 14856 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 246908 14660 3225826 57614 4184598 94244 1114300 25460 0 0 0 0 0 0
-substrate "a_8547_n771#" 0 0 8547 -771 ppd 0 0 0 0 0 0 0 0 0 0 247316 14684 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 243712 14472 1651869 44136 3629178 82228 978840 22452 0 0 0 0 0 0
-cap "m1_n2159_n461#" "w_7680_n1770#" 68.3125
-cap "li_8789_n451#" "w_7680_n1770#" 27.9986
-cap "w_7680_n1770#" "m2_n2159_1718#" 300.375
-cap "w_7680_n1770#" "li_8577_n451#" 64.3486
-cap "m2_n2159_1798#" "m2_n2159_1718#" 1151.25
-cap "w_7680_n1770#" "li_8587_462#" 7142.79
-cap "m2_n2159_1958#" "m2_n2159_1718#" 209
-cap "vsel3" "m1_n889_1476#" 0.914634
-cap "vsel3" "w_7680_n1770#" 46.3848
-cap "m2_n2159_1638#" "m2_n2159_1718#" 1262.5
-cap "li_8588_n1221#" "w_7680_n1770#" 2063.08
-cap "w_7680_n1770#" "m1_n889_1476#" 44.1
-cap "w_7680_n1770#" "m2_n2159_1798#" 219.814
-cap "m2_n2159_1958#" "w_7680_n1770#" 75.34
-cap "li_9325_n451#" "li_8789_n451#" 9.76017
-cap "li_8782_n1210#" "li_8588_n1221#" 29.2195
-cap "li_8782_n1210#" "w_7680_n1770#" 146.832
-cap "w_7680_n1770#" "li_9326_n1210#" 128.186
-cap "li_8587_462#" "out_div128_buf" 30.4924
-cap "m2_n2159_1638#" "w_7680_n1770#" 481.971
-cap "m1_2161_286#" "w_7680_n1770#" 150.819
-cap "m2_n2159_1958#" "m2_n2159_1798#" 348.333
-cap "li_10187_n1210#" "w_7680_n1770#" 202.557
-cap "w_7680_n1770#" "out_div256_buf" 464.121
-cap "m1_n2159_n461#" "vctrl" 3
-cap "li_8789_n451#" "li_8577_n451#" 24.7995
-cap "m2_n2159_1638#" "m2_n2159_1798#" 383.75
-cap "vsel2" "m1_n889_1476#" 4.44611
-cap "w_7680_n1770#" "out_div128_buf" 177.017
-cap "m2_n2159_1638#" "m2_n2159_1958#" 149.286
+node "vdd_2" 59764 47038.8 2344 1858 m3 0 0 0 0 5874215 21806 0 0 250240 14856 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 246908 14660 3003887 53838 3365262 75578 1168050 25576 0 0 0 0 0 0
+substrate "vss_2" 0 0 2650 2102 m3 0 0 0 0 0 0 0 0 0 0 247316 14684 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 243712 14472 1581752 42398 2833186 63618 1033440 22700 0 0 0 0 0 0
+cap "m2_n2159_1718#" "m2_n2159_1638#" 1262.5
+cap "m1_n1029_1423#" "m2_n2159_1798#" 219.814
 cap "li_8782_n1210#" "li_9326_n1210#" 9.85063
-cap "li_10185_n451#" "w_7680_n1770#" 40.6135
-cap "li_9325_n451#" "w_7680_n1770#" 22.2458
-cap "m1_7680_300#" "li_8587_462#" 78.0189
+cap "vdd_2" "li_8587_462#" 7142.79
+cap "li_9325_n451#" "li_8789_n451#" 9.76017
+cap "vdd_2" "li_8789_n451#" 27.9986
+cap "m1_n1047_2276#" "m2_n2159_1718#" 75.34
+cap "m1_n1029_1423#" "m2_n2159_1958#" 75.34
+cap "m2_n2159_1958#" "m2_n2159_1798#" 348.333
+cap "vdd_2" "out_div128_buf" 177.017
+cap "vsel3" "m1_n889_1476#" 0.914634
+cap "m1_n889_1476#" "vsel2" 4.44611
+cap "m1_n1029_1423#" "vdd_2" 12.2283
+cap "m1_n1047_2276#" "m2_n2159_1638#" 75.34
+cap "out_div256_buf" "vdd_2" 464.121
+cap "m1_n1047_2276#" "m1_n2159_n461#" 68.3125
+cap "m1_n1029_1423#" "m1_n889_1476#" 44.1
+cap "vdd_2" "li_9325_n451#" 22.2458
+cap "m1_n1029_1423#" "m1_1702_n1381#" 163.406
+cap "vdd_2" "m1_2161_286#" 712.421
+cap "vdd_2" "li_9326_n1210#" 128.186
 cap "vsel0" "m2_n2159_1718#" 16.6513
-cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" "3-stage_cs-vco_dp9_0/vctrl" 1386.29
-cap "3-stage_cs-vco_dp9_0/sel1" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" 60.8111
-cap "3-stage_cs-vco_dp9_0/vctrl" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" 11.6418
-cap "3-stage_cs-vco_dp9_0/sel1" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" 112.371
+cap "m1_n1029_1423#" "m2_n2159_1718#" 300.375
+cap "m2_n2159_1798#" "m2_n2159_1718#" 1151.25
+cap "li_8577_n451#" "li_8789_n451#" 24.7995
+cap "li_8782_n1210#" "li_8588_n1221#" 29.2195
+cap "m1_n1029_1423#" "m2_n2159_1638#" 481.971
+cap "m2_n2159_1958#" "m2_n2159_1718#" 209
+cap "m2_n2159_1798#" "m2_n2159_1638#" 383.75
+cap "m1_n1029_1423#" "m1_n2159_n461#" 68.3125
+cap "vdd_2" "li_10187_n1210#" 202.557
+cap "m1_n1029_1423#" "m1_n1047_2276#" 4934.46
+cap "m2_n2159_1958#" "m2_n2159_1638#" 149.286
+cap "m1_n1047_2276#" "m2_n2159_1798#" 75.34
+cap "vdd_2" "li_8577_n451#" 64.3486
+cap "vctrl" "m1_n2159_n461#" 3
+cap "m2_n2159_1958#" "m1_n1047_2276#" 163.921
+cap "m1_7680_300#" "li_8587_462#" 78.0189
+cap "vdd_2" "out" 24.883
+cap "vctrl" "m1_n1047_2276#" 73.9225
+cap "m1_n1029_1423#" "vsel3" 46.3848
+cap "out_div128_buf" "li_8587_462#" 30.4924
+cap "vss" "m1_n1047_2276#" 40.9645
+cap "vdd_2" "li_8588_n1221#" 2063.08
+cap "vdd_2" "m1_1704_1531#" 25.5288
+cap "vdd_2" "li_8782_n1210#" 146.832
+cap "vdd_2" "li_10185_n451#" 40.6135
 cap "3-stage_cs-vco_dp9_0/sel2" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" 60.8249
-cap "3-stage_cs-vco_dp9_0/sel2" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" 140.584
+cap "3-stage_cs-vco_dp9_0/sel1" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" 112.371
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" "3-stage_cs-vco_dp9_0/sel3" 205.873
+cap "3-stage_cs-vco_dp9_0/vctrl" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" 1386.29
+cap "3-stage_cs-vco_dp9_0/sel1" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" 60.8111
 cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" "3-stage_cs-vco_dp9_0/sel3" 60.8646
-cap "3-stage_cs-vco_dp9_0/sel3" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" 205.873
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" "3-stage_cs-vco_dp9_0/sel2" 140.584
 cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/sel" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" 159.615
-cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/in" 23.2836
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" "3-stage_cs-vco_dp9_0/vctrl" 11.6418
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_1/sel" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" 144.539
 cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" "3-stage_cs-vco_dp9_0/sel3" -1.74933
-cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" "3-stage_cs-vco_dp9_0/sel3" 666.667
 cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/sel" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" 379.429
-cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_1/sel" 144.539
-cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vss" 19.4813
-cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/in" 11.6418
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" "3-stage_cs-vco_dp9_0/sel3" 666.667
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/in" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" 23.2836
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_3/sel" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" 314.227
 cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" "FD_v2_4/Clk_In" 8.96197
-cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/sel" 2.85714
-cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_3/sel" 314.227
-cap "FD_v2_4/Clk_In" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vss" 34.7407
-cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vss" "3-stage_cs-vco_dp9_0/ng3" 7.44363
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/sel" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" 2.85714
+cap "FD_v2_3/VDD" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vss" 13.6613
+cap "FD_v2_4/Clk_In" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vss" 27.3034
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/in" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" 11.6418
 cap "FD_v2_4/GND" "FD_v2_9/4" 17.7273
+cap "FD_v2_3/VDD" "m1_1968_n594#" 54.0366
+cap "FD_v2_4/Clk_In" "FD_v2_9/6" 15.4867
 cap "FD_v2_3/VDD" "FD_v2_4/5" 5.78133
-cap "FD_v2_4/GND" "FD_v2_4/5" 23.9658
-cap "FD_v2_4/GND" "FD_v2_9/Clk_Out" 5.17315
-cap "FD_v2_4/3" "FD_v2_3/VDD" 4.60465
-cap "FD_v2_4/GND" "FD_v2_4/3" 11.5455
-cap "FD_v2_4/2" "FD_v2_3/VDD" 2.77806
-cap "FD_v2_4/GND" "FD_v2_4/2" 6
-cap "FD_v2_4/Clkb" "FD_v2_9/4" 2.51825
-cap "FD_v2_4/3" "FD_v2_9/2" 0.68546
-cap "FD_v2_4/GND" "FD_v2_3/VDD" 2.55831
-cap "FD_v2_9/6" "FD_v2_4/Clk_In" 15.4867
-cap "FD_v2_4/GND" "FD_v2_9/7" 12.0649
-cap "FD_v2_4/4" "FD_v2_9/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" 2.51825
-cap "FD_v2_4/GND" "FD_v2_9/5" 23.9658
-cap "FD_v2_4/GND" "FD_v2_9/2" 5.44323
-cap "FD_v2_4/5" "FD_v2_9/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" 2.60252
-cap "FD_v2_4/Clkb" "FD_v2_9/7" 5.79832
-cap "FD_v2_4/Clkb" "FD_v2_9/5" 2.60252
-cap "FD_v2_4/GND" "FD_v2_4/Clkb" 26.5514
-cap "FD_v2_3/VDD" "FD_v2_4/Clk_In" 10.7368
-cap "FD_v2_4/GND" "FD_v2_4/Clk_In" 133.008
+cap "FD_v2_4/3" "FD_v2_4/GND" 84.027
 cap "FD_v2_9/7" "FD_v2_4/Clk_In" 10.0581
-cap "FD_v2_4/GND" "FD_v2_9/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" 13.9615
-cap "FD_v2_4/6" "FD_v2_3/VDD" 3.15689
-cap "FD_v2_4/GND" "FD_v2_4/6" 14.8618
+cap "FD_v2_4/GND" "FD_v2_9/5" 23.9658
+cap "FD_v2_3/VDD" "FD_v2_4/Clkb" 67.6592
+cap "FD_v2_3/VDD" "FD_v2_4/6" 3.15689
+cap "FD_v2_9/4" "FD_v2_4/Clkb" 2.51825
+cap "FD_v2_3/VDD" "FD_v2_4/2" 2.77806
+cap "FD_v2_9/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" "FD_v2_4/4" 2.51825
+cap "FD_v2_3/VDD" "FD_v2_9/7" 77.3233
+cap "FD_v2_9/5" "FD_v2_4/Clkb" 2.60252
 cap "FD_v2_4/3" "FD_v2_9/6" 0.172324
-cap "FD_v2_4/Clkb" "FD_v2_9/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" 15.0072
+cap "FD_v2_3/VDD" "FD_v2_4/Clk_In" 196.381
 cap "FD_v2_4/4" "FD_v2_3/VDD" 9.09184
+cap "FD_v2_4/GND" "FD_v2_9/Clk_Out" 5.17315
+cap "FD_v2_4/3" "FD_v2_9/2" 0.68546
+cap "FD_v2_4/GND" "FD_v2_4/5" 23.9658
+cap "FD_v2_4/3" "FD_v2_3/VDD" 4.60465
+cap "FD_v2_4/GND" "FD_v2_4/Clkb" 75.457
+cap "FD_v2_4/GND" "FD_v2_4/6" 14.8618
+cap "FD_v2_4/2" "FD_v2_4/GND" 62.325
+cap "m1_1968_n594#" "FD_v2_4/Clkb" 7.41935
 cap "FD_v2_4/GND" "FD_v2_9/6" 37.7137
+cap "FD_v2_4/GND" "FD_v2_9/7" 12.0649
+cap "m1_1702_n1381#" "FD_v2_4/Clk_In" 8.96197
+cap "FD_v2_4/GND" "FD_v2_9/2" 5.44323
+cap "FD_v2_4/GND" "FD_v2_4/Clk_In" 74.2566
+cap "FD_v2_9/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" "FD_v2_4/GND" 13.9615
 cap "FD_v2_4/4" "FD_v2_4/GND" 26.0114
-cap "FD_v2_9/Clkb" "FD_v2_8/VDD" 37.8022
-cap "FD_v2_8/7" "FD_v2_4/GND" 25.8788
-cap "FD_v2_9/3" "FD_v2_4/GND" 11.5455
-cap "FD_v2_5/3" "FD_v2_4/Clk_Out" 19.5938
-cap "FD_v2_5/3" "FD_v2_8/2" 0.68546
-cap "FD_v2_5/Clkb" "FD_v2_4/Clk_Out" 40.8913
-cap "FD_v2_4/6" "FD_v2_4/Clk_Out" 15.4398
-cap "FD_v2_8/Clk_Out" "FD_v2_4/GND" 76.8732
-cap "FD_v2_8/Clk_Out" "FD_v2_4/7" 10.0581
-cap "FD_v2_8/Clk_Out" "FD_v2_8/6" 18.7444
-cap "FD_v2_2/VDD" "FD_v2_4/7" 2.77806
-cap "FD_v2_2/VDD" "FD_v2_5/4" 8.54464
-cap "FD_v2_8/7" "FD_v2_9/Clkb" 4.45238
-cap "FD_v2_4/GND" "FD_v2_4/7" 25.8788
-cap "FD_v2_4/GND" "FD_v2_5/4" 24.8295
-cap "FD_v2_9/4" "FD_v2_4/GND" 8.28409
-cap "FD_v2_8/7" "FD_v2_4/Clk_Out" 10.0581
-cap "FD_v2_8/6" "FD_v2_4/GND" 37.7137
-cap "FD_v2_8/7" "FD_v2_9/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 22.4939
-cap "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_2/VDD" 2.77806
-cap "FD_v2_9/Clkb" "FD_v2_8/Clk_Out" 71.7391
-cap "FD_v2_9/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "FD_v2_8/Clk_Out" 5.89315
-cap "FD_v2_2/VDD" "FD_v2_4/Clk_Out" 2.77806
-cap "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_4/GND" 6
-cap "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_4/7" 19.5299
-cap "FD_v2_9/Clkb" "FD_v2_4/GND" 29.5029
-cap "FD_v2_4/GND" "FD_v2_8/2" 5.44323
-cap "FD_v2_9/Clkb" "FD_v2_4/7" 5.79832
-cap "FD_v2_4/GND" "FD_v2_4/Clk_Out" 76.8732
+cap "FD_v2_9/7" "FD_v2_4/Clkb" 5.79832
+cap "FD_v2_3/VDD" "FD_v2_9/Clk_Out" 72.0978
+cap "FD_v2_4/Clk_In" "m1_1968_n594#" 96.4319
+cap "FD_v2_9/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" "FD_v2_4/5" 2.60252
+cap "FD_v2_9/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" "FD_v2_4/Clkb" 15.0072
+cap "FD_v2_3/VDD" "FD_v2_4/GND" 116.865
 cap "FD_v2_4/7" "FD_v2_4/Clk_Out" 67.426
+cap "FD_v2_4/Clk_Out" "FD_v2_8/7" 10.0581
+cap "FD_v2_4/2" "FD_v2_4/GND" 5.44323
+cap "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_4/Clk_Out" 5.89315
+cap "FD_v2_4/7" "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 19.5299
+cap "FD_v2_4/7" "FD_v2_8/Clk_Out" 10.0581
+cap "FD_v2_8/Clk_Out" "FD_v2_8/7" 80.363
+cap "FD_v2_8/Clk_Out" "FD_v2_9/3" 34.375
+cap "FD_v2_8/VDD" "FD_v2_8/7" 14.5814
+cap "FD_v2_2/VDD" "FD_v2_4/2" 0.126276
+cap "FD_v2_8/Clk_Out" "FD_v2_8/VDD" 69.1959
+cap "FD_v2_5/4" "FD_v2_4/GND" 24.8295
+cap "FD_v2_5/3" "FD_v2_8/6" 0.172324
 cap "FD_v2_9/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "FD_v2_4/GND" 6
 cap "FD_v2_8/6" "FD_v2_4/Clk_Out" 15.4867
-cap "FD_v2_4/GND" "FD_v2_8/5" 3.5625
-cap "FD_v2_8/7" "FD_v2_8/VDD" 14.5814
-cap "FD_v2_8/Clk_Out" "FD_v2_8/VDD" 69.1959
-cap "FD_v2_5/Clkb" "FD_v2_8/7" 5.79832
-cap "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_4/Clk_Out" 5.89315
-cap "FD_v2_9/3" "FD_v2_4/6" 0.172324
-cap "FD_v2_9/3" "FD_v2_4/2" 0.68546
-cap "FD_v2_8/Clk_Out" "FD_v2_4/6" 15.4867
-cap "FD_v2_2/VDD" "FD_v2_5/3" 4.60465
-cap "FD_v2_4/6" "FD_v2_2/VDD" 9.59694
-cap "FD_v2_4/2" "FD_v2_2/VDD" 0.126276
-cap "FD_v2_4/GND" "FD_v2_5/3" 11.5455
-cap "FD_v2_5/Clkb" "FD_v2_4/GND" 29.5029
-cap "FD_v2_5/Clkb" "FD_v2_4/7" 4.45238
 cap "FD_v2_4/6" "FD_v2_4/GND" 22.8519
-cap "FD_v2_8/6" "FD_v2_5/3" 0.172324
-cap "FD_v2_8/7" "FD_v2_8/Clk_Out" 80.363
-cap "FD_v2_4/2" "FD_v2_4/GND" 5.44323
-cap "FD_v2_9/3" "FD_v2_8/Clk_Out" 34.375
-cap "FD_v2_5/7" "FD_v2_6/Clkb" 4.45238
-cap "FD_v2_5/GND" "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 6
-cap "FD_v2_7/7" "FD_v2_8/Clkb" 4.45238
-cap "FD_v2_8/Clk_In" "FD_v2_5/7" 10.0581
-cap "FD_v2_8/4" "FD_v2_5/a_971_n597#" 2.51825
-cap "FD_v2_2/VDD" "FD_v2_5/2" 0.126276
-cap "FD_v2_7/7" "FD_v2_6/Clkb" 5.79832
-cap "FD_v2_5/GND" "FD_v2_8/3" 11.5455
-cap "FD_v2_7/7" "FD_v2_8/Clk_In" 72.6071
-cap "FD_v2_5/GND" "FD_v2_5/a_971_n597#" 13.9615
-cap "FD_v2_8/VDD" "FD_v2_7/7" 14.5814
-cap "FD_v2_5/Clk_Out" "FD_v2_5/7" 67.426
-cap "FD_v2_2/VDD" "FD_v2_5/7" 2.77806
-cap "FD_v2_8/Clkb" "FD_v2_5/5" 2.60252
-cap "FD_v2_5/GND" "FD_v2_8/2" 6
-cap "FD_v2_5/GND" "FD_v2_5/2" 5.44323
-cap "FD_v2_8/Clk_In" "FD_v2_8/Clkb" 71.7391
-cap "FD_v2_8/VDD" "FD_v2_8/Clkb" 37.8022
-cap "FD_v2_7/7" "FD_v2_5/Clk_Out" 10.0581
-cap "FD_v2_5/Clk_Out" "FD_v2_6/3" 19.5938
-cap "FD_v2_2/VDD" "FD_v2_6/3" 0.287791
-cap "FD_v2_5/6" "FD_v2_8/Clk_In" 15.4867
-cap "FD_v2_7/6" "FD_v2_6/3" 0.172324
-cap "FD_v2_8/VDD" "FD_v2_8/Clk_In" 176.155
-cap "FD_v2_5/GND" "FD_v2_5/7" 25.8788
-cap "FD_v2_8/3" "FD_v2_5/2" 0.68546
-cap "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_5/7" 19.5299
-cap "FD_v2_5/6" "FD_v2_5/Clk_Out" 15.4398
-cap "FD_v2_5/6" "FD_v2_2/VDD" 12.7538
-cap "FD_v2_2/VDD" "FD_v2_5/5" 5.78133
-cap "FD_v2_5/Clk_Out" "FD_v2_6/Clkb" 40.8913
-cap "FD_v2_5/GND" "FD_v2_8/5" 20.4033
-cap "FD_v2_5/GND" "FD_v2_7/7" 25.8788
-cap "FD_v2_5/GND" "FD_v2_6/3" 5.17051
-cap "FD_v2_7/6" "FD_v2_8/Clk_In" 18.7444
-cap "FD_v2_8/Clkb" "FD_v2_5/4" 2.51825
-cap "FD_v2_5/GND" "FD_v2_8/Clkb" 43.4645
-cap "FD_v2_8/5" "FD_v2_5/a_971_n597#" 2.60252
-cap "FD_v2_2/VDD" "FD_v2_5/Clk_Out" 2.77806
-cap "FD_v2_5/GND" "FD_v2_5/5" 23.9658
-cap "FD_v2_5/GND" "FD_v2_5/6" 37.7137
-cap "FD_v2_5/GND" "FD_v2_6/Clkb" 29.5029
-cap "FD_v2_7/6" "FD_v2_5/Clk_Out" 2.78372
-cap "FD_v2_5/GND" "FD_v2_8/Clk_In" 57.3743
-cap "FD_v2_7/7" "FD_v2_8/2" 22.4939
-cap "FD_v2_8/Clkb" "FD_v2_5/a_971_n597#" 15.0072
-cap "FD_v2_5/6" "FD_v2_8/3" 0.172324
-cap "FD_v2_8/Clk_In" "FD_v2_8/3" 34.375
-cap "FD_v2_5/GND" "FD_v2_5/Clk_Out" 64.3867
-cap "FD_v2_2/VDD" "FD_v2_5/4" 0.547194
-cap "FD_v2_5/Clk_Out" "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 5.89315
+cap "FD_v2_4/7" "FD_v2_9/Clkb" 5.79832
+cap "FD_v2_9/Clkb" "FD_v2_8/7" 4.45238
+cap "FD_v2_9/Clkb" "FD_v2_8/Clk_Out" 71.7391
+cap "FD_v2_5/Clkb" "FD_v2_4/Clk_Out" 40.8913
+cap "FD_v2_5/3" "FD_v2_8/2" 0.68546
+cap "FD_v2_8/6" "FD_v2_8/Clk_Out" 18.7444
+cap "FD_v2_9/Clkb" "FD_v2_8/VDD" 37.8022
+cap "FD_v2_2/VDD" "FD_v2_5/4" 8.54464
+cap "FD_v2_5/3" "FD_v2_4/GND" 11.5455
+cap "FD_v2_5/Clkb" "FD_v2_4/7" 4.45238
+cap "FD_v2_4/Clk_Out" "FD_v2_4/GND" 76.8732
+cap "FD_v2_5/Clkb" "FD_v2_8/7" 5.79832
+cap "FD_v2_4/6" "FD_v2_2/VDD" 9.59694
+cap "FD_v2_4/7" "FD_v2_4/GND" 25.8788
+cap "FD_v2_8/7" "FD_v2_4/GND" 25.8788
+cap "FD_v2_9/3" "FD_v2_4/GND" 11.5455
+cap "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_4/GND" 6
+cap "FD_v2_8/Clk_Out" "FD_v2_4/GND" 76.8732
+cap "FD_v2_8/5" "FD_v2_4/GND" 3.5625
+cap "FD_v2_5/3" "FD_v2_2/VDD" 4.60465
+cap "FD_v2_4/Clk_Out" "FD_v2_2/VDD" 2.77806
+cap "FD_v2_4/7" "FD_v2_2/VDD" 2.77806
+cap "FD_v2_9/3" "FD_v2_4/2" 0.68546
+cap "FD_v2_9/Clkb" "FD_v2_4/GND" 29.5029
+cap "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_2/VDD" 2.77806
+cap "FD_v2_8/6" "FD_v2_4/GND" 37.7137
+cap "FD_v2_5/Clkb" "FD_v2_4/GND" 29.5029
+cap "FD_v2_4/6" "FD_v2_4/Clk_Out" 15.4398
+cap "FD_v2_4/GND" "FD_v2_9/4" 8.28409
+cap "FD_v2_8/2" "FD_v2_4/GND" 5.44323
+cap "FD_v2_9/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "FD_v2_8/7" 22.4939
+cap "FD_v2_8/Clk_Out" "FD_v2_9/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 5.89315
+cap "FD_v2_4/6" "FD_v2_9/3" 0.172324
+cap "FD_v2_4/6" "FD_v2_8/Clk_Out" 15.4867
+cap "FD_v2_5/3" "FD_v2_4/Clk_Out" 19.5938
+cap "FD_v2_8/Clkb" "FD_v2_5/GND" 43.4645
 cap "FD_v2_2/VDD" "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 2.77806
-cap "FD_v2_5/GND" "FD_v2_7/6" 9.55283
-cap "FD_v2_5/GND" "FD_v2_8/4" 26.0114
-cap "FD_v2_8/2" "FD_v2_8/Clk_In" 3.9507
+cap "FD_v2_8/3" "FD_v2_8/Clk_In" 34.375
+cap "FD_v2_5/GND" "FD_v2_5/2" 5.44323
+cap "FD_v2_5/a_971_n597#" "FD_v2_8/4" 2.51825
+cap "FD_v2_5/5" "FD_v2_2/VDD" 5.78133
+cap "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_5/7" 19.5299
+cap "FD_v2_5/a_971_n597#" "FD_v2_8/5" 2.60252
+cap "FD_v2_8/Clkb" "FD_v2_8/VDD" 37.8022
 cap "FD_v2_8/Clkb" "FD_v2_5/7" 5.79832
+cap "FD_v2_2/VDD" "FD_v2_5/2" 0.126276
+cap "FD_v2_5/6" "FD_v2_8/3" 0.172324
+cap "FD_v2_6/Clkb" "FD_v2_5/GND" 29.5029
+cap "FD_v2_5/Clk_Out" "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 5.89315
+cap "FD_v2_8/2" "FD_v2_5/GND" 6
+cap "FD_v2_5/GND" "FD_v2_7/6" 9.55283
+cap "FD_v2_8/Clkb" "FD_v2_8/Clk_In" 71.7391
+cap "FD_v2_8/4" "FD_v2_5/GND" 26.0114
+cap "FD_v2_7/7" "FD_v2_8/Clkb" 4.45238
+cap "FD_v2_8/5" "FD_v2_5/GND" 20.4033
+cap "FD_v2_6/Clkb" "FD_v2_5/7" 4.45238
+cap "FD_v2_7/6" "FD_v2_6/3" 0.172324
+cap "FD_v2_5/GND" "FD_v2_6/3" 5.17051
+cap "FD_v2_5/GND" "FD_v2_5/7" 25.8788
+cap "FD_v2_8/2" "FD_v2_8/Clk_In" 3.9507
+cap "FD_v2_7/6" "FD_v2_8/Clk_In" 18.7444
+cap "FD_v2_5/GND" "FD_v2_8/Clk_In" 57.3743
+cap "FD_v2_6/3" "FD_v2_2/VDD" 0.287791
+cap "FD_v2_6/Clkb" "FD_v2_5/Clk_Out" 40.8913
+cap "FD_v2_2/VDD" "FD_v2_5/7" 2.77806
+cap "FD_v2_5/Clk_Out" "FD_v2_5/GND" 64.3867
+cap "FD_v2_5/Clk_Out" "FD_v2_7/6" 2.78372
+cap "FD_v2_7/7" "FD_v2_6/Clkb" 5.79832
+cap "FD_v2_7/7" "FD_v2_8/2" 22.4939
+cap "FD_v2_7/7" "FD_v2_5/GND" 25.8788
+cap "FD_v2_8/Clkb" "FD_v2_5/4" 2.51825
+cap "FD_v2_8/3" "FD_v2_5/2" 0.68546
+cap "FD_v2_8/Clk_In" "FD_v2_8/VDD" 176.155
+cap "FD_v2_5/6" "FD_v2_5/GND" 37.7137
+cap "FD_v2_5/Clk_Out" "FD_v2_2/VDD" 2.77806
+cap "FD_v2_8/Clk_In" "FD_v2_5/7" 10.0581
+cap "FD_v2_5/Clk_Out" "FD_v2_6/3" 19.5938
+cap "FD_v2_7/7" "FD_v2_8/VDD" 14.5814
+cap "FD_v2_5/Clk_Out" "FD_v2_5/7" 67.426
+cap "FD_v2_5/5" "FD_v2_8/Clkb" 2.60252
+cap "FD_v2_5/a_971_n597#" "FD_v2_8/Clkb" 15.0072
+cap "FD_v2_5/6" "FD_v2_2/VDD" 12.7538
+cap "FD_v2_8/3" "FD_v2_5/GND" 11.5455
 cap "FD_v2_5/GND" "FD_v2_5/4" 1.18182
-cap "FD_v2_6/Clkb" "FD_v2_7/Clkb" 15.0072
-cap "FD_v2_5/GND" "FD_v2_7/3" 11.5455
+cap "FD_v2_7/7" "FD_v2_8/Clk_In" 72.6071
+cap "FD_v2_7/7" "FD_v2_5/Clk_Out" 10.0581
+cap "FD_v2_5/6" "FD_v2_8/Clk_In" 15.4867
+cap "FD_v2_5/GND" "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 6
+cap "FD_v2_5/4" "FD_v2_2/VDD" 0.547194
+cap "FD_v2_5/6" "FD_v2_5/Clk_Out" 15.4398
+cap "FD_v2_5/5" "FD_v2_5/GND" 23.9658
+cap "FD_v2_5/a_971_n597#" "FD_v2_5/GND" 13.9615
+cap "FD_v2_7/6" "FD_v2_5/Clk_Out" 12.703
+cap "FD_v2_7/3" "FD_v2_6/2" 0.68546
+cap "FD_v2_7/5" "FD_v2_6/Clkb" 2.60252
+cap "FD_v2_2/VDD" "FD_v2_6/3" 4.31686
+cap "FD_v2_6/2" "FD_v2_5/Clk_Out" -5.68434e-14
+cap "FD_v2_2/VDD" "FD_v2_6/2" 0.126276
+cap "FD_v2_6/4" "FD_v2_7/Clkb" 2.51825
+cap "FD_v2_5/GND" "FD_v2_6/4" 26.0114
 cap "FD_v2_6/7" "FD_v2_7/Clkb" 5.79832
-cap "FD_v2_5/GND" "FD_v2_7/Clk_In" 39.3068
-cap "FD_v2_5/GND" "FD_v2_7/6" 28.1609
-cap "FD_v2_2/VDD" "FD_v2_6/5" 5.78133
-cap "FD_v2_5/GND" "FD_v2_6/3" 6.375
+cap "FD_v2_5/GND" "FD_v2_6/6" 37.7137
+cap "FD_v2_5/GND" "FD_v2_7/5" 23.9658
+cap "FD_v2_5/GND" "FD_v2_6/7" 12.0649
+cap "FD_v2_6/5" "FD_v2_7/Clkb" 2.60252
+cap "FD_v2_6/Clkb" "FD_v2_7/Clkb" 15.0072
+cap "FD_v2_5/GND" "FD_v2_6/5" 23.9658
+cap "FD_v2_5/GND" "FD_v2_6/Clkb" 13.9615
 cap "FD_v2_5/GND" "FD_v2_7/Clkb" 19.132
 cap "FD_v2_7/3" "FD_v2_6/6" 0.172324
-cap "FD_v2_7/Clk_In" "FD_v2_6/6" 15.4867
-cap "FD_v2_5/GND" "FD_v2_6/Clkb" 13.9615
-cap "FD_v2_6/4" "FD_v2_7/Clkb" 2.51825
-cap "FD_v2_5/GND" "FD_v2_6/7" 12.0649
-cap "FD_v2_6/2" "FD_v2_5/GND" 5.44323
-cap "FD_v2_7/2" "FD_v2_7/Clk_In" 0.566547
-cap "FD_v2_6/3" "FD_v2_2/VDD" 4.31686
-cap "FD_v2_7/6" "FD_v2_5/Clk_Out" 12.703
-cap "FD_v2_7/2" "FD_v2_6/3" 0.68546
-cap "FD_v2_6/4" "FD_v2_5/GND" 26.0114
-cap "FD_v2_6/2" "FD_v2_2/VDD" 0.126276
-cap "FD_v2_7/4" "FD_v2_6/Clkb" 2.51825
-cap "FD_v2_6/7" "FD_v2_2/VDD" 2.77806
-cap "FD_v2_6/5" "FD_v2_7/Clkb" 2.60252
-cap "FD_v2_7/5" "FD_v2_6/Clkb" 2.60252
-cap "FD_v2_5/GND" "FD_v2_6/6" 37.7137
-cap "FD_v2_6/2" "FD_v2_5/Clk_Out" -5.68434e-14
-cap "FD_v2_5/GND" "FD_v2_7/4" 26.0114
-cap "FD_v2_7/Clk_In" "FD_v2_7/Clkb" 6.23494
-cap "FD_v2_6/4" "FD_v2_2/VDD" 9.09184
-cap "FD_v2_7/2" "FD_v2_5/GND" 11.4432
-cap "FD_v2_5/GND" "FD_v2_7/5" 23.9658
-cap "FD_v2_6/2" "FD_v2_7/3" 0.68546
-cap "FD_v2_5/GND" "FD_v2_6/5" 23.9658
-cap "FD_v2_5/GND" "FD_v2_5/Clk_Out" 12.4865
+cap "FD_v2_6/6" "FD_v2_7/Clk_In" 15.4867
+cap "FD_v2_2/VDD" "FD_v2_6/4" 9.09184
+cap "FD_v2_2/VDD" "FD_v2_6/6" 12.7538
+cap "FD_v2_5/GND" "FD_v2_7/2" 11.4432
+cap "FD_v2_5/GND" "FD_v2_7/6" 28.1609
 cap "FD_v2_7/Clk_In" "FD_v2_6/7" 23.7481
-cap "FD_v2_6/6" "FD_v2_2/VDD" 12.7538
-cap "FD_v2_7/Clk_In" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 16.7895
-cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" -130.115
-cap "FD_v2_7/VDD" "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" 70.4965
-cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 2.01546
-cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 72.0941
-cap "FD_v2_7/Clk_In" "FD_v2_7/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 0.566547
-cap "FD_v2_7/VDD" "FD_v2_7/Clk_In" 5.81161
-cap "FD_v2_6/7" "FD_v2_7/Clk_In" 13.6899
-cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 2.01546
-cap "sky130_fd_sc_hd__clkbuf_4_0/A" "sky130_fd_sc_hd__clkbuf_4_1/A" 1.98847
-cap "FD_v2_7/GND" "sky130_fd_sc_hd__clkbuf_4_1/A" 64.549
-cap "FD_v2_7/VDD" "sky130_fd_sc_hd__clkbuf_8_0/A" 5.81607
-cap "sky130_fd_sc_hd__clkbuf_2_0/A" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 16.7895
-cap "sky130_fd_sc_hd__clkbuf_4_0/A" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 307.734
-cap "FD_v2_7/GND" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 66.7364
-cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" 3.97695
-cap "FD_v2_7/Clk_In" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 35.2546
-cap "FD_v2_7/GND" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 79.5029
-cap "FD_v2_7/VDD" "sky130_fd_sc_hd__clkbuf_2_0/A" -34.3099
-cap "FD_v2_7/VDD" "sky130_fd_sc_hd__clkbuf_4_0/A" 5.78465
-cap "FD_v2_7/GND" "sky130_fd_sc_hd__clkbuf_8_1/A" 3.25789
-cap "FD_v2_7/GND" "FD_v2_7/VDD" -5.8028
-cap "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_0/A" 50.9448
-cap "sky130_fd_sc_hd__clkbuf_2_0/A" "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" 30.778
-cap "FD_v2_7/Clk_In" "sky130_fd_sc_hd__clkbuf_2_0/A" 1.98847
+cap "FD_v2_2/VDD" "FD_v2_6/7" 2.77806
+cap "FD_v2_2/VDD" "FD_v2_6/5" 5.78133
+cap "FD_v2_7/4" "FD_v2_6/Clkb" 2.51825
+cap "FD_v2_5/GND" "FD_v2_6/3" 6.375
+cap "FD_v2_5/GND" "FD_v2_6/2" 5.44323
+cap "FD_v2_7/2" "FD_v2_6/3" 0.68546
 cap "FD_v2_7/Clk_In" "FD_v2_7/Clkb" 6.23494
-cap "FD_v2_7/GND" "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" 9.29272
-cap "FD_v2_7/GND" "FD_v2_7/Clk_In" 205.119
-cap "FD_v2_7/GND" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 56.1057
-cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 188.97
-cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_4_0/A" 12.052
-cap "FD_v2_7/GND" "sky130_fd_sc_hd__clkbuf_8_0/A" 3.25789
-cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 0.530259
-cap "FD_v2_7/VDD" "sky130_fd_sc_hd__clkbuf_4_1/A" 8.38944
-cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_4_1/A" 5.21801
-cap "FD_v2_7/VDD" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 48.4715
-cap "sky130_fd_sc_hd__clkbuf_2_0/A" "sky130_fd_sc_hd__clkbuf_4_0/A" 16.9745
-cap "FD_v2_7/Clk_In" "sky130_fd_sc_hd__clkbuf_4_1/A" 16.1276
-cap "FD_v2_7/GND" "sky130_fd_sc_hd__clkbuf_4_0/A" 58.4298
-cap "FD_v2_7/GND" "sky130_fd_sc_hd__clkbuf_2_0/A" 13.9379
-cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/A" 42.3299
+cap "FD_v2_5/GND" "FD_v2_7/3" 11.5455
+cap "FD_v2_5/GND" "FD_v2_7/4" 26.0114
+cap "FD_v2_5/GND" "FD_v2_7/Clk_In" 39.3068
+cap "FD_v2_5/GND" "FD_v2_5/Clk_Out" 12.4865
+cap "FD_v2_7/2" "FD_v2_7/Clk_In" 0.566547
+cap "FD_v2_7/Clk_In" "FD_v2_7/Clkb" 6.23494
+cap "FD_v2_7/Clk_In" "sky130_fd_sc_hd__clkbuf_2_0/A" 1.98847
 cap "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 107.689
-cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" -106.4
+cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" 3.97695
+cap "FD_v2_7/VDD" "FD_v2_7/GND" -5.8028
+cap "sky130_fd_sc_hd__clkbuf_2_0/A" "sky130_fd_sc_hd__clkbuf_4_0/A" 16.9745
+cap "FD_v2_7/Clk_In" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 35.2546
+cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" -130.115
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 0.530259
+cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 72.0941
+cap "sky130_fd_sc_hd__clkbuf_2_0/A" "FD_v2_7/VDD" -34.3099
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_0/A" 307.734
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "FD_v2_7/GND" 64.549
+cap "FD_v2_7/Clk_In" "FD_v2_6/7" 13.6899
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_4_1/A" 5.21801
+cap "FD_v2_7/Clk_In" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 16.7895
+cap "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_0/A" 50.9448
+cap "FD_v2_7/VDD" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 48.4715
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_0/A" 2.01546
 cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_4_0/A" 12.052
-cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_8_1/A" 3.69079
-cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_8_1/A" 115.663
-cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_8_1/A" 5.21801
-cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 91.4874
-cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 95.6317
-cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 2.12629
-cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" 15.9078
-cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 16.8441
-cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" 23.4765
-cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_8_0/X" 5.64474
-cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 31.6347
-cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 99.2513
-cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 49.6879
-cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 12.0812
-cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_8_0/VPB" 107.515
+cap "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" "FD_v2_7/VDD" 70.4965
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "FD_v2_7/GND" 3.25789
+cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/A" 42.3299
+cap "FD_v2_7/Clk_In" "FD_v2_7/VDD" 5.81161
+cap "sky130_fd_sc_hd__clkbuf_8_0/A" "FD_v2_7/VDD" 5.81607
+cap "sky130_fd_sc_hd__clkbuf_2_0/A" "FD_v2_7/GND" 13.9379
+cap "FD_v2_7/VDD" "sky130_fd_sc_hd__clkbuf_4_0/A" 5.78465
+cap "FD_v2_7/GND" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 66.7364
+cap "FD_v2_7/Clk_In" "sky130_fd_sc_hd__clkbuf_4_1/A" 16.1276
+cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "FD_v2_7/GND" 56.1057
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 188.97
+cap "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" "FD_v2_7/GND" 9.29272
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_4_0/A" 1.98847
+cap "sky130_fd_sc_hd__clkbuf_2_0/A" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 16.7895
+cap "FD_v2_7/Clk_In" "FD_v2_7/GND" 205.119
+cap "sky130_fd_sc_hd__clkbuf_8_0/A" "FD_v2_7/GND" 3.25789
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "FD_v2_7/GND" 79.5029
+cap "sky130_fd_sc_hd__clkbuf_2_0/A" "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" 30.778
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" -106.4
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "FD_v2_7/VDD" 8.38944
+cap "FD_v2_7/Clk_In" "FD_v2_7/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 0.566547
+cap "FD_v2_7/GND" "sky130_fd_sc_hd__clkbuf_4_0/A" 58.4298
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 2.01546
 cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" -75.8408
-cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 22.1814
-cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 19.2794
-cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 7.42363
-cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 5.60377
-cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_8_1/X" 209.582
-cap "sky130_fd_sc_hd__clkbuf_4_0/A" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 8.28293
-cap "sky130_fd_sc_hd__clkbuf_8_1/VPB" "sky130_fd_sc_hd__clkbuf_8_1/A" 7.0036
-cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/X" 58.3619
-cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_1/VNB" -101.52
-cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/VPB" 128.924
-cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_8_0/X" 150.457
-cap "sky130_fd_sc_hd__clkbuf_8_0/VPB" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 7.10543e-15
-cap "sky130_fd_sc_hd__clkbuf_8_0/VPB" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" -21.0416
-cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/VPB" 24.3112
-cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 17.7031
-cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 2.12629
-cap "sky130_fd_sc_hd__clkbuf_8_1/VPB" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 8.16582
-cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 12.0812
+cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" 31.6347
+cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_8_0/A" 91.4874
 cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_8_1/VPB" 4.75714
-cap "sky130_fd_sc_hd__clkbuf_8_0/VPB" "sky130_fd_sc_hd__clkbuf_8_0/X" 100.606
+cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" 77.6983
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_1/VPB" 8.16582
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" 23.4765
+cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 49.6879
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_8_1/A" 5.21801
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 22.1814
+cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 2.12629
+cap "sky130_fd_sc_hd__clkbuf_8_0/X" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 43.1172
+cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_8_1/A" 115.663
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 79.3129
 cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_8_1/A" 3.97695
+cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_4_0/A" 12.052
+cap "sky130_fd_sc_hd__clkbuf_8_0/X" "sky130_fd_sc_hd__clkbuf_8_0/VPB" 100.606
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_0/VPB" -21.0416
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_8_1/VPB" 7.0036
+cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_8_0/X" 150.457
+cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" -101.52
+cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_8_0/X" 5.64474
+cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_8_1/X" 209.582
+cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 99.2513
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 7.42363
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/X" 58.3619
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 19.2794
+cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 95.6317
+cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 16.8441
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 15.9078
 cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_8_1/VPB" 6.84435
-cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/X" 43.1172
+cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/VPB" 22.4136
+cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/VPB" 24.3112
+cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 12.0812
+cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 12.0812
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 2.12629
+cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_8_0/VPB" 7.10543e-15
+cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 17.7031
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_8_1/X" 3.69079
+cap "sky130_fd_sc_hd__clkbuf_4_0/A" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 8.28293
 cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/A" 45.5248
-cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_1/A" 79.3129
-cap "sky130_fd_sc_hd__clkbuf_8_0/VPB" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 22.4136
-cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/A" 77.6983
-cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_1/X" -87.58
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/VPB" 128.924
+cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_8_0/VPB" 107.515
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 5.60377
+cap "sky130_fd_sc_hd__clkbuf_8_1/VGND" "sky130_fd_sc_hd__clkbuf_16_1/X" 137.717
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/X" 36.3246
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 2.12629
+cap "sky130_fd_sc_hd__clkbuf_8_1/VGND" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 35.2832
 cap "sky130_fd_sc_hd__clkbuf_8_1/VGND" "sky130_fd_sc_hd__clkbuf_8_0/X" 14.3373
-cap "sky130_fd_sc_hd__clkbuf_8_1/VGND" "sky130_fd_sc_hd__clkbuf_8_1/X" 14.1026
-cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/X" 43.4105
-cap "sky130_fd_sc_hd__clkbuf_8_0/X" "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" 36.3246
-cap "sky130_fd_sc_hd__clkbuf_8_1/VGND" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 183.828
-cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 23.8617
-cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/VPB" 175.349
-cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/VGND" 35.2832
-cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 27.6506
-cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 2.12629
-cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" 2.12629
+cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_8_0/X" 7.95389
+cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 27.6506
+cap "sky130_fd_sc_hd__clkbuf_8_0/VPB" "sky130_fd_sc_hd__clkbuf_16_1/X" 159.063
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 23.8617
+cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 2.12629
+cap "sky130_fd_sc_hd__clkbuf_8_1/VGND" "sky130_fd_sc_hd__clkbuf_16_0/X" 246.935
+cap "sky130_fd_sc_hd__clkbuf_8_0/VPB" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 175.349
+cap "sky130_fd_sc_hd__clkbuf_8_0/VPB" "sky130_fd_sc_hd__clkbuf_8_0/X" 6.81728
 cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/X" -140.43
 cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_8_1/VPB" 2.52354
-cap "sky130_fd_sc_hd__clkbuf_8_1/VGND" "sky130_fd_sc_hd__clkbuf_16_0/X" 246.935
-cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_8_0/X" 7.95389
-cap "sky130_fd_sc_hd__clkbuf_8_1/VPB" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 53.8883
-cap "sky130_fd_sc_hd__clkbuf_16_1/X" "sky130_fd_sc_hd__clkbuf_8_0/VPB" 159.063
-cap "sky130_fd_sc_hd__clkbuf_8_0/VPB" "sky130_fd_sc_hd__clkbuf_8_0/X" 6.81728
-cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 24.8218
-cap "sky130_fd_sc_hd__clkbuf_16_1/X" "sky130_fd_sc_hd__clkbuf_8_1/VGND" 137.717
-cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/X" 1.13687e-13
-cap "sky130_fd_sc_hd__clkbuf_16_0/VPB" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 21.3163
-cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 7.95389
-cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/VGND" 10.8508
+cap "sky130_fd_sc_hd__clkbuf_16_1/X" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" -87.58
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/VPB" 53.8883
+cap "sky130_fd_sc_hd__clkbuf_8_0/X" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 43.4105
+cap "sky130_fd_sc_hd__clkbuf_8_1/VGND" "sky130_fd_sc_hd__clkbuf_8_1/X" 14.1026
+cap "sky130_fd_sc_hd__clkbuf_8_1/VGND" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 183.828
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 24.8218
 cap "sky130_fd_sc_hd__clkbuf_16_0/VPB" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 4.25665
-cap "sky130_fd_sc_hd__clkbuf_16_1/X" "sky130_fd_sc_hd__clkbuf_16_0/VGND" 50.8858
 cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_0/VGND" 88.6816
-cap "sky130_fd_sc_hd__clkbuf_16_0/VPB" "sky130_fd_sc_hd__clkbuf_16_0/X" -52.2788
+cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 1.13687e-13
+cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_0/VPB" -52.2788
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 7.95389
+cap "sky130_fd_sc_hd__clkbuf_16_0/VGND" "sky130_fd_sc_hd__clkbuf_16_1/X" 50.8858
+cap "sky130_fd_sc_hd__clkbuf_16_0/VPB" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 21.3163
 cap "sky130_fd_sc_hd__clkbuf_16_0/VPB" "sky130_fd_sc_hd__clkbuf_16_1/X" -102.92
-cap "FD_v2_3/Clk_Out" "FD_v2_3/GND" 180.219
-cap "FD_v2_3/Clk_Out" "FD_v2_3/VDD" 58.4053
-cap "FD_v2_3/VDD" "FD_v2_3/GND" 52.0237
-cap "FD_v2_3/GND" "FD_v2_3/7" 12.0649
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/VGND" 10.8508
+cap "3-stage_cs-vco_dp9_0/XM24/a_n76_n129#" "FD_v2_3/Clk_Out" 180.219
+cap "FD_v2_3/Clk_Out" "3-stage_cs-vco_dp9_0/vdd" 64.3809
+cap "3-stage_cs-vco_dp9_0/XM24/a_n76_n129#" "FD_v2_3/VDD" 158.291
+cap "FD_v2_3/Clk_Out" "FD_v2_3/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" 10.7812
+cap "FD_v2_3/VDD" "FD_v2_3/Clk_Out" 182.674
+cap "FD_v2_3/VDD" "FD_v2_4/4" 14.1918
+cap "FD_v2_3/VDD" "3-stage_cs-vco_dp9_0/out" 73.0682
+cap "FD_v2_3/VDD" "FD_v2_4/Clkb" 80.2234
+cap "FD_v2_3/GND" "FD_v5_0/Clkb_buf" 10.9463
+cap "FD_v2_3/5" "FD_v2_3/GND" 23.9658
+cap "FD_v2_3/4" "FD_v2_3/GND" 17.7273
+cap "FD_v2_4/3" "FD_v2_3/GND" 35.7882
+cap "FD_v2_3/Clk_Out" "FD_v2_3/7" 5.05366
+cap "FD_v2_4/5" "FD_v2_3/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" 4.4
+cap "FD_v2_3/7" "3-stage_cs-vco_dp9_0/out" 2.14286
+cap "FD_v2_3/VDD" "FD_v2_4/5" 17.4046
+cap "FD_v2_4/Clkb" "FD_v2_3/7" 9.47414
+cap "FD_v2_3/GND" "FD_v5_0/dus" 69.2435
+cap "FD_v2_3/5" "FD_v5_0/Clkb_buf" 0.540984
+cap "FD_v2_3/2" "FD_v2_3/GND" 109.043
+cap "FD_v2_4/2" "FD_v2_3/VDD" 2.77806
+cap "FD_v2_3/4" "FD_v5_0/dus" 0.142857
+cap "FD_v2_3/GND" "FD_v2_3/Clk_Out" 55.2548
 cap "FD_v2_4/3" "FD_v2_3/2" 0.695783
 cap "FD_v2_3/VDD" "FD_v2_3/6" 30.6077
-cap "FD_v2_4/5" "FD_v2_3/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" 4.4
-cap "FD_v2_3/VDD" "FD_v2_3/4" 16.4158
-cap "FD_v2_3/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" "FD_v2_3/Clk_Out" 10.7812
-cap "FD_v2_3/6" "FD_v2_3/GND" 37.7137
-cap "FD_v5_0/Clkb_buf" "FD_v2_3/GND" 10.9463
-cap "FD_v2_3/VDD" "FD_v2_4/3" 21.0238
-cap "FD_v2_3/VDD" "FD_v2_4/2" 2.77806
+cap "FD_v2_3/GND" "3-stage_cs-vco_dp9_0/out" 1.72174
+cap "FD_v2_4/Clkb" "FD_v2_3/GND" 63.2731
 cap "FD_v2_3/5" "FD_v2_3/Clk_Out" 4.4
-cap "FD_v2_3/4" "FD_v2_3/GND" 17.7273
-cap "3-stage_cs-vco_dp9_0/out" "FD_v2_3/7" 2.14286
-cap "3-stage_cs-vco_dp9_0/out" "FD_v2_3/GND" 1.72174
-cap "FD_v2_3/VDD" "FD_v2_4/Clkb" 22.7108
-cap "FD_v2_3/VDD" "FD_v2_4/5" 17.4046
-cap "FD_v2_4/4" "FD_v2_3/VDD" 14.1918
+cap "m1_1968_n594#" "FD_v2_3/Clk_Out" 487.363
+cap "3-stage_cs-vco_dp9_0/vdd" "FD_v2_3/Clk_Out" 64.3809
+cap "FD_v2_3/VDD" "FD_v5_0/Clkb_int" 96.0717
 cap "FD_v2_3/VDD" "FD_v2_3/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" 43.6154
-cap "FD_v2_3/7" "FD_v2_4/Clkb" 9.47414
-cap "FD_v2_3/5" "FD_v2_3/VDD" 23.1859
-cap "FD_v2_3/VDD" "FD_v2_3/Clk_Out" 104.475
-cap "FD_v2_3/GND" "FD_v5_0/Clkb_int" 6.06486
-cap "FD_v5_0/dus" "FD_v2_3/GND" 10.9463
-cap "FD_v2_3/7" "FD_v2_3/Clk_Out" 5.05366
-cap "FD_v2_3/5" "FD_v2_3/GND" 23.9658
-cap "FD_v2_3/VDD" "FD_v2_3/2" 6.77581
-cap "FD_v2_3/GND" "FD_v2_3/Clk_Out" 486.292
-cap "FD_v2_3/6" "FD_v2_4/Clkb" 2.22581
-cap "FD_v2_3/VDD" "FD_v2_4/6" 8.25689
+cap "FD_v2_3/6" "FD_v2_3/GND" 240.989
+cap "FD_v2_3/VDD" "FD_v2_3/7" 89.4027
+cap "FD_v2_3/GND" "FD_v2_3/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" 13.9615
+cap "FD_v2_3/GND" "FD_v5_0/Clkb_int" 13.9129
 cap "FD_v2_3/6" "FD_v5_0/dus" 1.60108
-cap "FD_v2_3/GND" "FD_v2_3/2" 5.44323
-cap "FD_v2_3/5" "FD_v5_0/Clkb_buf" 0.540984
-cap "FD_v2_3/4" "FD_v5_0/dus" 0.142857
-cap "FD_v2_3/VDD" "FD_v2_3/7" 12.0794
-cap "FD_v2_3/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" "FD_v2_3/GND" 13.9615
-cap "FD_v2_3/VDD" "FD_v2_3/GND" -187.542
-cap "FD_v2_2/6" "FD_v2_2/GND" 37.7137
-cap "FD_v2_3/3" "FD_v2_2/GND" 11.5455
-cap "FD_v2_4/Clk_Out" "FD_v2_2/7" 2.875
-cap "FD_v2_2/5" "FD_v5_0/Clk_In_buf" 0.236559
-cap "FD_v2_2/GND" "FD_v2_2/7" 25.8788
-cap "FD_v2_3/3" "FD_v2_4/2" 0.695783
-cap "FD_v2_2/GND" "FD_v2_2/Clk_Out" 76.8732
-cap "FD_v2_4/2" "FD_v2_2/VDD" 6.64953
-cap "FD_v2_4/Clk_Out" "FD_v2_5/3" 14.7812
-cap "FD_v2_2/GND" "FD_v5_0/Clk_In_buf" 11.3614
-cap "FD_v5_0/Clkb_buf" "FD_v2_2/GND" 5.47317
-cap "FD_v2_2/6" "FD_v2_2/VDD" 30.6077
-cap "FD_v2_4/Clk_Out" "FD_v2_4/6" 3.3046
-cap "FD_v2_3/3" "FD_v2_2/VDD" 21.0238
-cap "FD_v2_3/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "FD_v2_2/GND" 6
-cap "FD_v2_2/6" "FD_v2_2/Clk_Out" 18.7444
+cap "FD_v2_3/VDD" "FD_v2_3/GND" 323.397
+cap "FD_v2_3/4" "FD_v2_3/VDD" 16.4158
+cap "FD_v2_3/5" "FD_v2_3/VDD" 23.1859
+cap "FD_v2_3/GND" "FD_v2_3/7" 12.0649
+cap "FD_v2_4/3" "FD_v2_3/VDD" 21.0238
+cap "FD_v2_3/VDD" "m1_1968_n594#" 359.121
+cap "FD_v2_4/Clkb" "FD_v2_3/6" 2.22581
+cap "FD_v2_3/VDD" "FD_v2_3/2" 6.77581
+cap "FD_v2_3/VDD" "FD_v2_4/6" 8.25689
+cap "FD_v2_2/VDD" "FD_v2_5/4" 13.6446
+cap "FD_v2_2/GND" "FD_v2_3/Clkb" 29.5029
+cap "FD_v2_2/Clk_Out" "FD_v2_2/GND" 76.8732
+cap "FD_v2_2/Clk_Out" "FD_v2_3/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 5.89315
+cap "FD_v5_0/Clkb_buf" "FD_v2_3/3" 2.64
+cap "FD_v2_5/Clkb" "FD_v2_4/Clk_Out" 30.8478
+cap "FD_v2_2/GND" "FD_v2_3/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 6
+cap "FD_v2_4/6" "FD_v2_4/Clk_Out" 3.3046
+cap "FD_v2_2/VDD" "FD_v2_2/6" 30.6077
 cap "FD_v2_2/VDD" "FD_v2_4/7" 23.8827
-cap "FD_v2_3/3" "FD_v2_2/Clk_Out" 34.375
-cap "FD_v2_2/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" "FD_v2_2/VDD" 18.2308
-cap "FD_v2_2/Clk_Out" "FD_v2_2/VDD" 81.2753
-cap "FD_v2_2/VDD" "FD_v2_2/7" 26.6608
-cap "FD_v2_2/Clk_Out" "FD_v2_4/7" 2.875
-cap "FD_v2_2/GND" "FD_v2_2/2" 5.44323
-cap "FD_v2_2/6" "FD_v5_0/Clk_In_buf" 40.3918
-cap "FD_v2_4/Clk_Out" "FD_v2_5/Clkb" 30.8478
-cap "FD_v2_3/3" "FD_v5_0/Clkb_buf" 2.64
-cap "FD_v5_0/3" "FD_v2_2/GND" 10.9463
-cap "FD_v2_2/Clk_Out" "FD_v2_2/7" 80.363
-cap "FD_v2_3/4" "FD_v2_2/GND" 8.28409
-cap "FD_v2_2/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" "FD_v5_0/Clk_In_buf" 0.214953
-cap "FD_v5_0/MNinv1/a_n73_37#" "FD_v2_2/6" 2.14286
-cap "FD_v5_0/Clk_In_buf" "FD_v2_2/7" 7.66667
-cap "FD_v2_3/Clkb" "FD_v2_2/GND" 29.5029
-cap "FD_v2_2/Clk_Out" "FD_v5_0/Clk_In_buf" 7.89641
-cap "FD_v5_0/Clkb_buf" "FD_v2_2/Clk_Out" 1.78571
-cap "FD_v2_3/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "FD_v2_2/VDD" 5.55612
-cap "FD_v2_2/Clk_Out" "FD_v5_0/dus" 2.63359
-cap "FD_v2_5/3" "FD_v2_2/VDD" 21.0238
-cap "FD_v2_3/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "FD_v2_2/7" 22.4939
-cap "FD_v2_3/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "FD_v2_2/Clk_Out" 5.89315
-cap "FD_v2_4/6" "FD_v2_2/VDD" 9.59694
-cap "FD_v5_0/3" "FD_v2_2/6" 2.18833
-cap "FD_v2_2/VDD" "FD_v2_2/2" 6.77581
-cap "FD_v2_2/6" "FD_v2_5/Clkb" 2.22581
-cap "FD_v2_3/4" "FD_v2_2/VDD" 6.86786
-cap "FD_v2_2/VDD" "FD_v2_5/Clkb" 60.5129
-cap "FD_v2_3/Clkb" "FD_v2_2/VDD" 60.5129
-cap "FD_v2_3/Clkb" "FD_v2_4/7" 9.47414
-cap "FD_v2_2/7" "FD_v2_5/Clkb" 9.47414
-cap "FD_v2_3/Clkb" "FD_v2_2/7" 4.45238
-cap "FD_v2_3/Clkb" "FD_v2_2/Clk_Out" 71.7391
-cap "FD_v2_5/4" "FD_v2_2/VDD" 13.6446
-cap "FD_v2_5/3" "FD_v2_2/2" 0.695783
-cap "FD_v2_2/GND" "FD_v2_2/5" 3.5625
-cap "FD_v5_0/3" "FD_v2_2/2" 3.06557
-cap "FD_v2_4/6" "FD_v2_3/Clkb" 2.22581
-cap "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_2/VDD" 2.77806
-cap "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_4/7" 2.96392
+cap "FD_v5_0/Clk_In_buf" "FD_v2_2/6" 40.3918
+cap "FD_v2_2/Clk_Out" "FD_v2_3/3" 34.375
+cap "FD_v2_5/Clkb" "FD_v2_2/7" 9.47414
+cap "FD_v5_0/Clk_In_buf" "FD_v2_2/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" 0.214953
 cap "FD_v2_2/5" "FD_v2_2/VDD" 2.85
-cap "FD_v2_4/Clk_Out" "FD_v2_2/VDD" 78.4973
+cap "FD_v2_2/5" "FD_v5_0/Clk_In_buf" 0.236559
+cap "FD_v2_2/GND" "FD_v2_3/3" 11.5455
+cap "FD_v2_3/Clkb" "FD_v2_2/7" 4.45238
+cap "FD_v2_4/Clk_Out" "FD_v2_5/3" 14.7812
+cap "FD_v2_2/Clk_Out" "FD_v2_2/7" 80.363
+cap "FD_v2_2/Clk_Out" "FD_v5_0/dus" 2.63359
+cap "FD_v2_2/2" "FD_v2_2/GND" 5.44323
+cap "FD_v2_2/GND" "FD_v2_3/4" 8.28409
+cap "FD_v2_2/2" "FD_v2_5/3" 0.695783
+cap "FD_v2_2/GND" "FD_v2_2/7" 25.8788
+cap "FD_v5_0/3" "FD_v2_2/GND" 10.9463
+cap "FD_v2_3/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "FD_v2_2/7" 22.4939
+cap "FD_v2_5/Clkb" "FD_v2_2/6" 2.22581
+cap "FD_v2_5/Clkb" "FD_v2_2/VDD" 60.5129
+cap "FD_v2_4/2" "FD_v2_3/3" 0.695783
+cap "FD_v2_2/VDD" "FD_v2_4/6" 9.59694
+cap "FD_v2_2/VDD" "FD_v2_3/Clkb" 60.5129
+cap "FD_v2_3/Clkb" "FD_v2_4/7" 9.47414
+cap "FD_v2_2/Clk_Out" "FD_v2_2/6" 18.7444
+cap "FD_v2_2/VDD" "FD_v2_2/Clk_Out" 81.2753
+cap "FD_v2_2/Clk_Out" "FD_v2_4/7" 2.875
+cap "FD_v2_4/Clk_Out" "FD_v2_2/7" 2.875
+cap "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_4/7" 2.96392
+cap "FD_v2_2/VDD" "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 2.77806
+cap "FD_v2_2/Clk_Out" "FD_v5_0/Clk_In_buf" 7.89641
+cap "FD_v2_2/VDD" "FD_v2_2/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" 18.2308
+cap "FD_v2_2/GND" "FD_v2_2/6" 37.7137
+cap "FD_v2_2/VDD" "FD_v2_5/3" 21.0238
+cap "FD_v2_2/VDD" "FD_v2_3/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 5.55612
+cap "FD_v2_2/2" "FD_v5_0/3" 3.06557
+cap "FD_v2_2/GND" "FD_v5_0/Clk_In_buf" 11.3614
+cap "FD_v2_2/5" "FD_v2_2/GND" 3.5625
+cap "FD_v2_2/6" "FD_v5_0/MNinv1/a_n73_37#" 2.14286
+cap "FD_v2_2/VDD" "FD_v2_3/3" 21.0238
 cap "FD_v2_4/Clk_Out" "FD_v2_4/7" 12.937
-cap "FD_v2_2/3" "FD_v5_0/4" 2.03077
-cap "FD_v2_2/Clk_In" "FD_v2_2/Clkb" 71.7391
-cap "FD_v2_1/7" "FD_v2_2/VDD" 26.6608
-cap "FD_v2_2/Clk_In" "FD_v5_0/a_2222_n669#" 81.9701
-cap "FD_v2_2/4" "FD_v2_2/GND" 26.0114
-cap "FD_v2_2/GND" "FD_v5_0/4" 21.8927
-cap "FD_v2_2/Clk_In" "FD_v2_2/VDD" 106.66
-cap "FD_v2_1/6" "FD_v2_2/GND" 9.55283
-cap "FD_v2_1/7" "FD_v2_5/Clk_Out" 2.875
-cap "FD_v2_6/Clkb" "FD_v2_1/7" 9.47414
-cap "FD_v5_0/3" "FD_v2_2/5" 3.7651
-cap "FD_v2_5/7" "FD_v2_2/Clkb" 9.47414
-cap "FD_v2_5/6" "FD_v2_2/Clkb" 2.22581
-cap "FD_v2_2/GND" "FD_v5_0/5" 10.9463
-cap "FD_v2_2/GND" "FD_v2_1/7" 25.8788
-cap "FD_v2_2/Clk_In" "FD_v2_2/3" 34.375
-cap "FD_v2_2/2" "FD_v2_1/7" 22.4939
-cap "FD_v2_2/Clk_In" "FD_v2_5/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" 10.7812
+cap "FD_v2_2/VDD" "FD_v2_4/Clk_Out" 78.4973
+cap "FD_v2_4/2" "FD_v2_2/VDD" 6.64953
+cap "FD_v5_0/Clkb_buf" "FD_v2_2/Clk_Out" 1.78571
+cap "FD_v2_4/6" "FD_v2_3/Clkb" 2.22581
+cap "FD_v5_0/Clkb_buf" "FD_v2_2/GND" 5.47317
+cap "FD_v2_2/VDD" "FD_v2_3/4" 6.86786
+cap "FD_v2_2/2" "FD_v2_2/VDD" 6.77581
+cap "FD_v2_2/VDD" "FD_v2_2/7" 26.6608
+cap "FD_v2_2/Clk_Out" "FD_v2_3/Clkb" 71.7391
+cap "FD_v5_0/3" "FD_v2_2/6" 2.18833
+cap "FD_v5_0/Clk_In_buf" "FD_v2_2/7" 7.66667
 cap "FD_v5_0/3" "FD_v2_2/Clkb" 3.34177
-cap "FD_v2_5/7" "FD_v2_2/VDD" 23.8827
-cap "FD_v2_5/6" "FD_v2_2/VDD" 17.8538
-cap "FD_v2_2/Clk_In" "FD_v2_2/GND" 76.8732
-cap "FD_v5_0/a_2222_n669#" "FD_v2_2/5" 1.77419
-cap "FD_v2_2/Clk_In" "FD_v2_2/2" 5.89315
-cap "FD_v2_2/VDD" "FD_v2_2/5" 20.3359
+cap "FD_v2_1/6" "FD_v2_2/Clk_In" 18.7444
+cap "FD_v2_6/Clkb" "FD_v2_5/Clk_Out" 30.8478
+cap "FD_v2_2/GND" "FD_v2_1/7" 25.8788
+cap "FD_v2_2/VDD" "FD_v2_2/4" 23.2837
+cap "FD_v2_1/7" "FD_v2_2/Clkb" 4.45238
+cap "FD_v2_2/VDD" "FD_v2_2/Clkb" 60.5129
+cap "FD_v2_2/VDD" "FD_v2_5/5" 17.4046
+cap "FD_v5_0/a_2222_n669#" "FD_v2_2/GND" 26.9811
+cap "FD_v2_5/7" "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 2.96392
+cap "FD_v5_0/a_2222_n669#" "FD_v2_2/4" 2.20447
+cap "FD_v5_0/5" "FD_v2_2/Clk_In" 2.34426
+cap "FD_v2_5/Clk_Out" "FD_v2_6/3" 14.7812
 cap "FD_v2_1/7" "FD_v5_0/4" 1.57143
 cap "FD_v5_0/a_2222_n669#" "FD_v2_2/Clkb" 128.661
-cap "FD_v2_2/VDD" "FD_v2_2/Clkb" 60.5129
-cap "FD_v2_5/7" "FD_v2_5/Clk_Out" 12.937
-cap "FD_v2_5/6" "FD_v2_5/Clk_Out" 3.3046
-cap "FD_v2_6/3" "FD_v2_2/VDD" 7.11079
-cap "FD_v2_1/6" "FD_v2_2/Clk_In" 18.7444
-cap "FD_v2_5/4" "FD_v2_2/VDD" 0.547194
-cap "FD_v2_2/Clk_In" "FD_v2_5/5" 4.4
-cap "FD_v5_0/3" "FD_v2_2/3" 8.13086
-cap "FD_v2_5/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" "FD_v2_2/5" 4.4
-cap "FD_v2_2/VDD" "FD_v2_5/2" 6.64953
-cap "FD_v5_0/3" "FD_v2_2/GND" 177.766
+cap "FD_v2_5/7" "FD_v2_2/VDD" 23.8827
+cap "FD_v2_2/GND" "FD_v2_2/Clk_In" 76.8732
 cap "FD_v2_2/GND" "FD_v2_2/5" 20.4033
-cap "FD_v2_6/3" "FD_v2_5/Clk_Out" 14.7812
-cap "FD_v2_2/Clk_In" "FD_v2_1/7" 80.363
-cap "FD_v2_2/Clk_In" "FD_v5_0/5" 2.34426
-cap "FD_v2_5/7" "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 2.96392
-cap "FD_v2_2/3" "FD_v2_2/VDD" 21.0238
-cap "FD_v2_2/GND" "FD_v2_2/Clkb" 43.4645
-cap "FD_v2_2/VDD" "FD_v2_5/Clk_Out" 78.4973
-cap "FD_v2_2/3" "FD_v2_5/2" 0.695783
-cap "FD_v2_5/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" "FD_v2_2/VDD" 43.6154
-cap "FD_v5_0/3" "FD_v2_2/4" 4.36576
-cap "FD_v2_2/GND" "FD_v5_0/a_2222_n669#" 26.9811
-cap "FD_v2_6/Clkb" "FD_v2_2/VDD" 45.2822
-cap "FD_v5_0/a_2222_n669#" "FD_v2_2/2" 2.20447
-cap "FD_v2_2/2" "FD_v2_2/VDD" 5.55612
-cap "FD_v2_2/4" "FD_v5_0/a_2222_n669#" 2.20447
-cap "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_2/VDD" 2.77806
-cap "FD_v2_2/4" "FD_v2_2/VDD" 23.2837
-cap "FD_v2_6/Clkb" "FD_v2_5/Clk_Out" 30.8478
-cap "FD_v2_2/GND" "FD_v2_2/3" 11.5455
-cap "FD_v2_1/6" "FD_v2_2/VDD" 8.41837
-cap "FD_v2_5/7" "FD_v2_2/Clk_In" 2.875
-cap "FD_v2_2/VDD" "FD_v2_5/5" 17.4046
-cap "FD_v2_1/7" "FD_v2_2/Clkb" 4.45238
-cap "FD_v5_0/3" "FD_v2_2/Clk_In" 9.51092
+cap "FD_v2_5/6" "FD_v2_2/Clkb" 2.22581
+cap "FD_v2_2/Clk_In" "FD_v2_2/Clkb" 71.7391
 cap "FD_v2_2/GND" "FD_v2_2/2" 6
-cap "FD_v2_1/6" "FD_v2_2/VDD" 22.1893
-cap "FD_v2_1/6" "FD_v2_5/GND" 28.1609
-cap "FD_v5_0/6" "FD_v2_1/Clk_In" 45.3525
-cap "FD_v2_1/Clkb" "FD_v2_6/6" 2.22581
-cap "FD_v5_0/Clkb_buf" "FD_v2_1/2" 3.50625
-cap "FD_v5_0/Clkb_buf" "FD_v2_1/Clk_In" 8.29184
-cap "FD_v2_6/5" "FD_v2_2/VDD" 17.4046
-cap "FD_v2_6/Clk_Out" "FD_v2_2/VDD" 6.52326
-cap "FD_v2_1/Clkb" "FD_v5_0/2" 1.80328
-cap "FD_v2_5/Clk_Out" "FD_v2_2/VDD" 43.6154
-cap "FD_v2_2/VDD" "FD_v2_6/6" 17.8538
-cap "FD_v5_0/Clkb_buf" "FD_v2_1/3" 4.02151
-cap "FD_v5_0/Clkb_buf" "FD_v2_1/4" 2.20447
-cap "FD_v2_6/Clkb" "FD_v2_2/VDD" 15.2308
-cap "FD_v2_1/5" "FD_v2_5/Clk_Out" 4.4
+cap "FD_v2_5/5" "FD_v2_2/Clk_In" 4.4
+cap "FD_v2_2/VDD" "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 2.77806
+cap "FD_v2_2/VDD" "FD_v2_5/2" 6.64953
+cap "FD_v2_2/VDD" "FD_v2_1/7" 26.6608
+cap "FD_v2_5/7" "FD_v2_2/Clk_In" 2.875
+cap "FD_v2_2/GND" "FD_v2_2/3" 11.5455
+cap "FD_v2_5/Clk_Out" "FD_v2_5/7" 12.937
+cap "FD_v2_2/Clk_In" "FD_v5_0/3" 9.51092
+cap "FD_v2_2/5" "FD_v5_0/3" 3.7651
+cap "FD_v2_2/VDD" "FD_v2_5/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" 43.6154
+cap "FD_v2_2/VDD" "FD_v2_5/6" 17.8538
+cap "FD_v2_2/3" "FD_v5_0/4" 2.03077
+cap "FD_v2_1/7" "FD_v2_2/Clk_In" 80.363
+cap "FD_v2_2/VDD" "FD_v2_2/Clk_In" 106.66
+cap "FD_v2_2/VDD" "FD_v2_2/5" 20.3359
+cap "FD_v2_2/GND" "FD_v2_1/6" 9.55283
+cap "FD_v2_5/Clk_Out" "FD_v2_1/7" 2.875
+cap "FD_v2_5/Clk_Out" "FD_v2_2/VDD" 78.4973
+cap "FD_v2_1/7" "FD_v2_2/2" 22.4939
+cap "FD_v2_2/3" "FD_v5_0/3" 8.13086
+cap "FD_v2_2/VDD" "FD_v2_2/2" 5.55612
+cap "FD_v5_0/a_2222_n669#" "FD_v2_2/Clk_In" 81.9701
+cap "FD_v5_0/a_2222_n669#" "FD_v2_2/5" 1.77419
+cap "FD_v2_5/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" "FD_v2_2/Clk_In" 10.7812
+cap "FD_v2_2/3" "FD_v2_5/2" 0.695783
+cap "FD_v2_5/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" "FD_v2_2/5" 4.4
+cap "FD_v5_0/a_2222_n669#" "FD_v2_2/2" 2.20447
+cap "FD_v5_0/5" "FD_v2_2/GND" 10.9463
+cap "FD_v2_2/VDD" "FD_v2_2/3" 21.0238
+cap "FD_v2_5/Clk_Out" "FD_v2_5/6" 3.3046
+cap "FD_v2_2/2" "FD_v2_2/Clk_In" 5.89315
+cap "FD_v2_2/GND" "FD_v2_2/4" 26.0114
+cap "FD_v2_2/GND" "FD_v2_2/Clkb" 43.4645
+cap "FD_v2_6/Clkb" "FD_v2_1/7" 9.47414
+cap "FD_v2_5/4" "FD_v2_2/VDD" 0.547194
+cap "FD_v2_6/Clkb" "FD_v2_2/VDD" 45.2822
+cap "FD_v2_2/VDD" "FD_v2_1/6" 8.41837
+cap "FD_v2_2/3" "FD_v2_2/Clk_In" 34.375
+cap "FD_v2_2/GND" "FD_v5_0/4" 21.8927
+cap "FD_v2_2/GND" "FD_v5_0/3" 177.766
+cap "FD_v2_5/7" "FD_v2_2/Clkb" 9.47414
+cap "FD_v2_2/VDD" "FD_v2_6/3" 7.11079
+cap "FD_v2_2/4" "FD_v5_0/3" 4.36576
 cap "FD_v2_6/7" "FD_v2_1/Clk_In" 2.875
-cap "FD_v2_5/GND" "FD_v5_0/2" 10.9463
-cap "FD_v2_6/3" "FD_v2_1/2" 0.695783
-cap "FD_v2_1/Clkb" "FD_v5_0/Clkb_buf" 84.4225
-cap "FD_v5_0/6" "FD_v2_5/GND" 25.6327
-cap "FD_v5_0/Clkb_buf" "FD_v2_5/GND" 27.3962
-cap "FD_v2_1/5" "FD_v5_0/6" 5.30025
-cap "FD_v2_1/2" "FD_v2_2/VDD" 12.3319
-cap "FD_v2_1/Clkb" "FD_v2_6/7" 9.47414
-cap "FD_v2_1/Clk_In" "FD_v2_2/VDD" 48.919
-cap "FD_v2_5/GND" "FD_v2_1/2" 11.4432
-cap "FD_v2_5/GND" "FD_v2_1/Clk_In" 20.4435
-cap "FD_v2_6/4" "FD_v2_2/VDD" 14.1918
-cap "FD_v2_6/2" "FD_v2_1/3" 0.695783
-cap "FD_v5_0/5" "FD_v2_1/4" 2.95331
-cap "FD_v2_6/Clkb" "FD_v2_1/6" 2.22581
 cap "FD_v2_6/7" "FD_v2_2/VDD" 9.30132
-cap "FD_v2_1/3" "FD_v2_2/VDD" 21.0238
-cap "FD_v2_5/GND" "FD_v2_1/3" 11.5455
-cap "FD_v2_2/VDD" "FD_v2_1/4" 23.2837
-cap "FD_v2_5/GND" "FD_v2_1/4" 26.0114
-cap "FD_v2_6/3" "FD_v2_2/VDD" 13.9131
-cap "FD_v2_1/Clkb" "FD_v5_0/5" 9.18987
-cap "FD_v2_1/Clkb" "FD_v2_2/VDD" 22.7108
+cap "FD_v5_0/2" "FD_v2_5/GND" 10.9463
+cap "FD_v2_1/Clkb" "FD_v2_6/6" 2.22581
+cap "FD_v2_5/GND" "FD_v2_1/6" 28.1609
+cap "FD_v2_2/VDD" "FD_v2_1/3" 21.0238
+cap "FD_v2_1/Clkb" "FD_v5_0/2" 1.80328
+cap "FD_v2_1/5" "FD_v2_5/Clk_Out" 4.4
+cap "FD_v2_1/6" "FD_v5_0/Clkb_buf" 37.7139
+cap "FD_v2_5/GND" "FD_v5_0/Clkb_buf" 27.3962
+cap "FD_v2_5/GND" "FD_v2_1/2" 11.4432
+cap "FD_v2_5/GND" "FD_v2_1/5" 23.9658
+cap "FD_v2_6/2" "FD_v2_1/3" 0.695783
+cap "FD_v5_0/Clkb_buf" "FD_v2_1/2" 3.50625
+cap "FD_v2_1/6" "FD_v2_6/Clkb" 2.22581
+cap "FD_v2_2/VDD" "FD_v2_6/6" 17.8538
+cap "FD_v2_1/2" "FD_v2_6/3" 0.695783
 cap "FD_v2_1/Clkb" "FD_v2_5/GND" 19.132
-cap "FD_v5_0/6" "FD_v2_1/6" 2.45093
-cap "FD_v5_0/Clkb_buf" "FD_v2_1/6" 37.7139
-cap "FD_v2_6/2" "FD_v2_2/VDD" 6.64953
-cap "FD_v2_5/GND" "FD_v5_0/5" 177.766
-cap "FD_v2_1/5" "FD_v5_0/5" 8.13086
-cap "FD_v2_1/5" "FD_v2_2/VDD" 23.1859
-cap "FD_v2_1/5" "FD_v2_5/GND" 23.9658
-cap "FD_v2_6/5" "FD_v2_1/Clk_In" 4.4
-cap "FD_v2_5/Clk_Out" "FD_v2_1/Clk_In" 10.7812
+cap "FD_v2_1/Clkb" "FD_v5_0/Clkb_buf" 84.4225
+cap "FD_v2_5/GND" "FD_v2_1/4" 26.0114
+cap "FD_v2_1/Clk_In" "FD_v2_5/Clk_Out" 10.7812
+cap "FD_v2_1/6" "FD_v5_0/6" 2.45093
+cap "FD_v2_1/4" "FD_v5_0/Clkb_buf" 2.20447
+cap "FD_v2_5/GND" "FD_v5_0/6" 25.6327
+cap "FD_v2_2/VDD" "FD_v2_5/Clk_Out" 43.6154
 cap "FD_v2_1/6" "FD_v5_0/5" 7.99683
-cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 35.5947
-cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_16_3/A" 1.2349
-cap "FD_v2_6/VDD" "FD_v2_6/Clk_Out" 5.81161
-cap "FD_v5_0/GND" "FD_v2_6/VDD" 45.6124
-cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "FD_v2_6/VDD" 29.7555
-cap "sky130_fd_sc_hd__clkbuf_16_3/X" "FD_v2_6/VDD" 1.96107
-cap "FD_v2_6/VDD" "FD_v5_0/Clk_Out" 0.252551
-cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "FD_v2_6/VDD" 24
+cap "FD_v2_5/GND" "FD_v2_1/Clk_In" 20.4435
+cap "FD_v2_5/GND" "FD_v5_0/5" 177.766
+cap "FD_v2_1/6" "FD_v2_2/VDD" 22.1893
+cap "FD_v5_0/Clkb_buf" "FD_v2_1/Clk_In" 8.29184
+cap "FD_v5_0/6" "FD_v2_1/5" 5.30025
+cap "FD_v5_0/5" "FD_v2_1/5" 8.13086
+cap "FD_v2_1/Clk_In" "FD_v2_6/5" 4.4
+cap "FD_v2_2/VDD" "FD_v2_1/2" 12.3319
+cap "FD_v2_2/VDD" "FD_v2_1/5" 23.1859
+cap "FD_v2_2/VDD" "FD_v2_6/5" 17.4046
+cap "FD_v2_2/VDD" "FD_v2_6/Clk_Out" 6.52326
+cap "FD_v2_2/VDD" "FD_v2_6/3" 13.9131
+cap "FD_v2_2/VDD" "FD_v2_6/4" 14.1918
+cap "FD_v2_1/Clkb" "FD_v5_0/5" 9.18987
+cap "FD_v2_2/VDD" "FD_v2_6/Clkb" 15.2308
+cap "FD_v2_1/Clkb" "FD_v2_2/VDD" 22.7108
+cap "FD_v2_1/4" "FD_v5_0/5" 2.95331
+cap "FD_v2_1/4" "FD_v2_2/VDD" 23.2837
+cap "FD_v5_0/6" "FD_v2_1/Clk_In" 45.3525
+cap "FD_v2_2/VDD" "FD_v2_1/Clk_In" 48.919
+cap "FD_v2_1/Clkb" "FD_v2_6/7" 9.47414
+cap "FD_v2_5/GND" "FD_v2_1/3" 11.5455
+cap "FD_v5_0/Clkb_buf" "FD_v2_1/3" 4.02151
+cap "FD_v2_6/2" "FD_v2_2/VDD" 6.64953
+cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/A" 8.61495
 cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/A" 118.763
-cap "FD_v5_0/GND" "FD_v2_6/Clk_Out" 74.6465
-cap "FD_v5_0/GND" "FD_v5_0/MNbuf1/a_n73_37#" 1.0102
-cap "FD_v5_0/GND" "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" 100.884
-cap "sky130_fd_sc_hd__clkbuf_4_1/A" "FD_v2_6/VDD" 63.3118
-cap "FD_v5_0/GND" "sky130_fd_sc_hd__clkbuf_16_3/X" 2.19415
-cap "FD_v5_0/GND" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 56.7732
-cap "FD_v5_0/GND" "FD_v5_0/Clk_Out" 124.164
 cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_4_1/A" 6.8484
-cap "sky130_fd_sc_hd__clkbuf_16_3/X" "FD_v5_0/GND" 11.3904
-cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "FD_v2_6/VDD" 100.332
-cap "sky130_fd_sc_hd__clkbuf_4_1/A" "FD_v2_6/Clk_Out" 2.4497
+cap "FD_v5_0/GND" "FD_v2_6/Clk_Out" 74.6465
+cap "FD_v5_0/GND" "sky130_fd_sc_hd__clkbuf_16_3/X" 11.3904
 cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "sky130_fd_sc_hd__clkbuf_4_1/A" 2.08516
-cap "FD_v2_6/VDD" "sky130_fd_sc_hd__clkbuf_16_3/A" 7.23176
-cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 8.61495
+cap "FD_v2_6/Clk_Out" "sky130_fd_sc_hd__clkbuf_4_1/A" 2.4497
+cap "FD_v5_0/GND" "sky130_fd_sc_hd__clkbuf_16_3/X" 2.19415
+cap "FD_v5_0/GND" "FD_v2_6/VDD" 45.6124
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "FD_v2_6/VDD" 100.332
+cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "FD_v2_6/VDD" 24
+cap "sky130_fd_sc_hd__clkbuf_16_3/A" "FD_v2_6/VDD" 7.23176
 cap "sky130_fd_sc_hd__clkbuf_8_1/A" "FD_v2_6/VDD" 5.81607
-cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "FD_v5_0/GND" 5.83377
-cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" 2.11421
+cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "FD_v2_6/VDD" 29.7555
+cap "FD_v2_6/VDD" "FD_v5_0/Clk_Out" 0.252551
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "FD_v2_6/VDD" 63.3118
+cap "FD_v2_6/Clk_Out" "FD_v2_6/VDD" 5.81161
+cap "FD_v5_0/GND" "FD_v5_0/MNbuf1/a_n73_37#" 1.0102
+cap "FD_v5_0/GND" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 56.7732
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 35.5947
+cap "sky130_fd_sc_hd__clkbuf_16_3/A" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 1.2349
 cap "FD_v5_0/GND" "sky130_fd_sc_hd__clkbuf_16_3/A" 60.8993
-cap "sky130_fd_sc_hd__clkbuf_16_3/VPWR" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 22.4136
-cap "sky130_fd_sc_hd__clkbuf_16_3/X" "sky130_fd_sc_hd__clkbuf_8_1/A" 0.551532
-cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 2.43529
-cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" 11.7315
-cap "sky130_fd_sc_hd__clkbuf_16_3/VGND" "sky130_fd_sc_hd__clkbuf_8_1/A" 3.14714
-cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_16_3/X" 15.5833
-cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_8_1/A" 6.8484
-cap "sky130_fd_sc_hd__clkbuf_16_3/VPWR" "sky130_fd_sc_hd__clkbuf_8_1/A" 166.966
-cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" 38.1486
-cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_16_3/VGND" 20.3747
-cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_1/A" 16.3188
-cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/A" 2.77852
-cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" 187.38
-cap "sky130_fd_sc_hd__clkbuf_16_3/VPWR" "li_8587_462#" -87.955
-cap "sky130_fd_sc_hd__clkbuf_16_3/VGND" "sky130_fd_sc_hd__clkbuf_16_3/X" 550.297
-cap "sky130_fd_sc_hd__clkbuf_16_3/VPWR" "sky130_fd_sc_hd__clkbuf_16_3/X" 374.019
-cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/A" 32.1735
-cap "sky130_fd_sc_hd__clkbuf_16_3/VPWR" "sky130_fd_sc_hd__clkbuf_16_3/VGND" 4.75714
-cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 8.67403
-cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 18.5887
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "FD_v5_0/GND" 5.83377
+cap "FD_v5_0/GND" "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" 100.884
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" 2.11421
+cap "FD_v2_6/VDD" "sky130_fd_sc_hd__clkbuf_16_3/X" 1.96107
+cap "FD_v5_0/GND" "FD_v5_0/Clk_Out" 124.164
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" -86.6276
 cap "sky130_fd_sc_hd__clkbuf_16_3/VGND" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 0.174142
-cap "sky130_fd_sc_hd__clkbuf_16_3/VGND" "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" 207.187
-cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 5.77345
-cap "sky130_fd_sc_hd__clkbuf_16_3/VPWR" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" -86.6276
-cap "sky130_fd_sc_hd__clkbuf_16_3/VPWR" "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" -1.81899e-12
-cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 2.67915
-cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_8_1/A" 1.95395
-cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/X" 3.66667
-cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/X" 173.899
-cap "sky130_fd_sc_hd__clkbuf_16_3/VPWR" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 79.236
-cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" 38.1486
-cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" 12.349
-cap "sky130_fd_sc_hd__clkbuf_16_3/VGND" "sky130_fd_sc_hd__clkbuf_16_3/X" 453.974
-cap "sky130_fd_sc_hd__clkbuf_16_3/VGND" "sky130_fd_sc_hd__clkbuf_16_3/VPB" -2.4869e-14
 cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 8.67403
-cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_16_3/VPB" 16.8595
-cap "sky130_fd_sc_hd__clkbuf_16_3/X" "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" -4.54747e-13
-cap "sky130_fd_sc_hd__clkbuf_16_3/VPB" "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" -2.04636e-12
-cap "sky130_fd_sc_hd__clkbuf_16_3/VGND" "sky130_fd_sc_hd__clkbuf_16_0/X" 42.8826
-cap "sky130_fd_sc_hd__clkbuf_16_3/X" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 6.70188
-cap "sky130_fd_sc_hd__clkbuf_16_3/VPB" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 109.547
+cap "sky130_fd_sc_hd__clkbuf_16_3/X" "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" 173.899
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 16.3188
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 18.5887
+cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" 38.1486
+cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" 187.38
+cap "sky130_fd_sc_hd__clkbuf_16_3/VGND" "sky130_fd_sc_hd__clkbuf_8_1/X" 20.3747
+cap "sky130_fd_sc_hd__clkbuf_16_3/X" "sky130_fd_sc_hd__clkbuf_8_1/X" 15.5833
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_8_1/X" 1.95395
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_4_1/A" 6.8484
+cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" 79.236
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" 22.4136
+cap "sky130_fd_sc_hd__clkbuf_16_3/X" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 3.66667
+cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 5.77345
+cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 11.7315
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 32.1735
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 2.67915
+cap "li_8587_462#" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" -87.955
+cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 2.43529
+cap "sky130_fd_sc_hd__clkbuf_16_3/X" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" 374.019
+cap "sky130_fd_sc_hd__clkbuf_16_3/VGND" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" 4.75714
+cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" -1.81899e-12
+cap "sky130_fd_sc_hd__clkbuf_16_3/X" "sky130_fd_sc_hd__clkbuf_16_3/VGND" 550.297
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" 166.966
+cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/VGND" 207.187
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_16_3/VGND" 3.14714
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_16_3/X" 0.551532
+cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/A" 2.77852
 cap "sky130_fd_sc_hd__clkbuf_16_3/VPB" "sky130_fd_sc_hd__clkbuf_16_3/X" 112.952
-cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_3/X" 18.7
-cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_3/VPB" 180.023
-cap "sky130_fd_sc_hd__clkbuf_16_3/VGND" "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" 221.213
-cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" 4.01342
-cap "li_8587_462#" "sky130_fd_sc_hd__clkbuf_16_3/VPB" -87.955
+cap "sky130_fd_sc_hd__clkbuf_16_3/VGND" "sky130_fd_sc_hd__clkbuf_16_0/X" 42.8826
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/X" 6.70188
+cap "sky130_fd_sc_hd__clkbuf_16_3/VPB" "sky130_fd_sc_hd__clkbuf_16_3/VGND" -2.4869e-14
+cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 4.01342
+cap "sky130_fd_sc_hd__clkbuf_16_3/VPB" "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" -2.04636e-12
+cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 12.349
+cap "sky130_fd_sc_hd__clkbuf_16_3/VPB" "li_8587_462#" -87.955
+cap "sky130_fd_sc_hd__clkbuf_16_3/VPB" "sky130_fd_sc_hd__clkbuf_16_0/X" 180.023
+cap "sky130_fd_sc_hd__clkbuf_16_3/VGND" "sky130_fd_sc_hd__clkbuf_16_3/X" 453.974
+cap "sky130_fd_sc_hd__clkbuf_16_3/VPB" "sky130_fd_sc_hd__clkbuf_8_1/X" 16.8595
+cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" 38.1486
 cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 18.5887
-cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/X" 6.70188
-cap "sky130_fd_sc_hd__clkbuf_16_0/VPB" "sky130_fd_sc_hd__clkbuf_16_0/VGND" 1.13687e-13
-cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/VGND" 85.5178
+cap "sky130_fd_sc_hd__clkbuf_16_3/VPB" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 109.547
+cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 8.67403
+cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/X" -4.54747e-13
+cap "sky130_fd_sc_hd__clkbuf_16_3/X" "sky130_fd_sc_hd__clkbuf_16_0/X" 18.7
+cap "sky130_fd_sc_hd__clkbuf_16_3/VGND" "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" 221.213
+cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_0/VPB" 180.381
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/VPB" 4.25665
+cap "sky130_fd_sc_hd__clkbuf_16_0/VGND" "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" 85.5178
+cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/VPB" 29.7555
+cap "sky130_fd_sc_hd__clkbuf_16_0/VGND" "sky130_fd_sc_hd__clkbuf_16_0/VPB" 1.13687e-13
 cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/X" 4.01342
-cap "sky130_fd_sc_hd__clkbuf_16_0/VPB" "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" 29.7555
-cap "sky130_fd_sc_hd__clkbuf_16_0/VPB" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 4.25665
-cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_0/VGND" 20.6392
-cap "sky130_fd_sc_hd__clkbuf_16_0/VPB" "sky130_fd_sc_hd__clkbuf_16_0/X" 180.381
-cap "3-stage_cs-vco_dp9_0/sel2" "3-stage_cs-vco_dp9_0/sel1" 21.9036
-cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" "3-stage_cs-vco_dp9_0/sel3" 103.016
-cap "3-stage_cs-vco_dp9_0/sel3" "3-stage_cs-vco_dp9_0/sel2" 18.1536
+cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/X" 6.70188
+cap "sky130_fd_sc_hd__clkbuf_16_0/VGND" "sky130_fd_sc_hd__clkbuf_16_0/X" 20.6392
 cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" "3-stage_cs-vco_dp9_0/sel2" 130.64
-cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" "3-stage_cs-vco_dp9_0/sel0" 99.539
-cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/in" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" 245.05
-cap "3-stage_cs-vco_dp9_0/sel0" "3-stage_cs-vco_dp9_0/sel1" 36.6895
-cap "3-stage_cs-vco_dp9_0/sel3" "3-stage_cs-vco_dp9_0/sel0" 71.7863
-cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" "3-stage_cs-vco_dp9_0/sel0" 81.739
-cap "3-stage_cs-vco_dp9_0/sel0" "3-stage_cs-vco_dp9_0/sel2" 43.8795
-cap "3-stage_cs-vco_dp9_0/sel3" "3-stage_cs-vco_dp9_0/sel1" 70.8562
+cap "3-stage_cs-vco_dp9_0/sel3" "3-stage_cs-vco_dp9_0/sel2" 18.1536
 cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/in" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" 11.0169
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" "3-stage_cs-vco_dp9_0/sel3" 103.016
+cap "3-stage_cs-vco_dp9_0/sel0" "3-stage_cs-vco_dp9_0/sel2" 43.8795
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" "3-stage_cs-vco_dp9_0/vco_switch_p_0/in" 245.05
+cap "3-stage_cs-vco_dp9_0/sel1" "3-stage_cs-vco_dp9_0/sel2" 21.9036
+cap "3-stage_cs-vco_dp9_0/sel0" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" 99.539
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" "3-stage_cs-vco_dp9_0/sel0" 81.739
 cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" "3-stage_cs-vco_dp9_0/sel1" 133.343
-cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/sel" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" 18.377
-cap "w_7680_n1770#" "3-stage_cs-vco_dp9_0/pg0" 7.61076
-cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/in" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" 4.54747e-13
+cap "3-stage_cs-vco_dp9_0/sel0" "3-stage_cs-vco_dp9_0/sel3" 71.7863
+cap "3-stage_cs-vco_dp9_0/sel3" "3-stage_cs-vco_dp9_0/sel1" 70.8562
+cap "3-stage_cs-vco_dp9_0/sel0" "3-stage_cs-vco_dp9_0/sel1" 36.6895
 cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" "3-stage_cs-vco_dp9_0/pg0" 28.773
-cap "w_7680_n1770#" "3-stage_cs-vco_dp9_0/pg1" 7.37105
-cap "3-stage_cs-vco_dp9_0/sel3" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" -1.3874
-cap "3-stage_cs-vco_dp9_0/pg1" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" 28.3946
-cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/in" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" 22.0339
-cap "3-stage_cs-vco_dp9_0/vco_switch_p_1/sel" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" 14.0684
-cap "3-stage_cs-vco_dp9_0/vco_switch_p_2/sel" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" 12.5
-cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_p_2/sel" 1.51515
-cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/pg3" 20.7447
-cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/vdd" 111.872
-cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/pg2" 20.9677
-cap "3-stage_cs-vco_dp9_0/XM12/a_15_n240#" "3-stage_cs-vco_dp9_0/out" 0.456221
-cap "3-stage_cs-vco_dp9_0/pg3" "3-stage_cs-vco_dp9_0/vdd" 5.28455
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_p_1/sel" 14.0684
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_p_0/in" 22.0339
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_p_2/sel" 12.5
+cap "3-stage_cs-vco_dp9_0/pg0" "m1_n1029_1423#" 7.61076
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/in" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" 4.54747e-13
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_p_0/sel" 18.377
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" "3-stage_cs-vco_dp9_0/sel3" -1.3874
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" "3-stage_cs-vco_dp9_0/pg1" 28.3946
+cap "3-stage_cs-vco_dp9_0/pg1" "m1_n1029_1423#" 7.37105
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_2/in" "3-stage_cs-vco_dp9_0/vss" 11.0169
+cap "3-stage_cs-vco_dp9_0/pg2" "3-stage_cs-vco_dp9_0/vss" 20.9677
+cap "FD_v5_0/VDD" "3-stage_cs-vco_dp9_0/vss" 147.001
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_2/sel" "3-stage_cs-vco_dp9_0/vss" 1.51515
 cap "3-stage_cs-vco_dp9_0/vdd" "3-stage_cs-vco_dp9_0/pg2" 5.31335
-cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_p_3/sel" 13.1673
+cap "3-stage_cs-vco_dp9_0/out" "3-stage_cs-vco_dp9_0/XM12/a_15_n240#" 0.456221
+cap "3-stage_cs-vco_dp9_0/vdd" "3-stage_cs-vco_dp9_0/vss" 80.1058
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_3/sel" "3-stage_cs-vco_dp9_0/vss" 13.1673
 cap "3-stage_cs-vco_dp9_0/vdd" "3-stage_cs-vco_dp9_0/vco_switch_p_3/sel" 0.114213
-cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_p_2/in" 11.0169
-cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/out" -10.163
-cap "3-stage_cs-vco_dp9_0/vdd" "3-stage_cs-vco_dp9_0/out" 52.005
-cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/vdd" -275.329
-cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/out" -146.715
-cap "FD_v5_0/dus" "3-stage_cs-vco_dp9_0/out" 0.876106
-cap "3-stage_cs-vco_dp9_0/out" "3-stage_cs-vco_dp9_0/vdd" 1.59677
-cap "FD_v5_0/Clkb_int" "3-stage_cs-vco_dp9_0/out" 1.03321
-cap "FD_v5_0/Clk_Out" "FD_v5_0/7" 3.88522
-cap "w_7680_n1770#" "3-stage_cs-vco_dp9_0/pg1" 7.37105
-cap "w_7680_n1770#" "3-stage_cs-vco_dp9_0/pg0" 7.61076
-cap "3-stage_cs-vco_dp9_0/vdd" "3-stage_cs-vco_dp9_0/pg2" 5.31335
+cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/pg3" 20.7447
+cap "3-stage_cs-vco_dp9_0/out" "3-stage_cs-vco_dp9_0/vss" -10.163
 cap "3-stage_cs-vco_dp9_0/vdd" "3-stage_cs-vco_dp9_0/pg3" 5.28455
-cap "3-stage_cs-vco_dp9_0/vdd" "3-stage_cs-vco_dp9_0/vss" 70.3896
-cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/vdd" 29.7491
-merge "FD_v5_0/VDD" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" -13951.4 0 0 0 0 -999772 -47606 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -278714 -964 1959366 -74764 125300 -6284 -157760 -3748 0 0 0 0 0 0
-merge "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" "vdd"
-merge "vdd" "m1_n889_1476#"
-merge "m1_n889_1476#" "sky130_fd_sc_hd__clkbuf_16_2/VPWR"
+cap "3-stage_cs-vco_dp9_0/vdd" "3-stage_cs-vco_dp9_0/out" 52.005
+cap "3-stage_cs-vco_dp9_0/out" "FD_v5_0/dus" 0.876106
+cap "FD_v5_0/VDD" "FD_v5_0/Clkb_int" 127.175
+cap "FD_v5_0/VDD" "FD_v2_3/GND" 139.832
+cap "3-stage_cs-vco_dp9_0/out" "FD_v5_0/Clkb_int" 1.03321
+cap "3-stage_cs-vco_dp9_0/out" "FD_v2_3/GND" 1.72174
+cap "FD_v5_0/dus" "FD_v2_3/GND" 196.424
+cap "FD_v5_0/VDD" "3-stage_cs-vco_dp9_0/vss" 359.705
+cap "3-stage_cs-vco_dp9_0/out" "3-stage_cs-vco_dp9_0/vss" -148.437
+cap "FD_v5_0/Clkb_int" "FD_v2_3/GND" 34.128
+cap "FD_v5_0/VDD" "3-stage_cs-vco_dp9_0/out" 227.47
+cap "FD_v5_0/Clk_Out" "FD_v5_0/7" 3.88522
+cap "m1_n1029_1423#" "3-stage_cs-vco_dp9_0/pg1" 7.37105
+cap "m1_n1029_1423#" "3-stage_cs-vco_dp9_0/pg0" 7.61076
+cap "3-stage_cs-vco_dp9_0/vdd" "3-stage_cs-vco_dp9_0/vss" 6.50972
+cap "vdd_2" "3-stage_cs-vco_dp9_0/vss" 14.2258
+cap "3-stage_cs-vco_dp9_0/pg3" "3-stage_cs-vco_dp9_0/vdd" 5.28455
+cap "3-stage_cs-vco_dp9_0/pg2" "3-stage_cs-vco_dp9_0/vdd" 5.31335
+cap "3-stage_cs-vco_dp9_0/vss" "vdd_2" 32.2683
+merge "FD_v5_0/VDD" "sky130_fd_sc_hd__clkbuf_16_2/VPWR" -11554.9 0 0 0 0 -999772 -47606 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -278714 -964 2467033 -71964 190919 -2440 590598 -654 0 0 0 0 0 0
 merge "sky130_fd_sc_hd__clkbuf_16_2/VPWR" "sky130_fd_sc_hd__clkbuf_16_2/VPB"
 merge "sky130_fd_sc_hd__clkbuf_16_2/VPB" "sky130_fd_sc_hd__clkbuf_16_3/VPWR"
 merge "sky130_fd_sc_hd__clkbuf_16_3/VPWR" "sky130_fd_sc_hd__clkbuf_16_3/VPB"
@@ -784,16 +820,15 @@
 merge "FD_v2_7/VDD" "FD_v2_8/VDD"
 merge "FD_v2_8/VDD" "FD_v2_5/VDD"
 merge "FD_v2_5/VDD" "FD_v2_2/VDD"
-merge "FD_v2_2/VDD" "3-stage_cs-vco_dp9_0/vdd"
-merge "3-stage_cs-vco_dp9_0/vdd" "FD_v2_9/VDD"
+merge "FD_v2_2/VDD" "FD_v2_9/VDD"
 merge "FD_v2_9/VDD" "FD_v2_4/VDD"
 merge "FD_v2_4/VDD" "FD_v2_3/VDD"
-merge "FD_v2_3/VDD" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd"
-merge "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd"
-merge "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" "w_7680_n1770#"
-merge "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" "vss" -17638.1 0 0 0 0 0 0 0 0 0 0 -239136 -408 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -362814 -964 3373368 -73630 311932 -3614 35550 -280 0 0 0 0 0 0
-merge "vss" "sky130_fd_sc_hd__clkbuf_16_2/VNB"
-merge "sky130_fd_sc_hd__clkbuf_16_2/VNB" "sky130_fd_sc_hd__clkbuf_16_2/VGND"
+merge "FD_v2_3/VDD" "vdd_2"
+merge "sky130_fd_sc_hd__clkbuf_16_2/VNB" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" -18662.3 0 0 0 0 0 0 0 0 0 0 -239136 -408 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -362814 -964 3802687 -72752 -18842 -5624 -8749 -2516 0 0 0 0 0 0
+merge "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss"
+merge "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" "m1_n1047_2276#"
+merge "m1_n1047_2276#" "vss"
+merge "vss" "sky130_fd_sc_hd__clkbuf_16_2/VGND"
 merge "sky130_fd_sc_hd__clkbuf_16_2/VGND" "sky130_fd_sc_hd__clkbuf_16_3/VNB"
 merge "sky130_fd_sc_hd__clkbuf_16_3/VNB" "sky130_fd_sc_hd__clkbuf_16_3/VGND"
 merge "sky130_fd_sc_hd__clkbuf_16_3/VGND" "FD_v5_0/GND"
@@ -820,12 +855,12 @@
 merge "FD_v2_8/GND" "FD_v2_5/GND"
 merge "FD_v2_5/GND" "FD_v2_2/GND"
 merge "FD_v2_2/GND" "FD_v2_9/GND"
-merge "FD_v2_9/GND" "FD_v2_4/GND"
+merge "FD_v2_9/GND" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vss"
+merge "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vss" "m1_1968_n594#"
+merge "m1_1968_n594#" "FD_v2_4/GND"
 merge "FD_v2_4/GND" "FD_v2_3/GND"
-merge "FD_v2_3/GND" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vss"
-merge "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vss" "3-stage_cs-vco_dp9_0/vss"
-merge "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss"
-merge "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" "a_8547_n771#"
+merge "FD_v2_3/GND" "3-stage_cs-vco_dp9_0/vss"
+merge "3-stage_cs-vco_dp9_0/vss" "vss_2"
 merge "3-stage_cs-vco_dp9_0/vco_switch_p_2/sel" "3-stage_cs-vco_dp9_0/sel2" -103.843 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -924 -122 10800 -320 0 0 0 0 0 0 0 0
 merge "3-stage_cs-vco_dp9_0/sel2" "vsel2"
 merge "vsel2" "m2_n2159_1718#"
@@ -845,6 +880,14 @@
 merge "sky130_fd_sc_hd__clkbuf_2_1/A" "FD_v2_6/Clk_Out" -93.6667 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -4252 -136 819 -136 0 0 0 0 0 0 0 0 0 0
 merge "FD_v2_6/Clk_Out" "FD_v2_7/Clk_In"
 merge "FD_v2_7/Clk_In" "li_8577_n451#"
+merge "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" "m1_1704_1531#" -3052.87 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1121 -2800 258222 -6284 139976 -3166 0 0 0 0 0 0
+merge "m1_1704_1531#" "vdd"
+merge "vdd" "m1_n889_1476#"
+merge "m1_n889_1476#" "3-stage_cs-vco_dp9_0/vdd"
+merge "3-stage_cs-vco_dp9_0/vdd" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd"
+merge "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" "m1_1702_n1381#"
+merge "m1_1702_n1381#" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd"
+merge "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" "m1_n1029_1423#"
 merge "sky130_fd_sc_hd__clkbuf_4_1/X" "sky130_fd_sc_hd__clkbuf_8_1/A" -71.3998 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 71217 -272 0 0 0 0 0 0 0 0 0 0 0 0
 merge "sky130_fd_sc_hd__clkbuf_8_1/A" "li_9325_n451#"
 merge "3-stage_cs-vco_dp9_0/sel0" "vsel0" -81.2058 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -832 -116 -4200 -290 0 0 0 0 0 0 0 0
diff --git a/mag/3-stage_cs-vco_dp9/vco_with_fdivs.mag b/mag/3-stage_cs-vco_dp9/vco_with_fdivs.mag
index 80e1091..301b333 100644
--- a/mag/3-stage_cs-vco_dp9/vco_with_fdivs.mag
+++ b/mag/3-stage_cs-vco_dp9/vco_with_fdivs.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647616692
+timestamp 1647637419
 << nwell >>
 rect 7680 243 8547 284
 rect 12276 243 12404 426
@@ -446,7 +446,7 @@
 rect -1825 2282 -1047 2287
 rect -957 2282 -96 2372
 rect -6 2282 987 2372
-rect 1077 2282 2103 2372
+rect 1077 2282 2098 2372
 rect -1820 2278 -1740 2282
 rect -2159 1958 -1323 1998
 rect -2159 1798 -1238 1838
@@ -773,47 +773,47 @@
 rect 7997 -1732 8002 -1672
 rect 7932 -1737 8002 -1732
 use 3-stage_cs-vco_dp9  3-stage_cs-vco_dp9_0
-timestamp 1647616625
+timestamp 1647637375
 transform 1 0 25 0 1 226
 box -1753 -1641 2093 2641
 use FD_v2  FD_v2_1
-timestamp 1647613837
+timestamp 1647637375
 transform -1 0 7748 0 -1 -29
 box 68 -697 1883 34
 use FD_v2  FD_v2_2
-timestamp 1647613837
+timestamp 1647637375
 transform -1 0 5933 0 -1 -29
 box 68 -697 1883 34
 use FD_v2  FD_v2_3
-timestamp 1647613837
+timestamp 1647637375
 transform -1 0 4118 0 -1 -29
 box 68 -697 1883 34
 use FD_v2  FD_v2_4
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 2167 0 1 -83
 box 68 -697 1883 34
 use FD_v2  FD_v2_5
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 3982 0 1 -83
 box 68 -697 1883 34
 use FD_v2  FD_v2_6
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 5797 0 1 -83
 box 68 -697 1883 34
 use FD_v2  FD_v2_7
-timestamp 1647613837
+timestamp 1647637375
 transform -1 0 7748 0 -1 -1491
 box 68 -697 1883 34
 use FD_v2  FD_v2_8
-timestamp 1647613837
+timestamp 1647637375
 transform -1 0 5933 0 -1 -1491
 box 68 -697 1883 34
 use FD_v2  FD_v2_9
-timestamp 1647613837
+timestamp 1647637375
 transform -1 0 4118 0 -1 -1491
 box 68 -697 1883 34
 use FD_v5  FD_v5_0
-timestamp 1647613837
+timestamp 1647637375
 transform 1 0 2617 0 1 1451
 box -383 -769 5544 178
 use sky130_fd_sc_hd__clkbuf_2  sky130_fd_sc_hd__clkbuf_2_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
diff --git a/mag/3-stage_cs-vco_dp9/vco_with_fdivs.spice b/mag/3-stage_cs-vco_dp9/vco_with_fdivs.spice
index 6185f72..9510e9c 100755
--- a/mag/3-stage_cs-vco_dp9/vco_with_fdivs.spice
+++ b/mag/3-stage_cs-vco_dp9/vco_with_fdivs.spice
@@ -352,7 +352,7 @@
 X0 a_15_n22# a_n15_n53# a_n72_n22# w_n109_n58# sky130_fd_pr__pfet_01v8 ad=2.32e+11p pd=2.18e+06u as=2.28e+11p ps=2.17e+06u w=800000u l=150000u
 .ends
 
-.subckt x3-stage_cs-vco_dp9 out vctrl sel0 sel1 sel3 sel2 vss vdd
+.subckt x3-stage_cs-vco_dp9 out vctrl sel0 sel1 sel3 sel2 vdd vss
 XXM23 vdd net7 net7 net7 vdd out vdd out sky130_fd_pr__pfet_01v8_UUCHZP
 XXM12 net7 vdd vdd net6 sky130_fd_pr__pfet_01v8_NC2CGG
 XXM25 vdd vgp vdd vgp sky130_fd_pr__pfet_01v8_XZZ25Z
@@ -397,37 +397,38 @@
 XXM11C vdd vdd pg2 net2 sky130_fd_pr__pfet_01v8_TPJM7Z
 .ends
 
-.subckt vco_with_fdivs vctrl out_div128_buf vdd vss vsel0 vsel1 vsel2 vsel3 out_div256_buf
-Xsky130_fd_sc_hd__clkbuf_8_1 sky130_fd_sc_hd__clkbuf_8_1/A vss vdd sky130_fd_sc_hd__clkbuf_8_1/X
-+ vss vdd sky130_fd_sc_hd__clkbuf_8
-XFD_v2_3 FD_v2_3/Clk_In vdd vss FD_v2_4/Clk_In FD_v2
-XFD_v2_4 FD_v2_4/Clk_In vdd vss FD_v2_5/Clk_In FD_v2
-XFD_v2_5 FD_v2_5/Clk_In vdd vss FD_v2_6/Clk_In FD_v2
-XFD_v2_6 FD_v2_6/Clk_In vdd vss FD_v2_7/Clk_In FD_v2
-XFD_v2_7 FD_v2_7/Clk_In vdd vss FD_v2_8/Clk_In FD_v2
-XFD_v2_8 FD_v2_8/Clk_In vdd vss FD_v2_9/Clk_In FD_v2
-Xsky130_fd_sc_hd__clkbuf_4_0 sky130_fd_sc_hd__clkbuf_4_0/A vss vdd sky130_fd_sc_hd__clkbuf_8_0/A
-+ vss vdd sky130_fd_sc_hd__clkbuf_4
-XFD_v2_9 FD_v2_9/Clk_In vdd vss FD_v2_9/Clk_Out FD_v2
-Xsky130_fd_sc_hd__clkbuf_4_1 sky130_fd_sc_hd__clkbuf_4_1/A vss vdd sky130_fd_sc_hd__clkbuf_8_1/A
-+ vss vdd sky130_fd_sc_hd__clkbuf_4
-Xsky130_fd_sc_hd__clkbuf_2_0 FD_v2_8/Clk_In vss vdd sky130_fd_sc_hd__clkbuf_4_0/A
-+ vss vdd sky130_fd_sc_hd__clkbuf_2
-Xsky130_fd_sc_hd__clkbuf_2_1 FD_v2_7/Clk_In vss vdd sky130_fd_sc_hd__clkbuf_4_1/A
-+ vss vdd sky130_fd_sc_hd__clkbuf_2
-XFD_v5_0 out vdd vss FD_v2_1/Clk_In FD_v5
-Xsky130_fd_sc_hd__clkbuf_16_0 sky130_fd_sc_hd__clkbuf_8_1/X vss vdd sky130_fd_sc_hd__clkbuf_16_3/A
-+ vss vdd sky130_fd_sc_hd__clkbuf_16
-Xsky130_fd_sc_hd__clkbuf_16_1 sky130_fd_sc_hd__clkbuf_8_0/X vss vdd out_div256_buf
-+ vss vdd sky130_fd_sc_hd__clkbuf_16
-Xsky130_fd_sc_hd__clkbuf_16_2 sky130_fd_sc_hd__clkbuf_16_3/A vss vdd out_div128_buf
-+ vss vdd sky130_fd_sc_hd__clkbuf_16
-Xsky130_fd_sc_hd__clkbuf_16_3 sky130_fd_sc_hd__clkbuf_16_3/A vss vdd out_div128_buf
-+ vss vdd sky130_fd_sc_hd__clkbuf_16
-X3-stage_cs-vco_dp9_0 out vctrl vsel0 vsel1 vsel3 vsel2 vss vdd x3-stage_cs-vco_dp9
-XFD_v2_1 FD_v2_1/Clk_In vdd vss FD_v2_2/Clk_In FD_v2
-Xsky130_fd_sc_hd__clkbuf_8_0 sky130_fd_sc_hd__clkbuf_8_0/A vss vdd sky130_fd_sc_hd__clkbuf_8_0/X
-+ vss vdd sky130_fd_sc_hd__clkbuf_8
-XFD_v2_2 FD_v2_2/Clk_In vdd vss FD_v2_3/Clk_In FD_v2
+.subckt vco_with_fdivs vctrl out_div128_buf vdd vsel0 vsel1 vsel2 vsel3 out_div256_buf
++ vdd_2 vss_2
+Xsky130_fd_sc_hd__clkbuf_8_1 sky130_fd_sc_hd__clkbuf_8_1/A vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_8_1/X
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_8
+XFD_v2_3 FD_v2_3/Clk_In vdd_2 vss_2 FD_v2_4/Clk_In FD_v2
+XFD_v2_4 FD_v2_4/Clk_In vdd_2 vss_2 FD_v2_5/Clk_In FD_v2
+XFD_v2_5 FD_v2_5/Clk_In vdd_2 vss_2 FD_v2_6/Clk_In FD_v2
+XFD_v2_6 FD_v2_6/Clk_In vdd_2 vss_2 FD_v2_7/Clk_In FD_v2
+XFD_v2_7 FD_v2_7/Clk_In vdd_2 vss_2 FD_v2_8/Clk_In FD_v2
+XFD_v2_8 FD_v2_8/Clk_In vdd_2 vss_2 FD_v2_9/Clk_In FD_v2
+Xsky130_fd_sc_hd__clkbuf_4_0 sky130_fd_sc_hd__clkbuf_4_0/A vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_8_0/A
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_4
+XFD_v2_9 FD_v2_9/Clk_In vdd_2 vss_2 FD_v2_9/Clk_Out FD_v2
+Xsky130_fd_sc_hd__clkbuf_4_1 sky130_fd_sc_hd__clkbuf_4_1/A vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_8_1/A
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_4
+Xsky130_fd_sc_hd__clkbuf_2_0 FD_v2_8/Clk_In vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_4_0/A
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_2
+Xsky130_fd_sc_hd__clkbuf_2_1 FD_v2_7/Clk_In vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_4_1/A
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_2
+XFD_v5_0 out vdd_2 vss_2 FD_v2_1/Clk_In FD_v5
+Xsky130_fd_sc_hd__clkbuf_16_0 sky130_fd_sc_hd__clkbuf_8_1/X vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_16_3/A
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_16
+Xsky130_fd_sc_hd__clkbuf_16_1 sky130_fd_sc_hd__clkbuf_8_0/X vss_2 vdd_2 out_div256_buf
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_16
+Xsky130_fd_sc_hd__clkbuf_16_2 sky130_fd_sc_hd__clkbuf_16_3/A vss_2 vdd_2 out_div128_buf
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_16
+Xsky130_fd_sc_hd__clkbuf_16_3 sky130_fd_sc_hd__clkbuf_16_3/A vss_2 vdd_2 out_div128_buf
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_16
+X3-stage_cs-vco_dp9_0 out vctrl vsel0 vsel1 vsel3 vsel2 vdd vss_2 x3-stage_cs-vco_dp9
+XFD_v2_1 FD_v2_1/Clk_In vdd_2 vss_2 FD_v2_2/Clk_In FD_v2
+Xsky130_fd_sc_hd__clkbuf_8_0 sky130_fd_sc_hd__clkbuf_8_0/A vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_8_0/X
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_8
+XFD_v2_2 FD_v2_2/Clk_In vdd_2 vss_2 FD_v2_3/Clk_In FD_v2
 .ends
 
diff --git a/mag/3-stage_cs-vco_dp9/vco_with_fdivs_-_1st_tapeout_job_succeeded.mag b/mag/3-stage_cs-vco_dp9/vco_with_fdivs_-_1st_tapeout_job_succeeded.mag
new file mode 100644
index 0000000..301b333
--- /dev/null
+++ b/mag/3-stage_cs-vco_dp9/vco_with_fdivs_-_1st_tapeout_job_succeeded.mag
@@ -0,0 +1,879 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1647637419
+<< nwell >>
+rect 7680 243 8547 284
+rect 12276 243 12404 426
+rect 7680 -396 12404 243
+rect 7680 -1770 12404 -1178
+<< pwell >>
+rect 8161 692 12404 1138
+rect 7680 426 12404 692
+rect 7680 284 8522 426
+rect 7659 -1178 12404 -396
+<< psubdiff >>
+rect 8547 764 8593 798
+rect 12126 764 12184 798
+rect 8547 -771 8593 -737
+rect 12126 -771 12184 -737
+<< nsubdiff >>
+rect 8512 -16 8552 18
+rect 12137 -16 12192 18
+rect 8512 -1619 8552 -1585
+rect 12137 -1619 12192 -1585
+<< psubdiffcont >>
+rect 8593 764 12126 798
+rect 8593 -771 12126 -737
+<< nsubdiffcont >>
+rect 8552 -16 12137 18
+rect 8552 -1619 12137 -1585
+<< locali >>
+rect 2131 1117 2393 1122
+rect 1728 1082 2393 1117
+rect 1728 1077 2171 1082
+rect 1728 1040 1768 1077
+rect 8567 764 8593 798
+rect 12126 764 12151 798
+rect 10365 505 10435 540
+rect 10365 343 10435 381
+rect 8533 -16 8552 18
+rect 12137 -16 12164 18
+rect 12029 -436 12062 -402
+rect 8567 -771 8593 -737
+rect 12126 -771 12151 -737
+rect 8533 -1619 8552 -1585
+rect 12137 -1619 12164 -1585
+<< viali >>
+rect 8593 764 12126 798
+rect 8587 462 8621 496
+rect 10328 381 10466 505
+rect 12179 450 12213 484
+rect 8552 -16 12137 18
+rect 8577 -451 8611 -417
+rect 8789 -451 8823 -417
+rect 8948 -451 8982 -417
+rect 9325 -451 9359 -417
+rect 9439 -451 9473 -417
+rect 10185 -451 10219 -417
+rect 10286 -451 10320 -417
+rect 10429 -451 10463 -417
+rect 11995 -436 12029 -402
+rect 12074 -436 12108 -402
+rect 12155 -436 12189 -402
+rect 8593 -771 12126 -737
+rect 8588 -1221 8636 -1173
+rect 8782 -1210 8816 -1176
+rect 8952 -1210 8986 -1176
+rect 9326 -1210 9360 -1176
+rect 9436 -1210 9470 -1176
+rect 10187 -1210 10221 -1176
+rect 10293 -1210 10327 -1176
+rect 10427 -1210 10461 -1176
+rect 12043 -1247 12130 -1165
+rect 8552 -1619 12137 -1585
+<< metal1 >>
+rect 1989 2686 2059 2724
+rect 1726 2424 1796 2462
+rect -1047 2372 -957 2378
+rect -1047 2276 -957 2282
+rect -96 2372 -6 2378
+rect -96 2276 -6 2282
+rect 987 2372 1077 2378
+rect 987 2276 1077 2282
+rect -1409 1755 -1383 1787
+rect 1704 1686 8161 1723
+rect -1332 1602 -1304 1635
+rect 1704 1596 2233 1686
+rect 2323 1596 3977 1686
+rect 4067 1596 5786 1686
+rect 5876 1604 8020 1686
+rect 5876 1596 7009 1604
+rect -1251 1531 -1223 1564
+rect -1029 1519 -939 1525
+rect -1163 1448 -1135 1481
+rect -889 1476 -787 1566
+rect 1704 1546 7009 1596
+rect 8110 1604 8161 1686
+rect 8020 1590 8110 1596
+rect 1704 1531 1847 1546
+rect -1029 1423 -939 1429
+rect 8161 1076 8263 1122
+rect 1989 691 2235 749
+rect 2249 724 2339 730
+rect 1989 659 2249 691
+rect 1989 601 1995 659
+rect 2053 601 2235 659
+rect 3987 724 4077 730
+rect 2339 659 3987 691
+rect 2249 628 2339 634
+rect 5820 724 5910 730
+rect 4077 659 5820 691
+rect 3987 628 4077 634
+rect 7006 691 7173 749
+rect 7556 724 7646 730
+rect 5910 659 7556 691
+rect 7006 658 7556 659
+rect 5820 628 5910 634
+rect 7646 658 7680 691
+rect 7556 628 7646 634
+rect 1989 600 2235 601
+rect 1989 595 2059 600
+rect 2161 352 2235 358
+rect 2161 292 2168 352
+rect 2228 292 2277 352
+rect 8217 346 8263 1076
+rect 8560 798 12240 810
+rect 8560 764 8593 798
+rect 12126 764 12240 798
+rect 8560 735 12240 764
+rect 8880 724 8970 730
+rect 8880 628 8970 634
+rect 9405 724 9495 730
+rect 9405 628 9495 634
+rect 10347 724 10437 730
+rect 10347 628 10437 634
+rect 10978 724 11068 730
+rect 10978 628 11068 634
+rect 11625 724 11715 730
+rect 11625 628 11715 634
+rect 12119 724 12209 730
+rect 12119 628 12209 634
+rect 10312 505 10480 521
+rect 8575 500 8633 502
+rect 7680 300 8263 346
+rect 8379 496 8633 500
+rect 8379 462 8587 496
+rect 8621 462 8633 496
+rect 8379 457 8633 462
+rect 2161 286 2235 292
+rect 8379 117 8422 457
+rect 8575 456 8633 457
+rect 10312 381 10328 505
+rect 10466 381 10480 505
+rect 12167 484 12225 490
+rect 12167 450 12179 484
+rect 12213 450 12330 484
+rect 12167 444 12225 450
+rect 10312 365 10480 381
+rect 12296 117 12330 450
+rect 8374 111 8426 117
+rect 12287 111 12339 117
+rect 8374 53 8426 59
+rect 1729 -38 1735 20
+rect 1793 -36 2235 20
+rect 8488 18 12240 95
+rect 12287 53 12339 59
+rect 2248 -12 2338 -6
+rect 1793 -38 2245 -36
+rect 1729 -74 2248 -38
+rect 1729 -132 2245 -74
+rect 3983 -12 4073 -6
+rect 2338 -74 3983 -38
+rect 2248 -108 2338 -102
+rect 5815 -12 5905 -6
+rect 4073 -74 5815 -38
+rect 3983 -108 4073 -102
+rect 7560 -12 7650 -6
+rect 5905 -74 7560 -38
+rect 5815 -108 5905 -102
+rect 8488 -16 8552 18
+rect 12137 -12 12240 18
+rect 7650 -74 7680 -38
+rect 8488 -65 8553 -16
+rect 7560 -108 7650 -102
+rect 8643 -65 9062 -16
+rect 8553 -108 8643 -102
+rect 9152 -65 9788 -16
+rect 9062 -108 9152 -102
+rect 9878 -65 10355 -16
+rect 9788 -108 9878 -102
+rect 10445 -65 10949 -16
+rect 10355 -108 10445 -102
+rect 11039 -65 11680 -16
+rect 10949 -108 11039 -102
+rect 11770 -65 12115 -16
+rect 11680 -108 11770 -102
+rect 12205 -65 12240 -12
+rect 12115 -108 12205 -102
+rect -2159 -461 -1723 -391
+rect 11978 -396 12200 -380
+rect 11978 -402 12201 -396
+rect 12296 -402 12330 53
+rect 2172 -409 2224 -403
+rect 2224 -458 2248 -412
+rect 8565 -417 8623 -411
+rect 7680 -451 8577 -417
+rect 8611 -451 8623 -417
+rect 2172 -467 2224 -461
+rect -1724 -522 -1630 -476
+rect -942 -497 -878 -491
+rect -942 -567 -878 -561
+rect 1968 -713 2080 -554
+rect 1968 -744 2236 -713
+rect 1968 -771 2237 -744
+rect 2252 -745 2342 -739
+rect 1968 -803 2252 -771
+rect 1968 -863 2237 -803
+rect 3993 -745 4083 -739
+rect 2342 -803 3993 -771
+rect 2252 -841 2342 -835
+rect 5806 -745 5896 -739
+rect 4083 -803 5806 -771
+rect 3993 -841 4083 -835
+rect 7547 -745 7637 -739
+rect 5896 -803 7547 -771
+rect 5806 -841 5896 -835
+rect 7637 -803 7680 -771
+rect 7547 -841 7637 -835
+rect 5810 -1113 5930 -1111
+rect 5810 -1169 5843 -1113
+rect 5897 -1123 5930 -1113
+rect 7722 -1123 7756 -451
+rect 8565 -457 8623 -451
+rect 8777 -417 8835 -411
+rect 8936 -417 8994 -411
+rect 8777 -451 8789 -417
+rect 8823 -451 8948 -417
+rect 8982 -451 8994 -417
+rect 8777 -457 8835 -451
+rect 8936 -457 8994 -451
+rect 9313 -417 9371 -411
+rect 9427 -417 9485 -411
+rect 9313 -451 9325 -417
+rect 9359 -451 9439 -417
+rect 9473 -451 9485 -417
+rect 9313 -457 9371 -451
+rect 9427 -457 9485 -451
+rect 10173 -417 10231 -411
+rect 10274 -417 10332 -411
+rect 10417 -417 10475 -411
+rect 10173 -451 10185 -417
+rect 10219 -451 10286 -417
+rect 10320 -451 10429 -417
+rect 10463 -451 10475 -417
+rect 10173 -457 10231 -451
+rect 10274 -457 10332 -451
+rect 10417 -457 10475 -451
+rect 11978 -436 11995 -402
+rect 12029 -436 12074 -402
+rect 12108 -436 12155 -402
+rect 12189 -436 12330 -402
+rect 11978 -442 12201 -436
+rect 11978 -456 12200 -442
+rect 8488 -737 12240 -705
+rect 8488 -771 8593 -737
+rect 12126 -745 12240 -737
+rect 8488 -835 9047 -771
+rect 9137 -835 9777 -771
+rect 9867 -835 10347 -771
+rect 10437 -835 10982 -771
+rect 11072 -835 11589 -771
+rect 11679 -835 12101 -771
+rect 12191 -835 12240 -745
+rect 8488 -914 12240 -835
+rect 5897 -1157 5935 -1123
+rect 7680 -1157 7756 -1123
+rect 5897 -1169 5930 -1157
+rect 8582 -1167 8642 -1161
+rect 8770 -1176 8828 -1170
+rect 8940 -1176 8998 -1170
+rect 8770 -1210 8782 -1176
+rect 8816 -1210 8952 -1176
+rect 8986 -1210 8998 -1176
+rect 8770 -1216 8828 -1210
+rect 8940 -1216 8998 -1210
+rect 9314 -1176 9366 -1164
+rect 12032 -1165 12143 -1152
+rect 9424 -1176 9482 -1170
+rect 9314 -1210 9326 -1176
+rect 9360 -1210 9436 -1176
+rect 9470 -1210 9482 -1176
+rect 9314 -1222 9366 -1210
+rect 9424 -1216 9482 -1210
+rect 10175 -1176 10233 -1170
+rect 10281 -1176 10339 -1170
+rect 10415 -1176 10473 -1170
+rect 10175 -1210 10187 -1176
+rect 10221 -1210 10293 -1176
+rect 10327 -1210 10427 -1176
+rect 10461 -1210 10473 -1176
+rect 10175 -1216 10233 -1210
+rect 10281 -1216 10339 -1210
+rect 10415 -1216 10473 -1210
+rect 8582 -1233 8642 -1227
+rect 12032 -1247 12043 -1165
+rect 12130 -1247 12143 -1165
+rect 12032 -1261 12143 -1247
+rect -303 -1307 -213 -1301
+rect -983 -1397 -977 -1307
+rect -887 -1397 -881 -1307
+rect -303 -1403 -213 -1397
+rect 718 -1307 808 -1301
+rect 1703 -1312 1826 -1262
+rect 1702 -1381 1826 -1312
+rect 718 -1403 808 -1397
+rect 1703 -1442 1826 -1381
+rect 1703 -1465 7680 -1442
+rect 1703 -1555 2233 -1465
+rect 2323 -1555 3985 -1465
+rect 4075 -1555 5888 -1465
+rect 5978 -1555 7584 -1465
+rect 7674 -1555 7680 -1465
+rect 8547 -1465 8637 -1459
+rect 1703 -1565 7680 -1555
+rect 8488 -1555 8547 -1554
+rect 9009 -1465 9099 -1459
+rect 8637 -1555 9009 -1554
+rect 9804 -1465 9894 -1459
+rect 9099 -1555 9804 -1554
+rect 10357 -1465 10447 -1459
+rect 9894 -1555 10357 -1554
+rect 11017 -1465 11107 -1459
+rect 10447 -1555 11017 -1554
+rect 11656 -1465 11746 -1459
+rect 11107 -1555 11656 -1554
+rect 12091 -1465 12181 -1459
+rect 11746 -1555 12091 -1554
+rect 12181 -1555 12240 -1554
+rect 8488 -1585 12240 -1555
+rect 8488 -1619 8552 -1585
+rect 12137 -1619 12240 -1585
+rect 8488 -1652 12240 -1619
+<< via1 >>
+rect -1047 2282 -957 2372
+rect -96 2282 -6 2372
+rect 987 2282 1077 2372
+rect 2233 1596 2323 1686
+rect 3977 1596 4067 1686
+rect 5786 1596 5876 1686
+rect -1029 1429 -939 1519
+rect 8020 1596 8110 1686
+rect 1995 601 2053 659
+rect 2249 634 2339 724
+rect 3987 634 4077 724
+rect 5820 634 5910 724
+rect 7556 634 7646 724
+rect 2168 292 2228 352
+rect 8880 634 8970 724
+rect 9405 634 9495 724
+rect 10347 634 10437 724
+rect 10978 634 11068 724
+rect 11625 634 11715 724
+rect 12119 634 12209 724
+rect 10328 381 10466 505
+rect 8374 59 8426 111
+rect 1735 -38 1793 20
+rect 12287 59 12339 111
+rect 2248 -102 2338 -12
+rect 3983 -102 4073 -12
+rect 5815 -102 5905 -12
+rect 7560 -102 7650 -12
+rect 8553 -16 8643 -12
+rect 9062 -16 9152 -12
+rect 9788 -16 9878 -12
+rect 10355 -16 10445 -12
+rect 10949 -16 11039 -12
+rect 11680 -16 11770 -12
+rect 12115 -16 12137 -12
+rect 12137 -16 12205 -12
+rect 8553 -102 8643 -16
+rect 9062 -102 9152 -16
+rect 9788 -102 9878 -16
+rect 10355 -102 10445 -16
+rect 10949 -102 11039 -16
+rect 11680 -102 11770 -16
+rect 12115 -102 12205 -16
+rect 2172 -461 2224 -409
+rect -942 -561 -878 -497
+rect 2252 -835 2342 -745
+rect 3993 -835 4083 -745
+rect 5806 -835 5896 -745
+rect 7547 -835 7637 -745
+rect 5843 -1169 5897 -1113
+rect 9047 -771 9137 -745
+rect 9777 -771 9867 -745
+rect 10347 -771 10437 -745
+rect 10982 -771 11072 -745
+rect 11589 -771 11679 -745
+rect 12101 -771 12126 -745
+rect 12126 -771 12191 -745
+rect 9047 -835 9137 -771
+rect 9777 -835 9867 -771
+rect 10347 -835 10437 -771
+rect 10982 -835 11072 -771
+rect 11589 -835 11679 -771
+rect 12101 -835 12191 -771
+rect 8582 -1173 8642 -1167
+rect 8582 -1221 8588 -1173
+rect 8588 -1221 8636 -1173
+rect 8636 -1221 8642 -1173
+rect 8582 -1227 8642 -1221
+rect 12043 -1247 12130 -1165
+rect -977 -1397 -887 -1307
+rect -303 -1397 -213 -1307
+rect 718 -1397 808 -1307
+rect 2233 -1555 2323 -1465
+rect 3985 -1555 4075 -1465
+rect 5888 -1555 5978 -1465
+rect 7584 -1555 7674 -1465
+rect 8547 -1555 8637 -1465
+rect 9009 -1555 9099 -1465
+rect 9804 -1555 9894 -1465
+rect 10357 -1555 10447 -1465
+rect 11017 -1555 11107 -1465
+rect 11656 -1555 11746 -1465
+rect 12091 -1555 12181 -1465
+<< metal2 >>
+rect 8497 2813 8587 2822
+rect -1834 2723 -1825 2813
+rect -1735 2723 8497 2813
+rect 8587 2723 12657 2813
+rect 12747 2723 12756 2813
+rect 8497 2714 8587 2723
+rect -2052 2553 -1944 2563
+rect 8321 2553 8411 2562
+rect -2052 2463 -2043 2553
+rect -1953 2463 8321 2553
+rect 8411 2463 12421 2553
+rect 12511 2463 12520 2553
+rect -2052 2454 -1944 2463
+rect 8321 2454 8411 2463
+rect -1820 2372 -1740 2376
+rect -1825 2367 -1047 2372
+rect -1825 2287 -1820 2367
+rect -1740 2287 -1047 2367
+rect -1825 2282 -1047 2287
+rect -957 2282 -96 2372
+rect -6 2282 987 2372
+rect 1077 2282 2098 2372
+rect -1820 2278 -1740 2282
+rect -2159 1958 -1323 1998
+rect -2159 1798 -1238 1838
+rect -2159 1718 -1149 1758
+rect 3977 1686 4067 1692
+rect 8321 1686 8415 1690
+rect -2159 1638 -1078 1678
+rect 2227 1596 2233 1686
+rect 2323 1596 3977 1686
+rect 4067 1596 5786 1686
+rect 5876 1596 8020 1686
+rect 8110 1681 8415 1686
+rect 8110 1601 8326 1681
+rect 8406 1601 8415 1681
+rect 8110 1596 8415 1601
+rect 3977 1590 4067 1596
+rect 8321 1591 8415 1596
+rect -2038 1519 -1958 1523
+rect -2043 1514 -1029 1519
+rect -2043 1434 -2038 1514
+rect -1958 1434 -1029 1514
+rect -2043 1429 -1029 1434
+rect -939 1429 -933 1519
+rect -2038 1425 -1958 1429
+rect 10345 949 10447 958
+rect 10345 865 10354 949
+rect 10438 865 12877 949
+rect 10345 856 10447 865
+rect 8502 724 8582 728
+rect 1985 660 2063 669
+rect 1985 600 1994 660
+rect 2054 600 2063 660
+rect 2243 634 2249 724
+rect 2339 634 3987 724
+rect 4077 634 5820 724
+rect 5910 634 7556 724
+rect 7646 719 8880 724
+rect 7646 639 8502 719
+rect 8582 639 8880 719
+rect 7646 634 8880 639
+rect 8970 634 9405 724
+rect 9495 634 10347 724
+rect 10437 634 10978 724
+rect 11068 634 11625 724
+rect 11715 634 12119 724
+rect 12209 634 12657 724
+rect 12747 634 12756 724
+rect 8502 630 8582 634
+rect 1985 591 2063 600
+rect 10316 505 10477 518
+rect 10316 381 10328 505
+rect 10466 381 10477 505
+rect 10316 370 10477 381
+rect 2161 352 2235 358
+rect 2161 292 2168 352
+rect 2228 292 2235 352
+rect 2161 286 2235 292
+rect 8368 59 8374 111
+rect 8426 106 8432 111
+rect 12281 106 12287 111
+rect 8426 63 12287 106
+rect 8426 59 8432 63
+rect 12281 59 12287 63
+rect 12339 59 12345 111
+rect 1725 21 1803 30
+rect 1725 -39 1734 21
+rect 1794 -39 1803 21
+rect 8321 -12 8415 -7
+rect 1725 -48 1803 -39
+rect 2242 -102 2248 -12
+rect 2338 -102 3983 -12
+rect 4073 -102 5815 -12
+rect 5905 -102 7560 -12
+rect 7650 -17 8553 -12
+rect 7650 -97 8326 -17
+rect 8406 -97 8553 -17
+rect 7650 -102 8553 -97
+rect 8643 -102 9062 -12
+rect 9152 -102 9788 -12
+rect 9878 -102 10355 -12
+rect 10445 -102 10949 -12
+rect 11039 -102 11680 -12
+rect 11770 -102 12115 -12
+rect 12205 -102 12421 -12
+rect 12511 -102 12520 -12
+rect 8321 -106 8415 -102
+rect 2159 -465 2168 -405
+rect 2228 -465 2237 -405
+rect -1823 -561 -1814 -497
+rect -1750 -561 -942 -497
+rect -878 -561 -872 -497
+rect 8502 -745 8582 -741
+rect 2246 -835 2252 -745
+rect 2342 -835 3993 -745
+rect 4083 -835 5806 -745
+rect 5896 -835 7547 -745
+rect 7637 -750 9047 -745
+rect 7637 -830 8502 -750
+rect 8582 -830 9047 -750
+rect 7637 -835 9047 -830
+rect 9137 -835 9777 -745
+rect 9867 -835 10347 -745
+rect 10437 -835 10982 -745
+rect 11072 -835 11589 -745
+rect 11679 -835 12101 -745
+rect 12191 -835 12657 -745
+rect 12747 -835 12756 -745
+rect 8502 -839 8582 -835
+rect 5834 -1113 5908 -1103
+rect 5834 -1169 5843 -1113
+rect 5899 -1169 5908 -1113
+rect 12032 -1164 12143 -1152
+rect 12032 -1165 12877 -1164
+rect 7937 -1169 8582 -1167
+rect 5834 -1178 5908 -1169
+rect 7930 -1225 7939 -1169
+rect 7995 -1225 8582 -1169
+rect 7937 -1227 8582 -1225
+rect 8642 -1227 8648 -1167
+rect 12032 -1247 12043 -1165
+rect 12130 -1247 12877 -1165
+rect 12032 -1261 12143 -1247
+rect -2038 -1307 -1958 -1303
+rect -977 -1307 -887 -1301
+rect -2043 -1312 -977 -1307
+rect -2043 -1392 -2038 -1312
+rect -1958 -1392 -977 -1312
+rect -2043 -1397 -977 -1392
+rect -887 -1397 -303 -1307
+rect -213 -1397 718 -1307
+rect 808 -1397 1839 -1307
+rect -2038 -1401 -1958 -1397
+rect -977 -1403 -887 -1397
+rect 8326 -1465 8406 -1461
+rect 2227 -1555 2233 -1465
+rect 2323 -1555 3985 -1465
+rect 4075 -1555 5888 -1465
+rect 5978 -1555 7584 -1465
+rect 7674 -1470 8547 -1465
+rect 7674 -1550 8326 -1470
+rect 8406 -1550 8547 -1470
+rect 7674 -1555 8547 -1550
+rect 8637 -1555 9009 -1465
+rect 9099 -1555 9804 -1465
+rect 9894 -1555 10357 -1465
+rect 10447 -1555 11017 -1465
+rect 11107 -1555 11656 -1465
+rect 11746 -1555 12091 -1465
+rect 12181 -1555 12421 -1465
+rect 12511 -1555 12520 -1465
+rect 8326 -1559 8406 -1555
+rect 7925 -1672 8006 -1663
+rect 5840 -1674 7937 -1672
+rect 5833 -1730 5842 -1674
+rect 5898 -1730 7937 -1674
+rect 5840 -1732 7937 -1730
+rect 7997 -1732 8006 -1672
+rect 7925 -1742 8006 -1732
+<< via2 >>
+rect -1825 2723 -1735 2813
+rect 8497 2723 8587 2813
+rect 12657 2723 12747 2813
+rect -2043 2463 -1953 2553
+rect 8321 2463 8411 2553
+rect 12421 2463 12511 2553
+rect -1820 2287 -1740 2367
+rect 8326 1601 8406 1681
+rect -2038 1434 -1958 1514
+rect 10354 865 10438 949
+rect 1994 659 2054 660
+rect 1994 601 1995 659
+rect 1995 601 2053 659
+rect 2053 601 2054 659
+rect 1994 600 2054 601
+rect 8502 639 8582 719
+rect 12657 634 12747 724
+rect 10328 381 10466 505
+rect 2170 294 2226 350
+rect 1734 20 1794 21
+rect 1734 -38 1735 20
+rect 1735 -38 1793 20
+rect 1793 -38 1794 20
+rect 1734 -39 1794 -38
+rect 8326 -97 8406 -17
+rect 12421 -102 12511 -12
+rect 2168 -409 2228 -405
+rect 2168 -461 2172 -409
+rect 2172 -461 2224 -409
+rect 2224 -461 2228 -409
+rect 2168 -465 2228 -461
+rect -1814 -561 -1750 -497
+rect 8502 -830 8582 -750
+rect 12657 -835 12747 -745
+rect 5843 -1169 5897 -1113
+rect 5897 -1169 5899 -1113
+rect 7939 -1225 7995 -1169
+rect -2038 -1392 -1958 -1312
+rect 8326 -1550 8406 -1470
+rect 12421 -1555 12511 -1465
+rect 5842 -1730 5898 -1674
+rect 7937 -1732 7997 -1672
+<< metal3 >>
+rect -1830 2813 -1730 2818
+rect -1830 2723 -1825 2813
+rect -1735 2723 -1730 2813
+rect -1830 2718 -1730 2723
+rect 8492 2813 8592 2818
+rect 8492 2723 8497 2813
+rect 8587 2723 8592 2813
+rect 8492 2718 8592 2723
+rect 12652 2813 12752 2818
+rect 12652 2723 12657 2813
+rect 12747 2723 12752 2813
+rect 12652 2718 12752 2723
+rect -2048 2553 -1948 2558
+rect -2048 2463 -2043 2553
+rect -1953 2463 -1948 2553
+rect -2048 2458 -1948 2463
+rect -2043 1514 -1953 2458
+rect -2043 1434 -2038 1514
+rect -1958 1434 -1953 1514
+rect -2043 -1312 -1953 1434
+rect -1825 2367 -1735 2718
+rect 8316 2553 8416 2558
+rect 8316 2463 8321 2553
+rect 8411 2463 8416 2553
+rect 8316 2458 8416 2463
+rect -1825 2287 -1820 2367
+rect -1740 2287 -1735 2367
+rect -1825 -497 -1735 2287
+rect 8321 1681 8411 2458
+rect 8321 1601 8326 1681
+rect 8406 1601 8411 1681
+rect 1989 660 2059 665
+rect 1989 600 1994 660
+rect 2054 600 2059 660
+rect 1989 595 2059 600
+rect 2165 350 2231 355
+rect 2165 294 2170 350
+rect 2226 294 2231 350
+rect 2165 289 2231 294
+rect 1729 21 1799 26
+rect 1729 -39 1734 21
+rect 1794 -39 1799 21
+rect 1729 -44 1799 -39
+rect 2168 -400 2228 289
+rect 8321 -17 8411 1601
+rect 8321 -97 8326 -17
+rect 8406 -97 8411 -17
+rect 2163 -405 2233 -400
+rect 2163 -465 2168 -405
+rect 2228 -465 2233 -405
+rect 2163 -470 2233 -465
+rect -1825 -561 -1814 -497
+rect -1750 -561 -1735 -497
+rect -1825 -582 -1735 -561
+rect 5835 -1113 5905 -1105
+rect 5835 -1169 5843 -1113
+rect 5899 -1169 5905 -1113
+rect 5835 -1175 5905 -1169
+rect 7934 -1169 8000 -1164
+rect -2043 -1392 -2038 -1312
+rect -1958 -1392 -1953 -1312
+rect -2043 -1397 -1953 -1392
+rect 5840 -1669 5900 -1175
+rect 7934 -1225 7939 -1169
+rect 7995 -1225 8000 -1169
+rect 7934 -1230 8000 -1225
+rect 7937 -1667 7997 -1230
+rect 8321 -1470 8411 -97
+rect 8497 719 8587 2718
+rect 12416 2553 12516 2558
+rect 12416 2463 12421 2553
+rect 12511 2463 12516 2553
+rect 12416 2458 12516 2463
+rect 10345 949 10447 958
+rect 10345 865 10354 949
+rect 10438 865 10447 949
+rect 10345 856 10447 865
+rect 8497 639 8502 719
+rect 8582 639 8587 719
+rect 8497 -750 8587 639
+rect 10354 518 10438 856
+rect 12421 729 12511 2458
+rect 12657 729 12747 2718
+rect 12416 629 12516 729
+rect 12652 724 12752 729
+rect 12652 634 12657 724
+rect 12747 634 12752 724
+rect 12652 629 12752 634
+rect 10316 505 10477 518
+rect 10316 381 10328 505
+rect 10466 381 10477 505
+rect 10316 370 10477 381
+rect 12421 -7 12511 629
+rect 12416 -12 12516 -7
+rect 12416 -102 12421 -12
+rect 12511 -102 12516 -12
+rect 12416 -107 12516 -102
+rect 8497 -830 8502 -750
+rect 8582 -830 8587 -750
+rect 8497 -835 8587 -830
+rect 12421 -1460 12511 -107
+rect 12657 -740 12747 629
+rect 12652 -745 12752 -740
+rect 12652 -835 12657 -745
+rect 12747 -835 12752 -745
+rect 12652 -840 12752 -835
+rect 12657 -895 12747 -840
+rect 8321 -1550 8326 -1470
+rect 8406 -1550 8411 -1470
+rect 8321 -1555 8411 -1550
+rect 12416 -1465 12516 -1460
+rect 12416 -1555 12421 -1465
+rect 12511 -1555 12516 -1465
+rect 12416 -1560 12516 -1555
+rect 12421 -1634 12511 -1560
+rect 5837 -1674 5903 -1669
+rect 5837 -1730 5842 -1674
+rect 5898 -1730 5903 -1674
+rect 5837 -1735 5903 -1730
+rect 7932 -1672 8002 -1667
+rect 7932 -1732 7937 -1672
+rect 7997 -1732 8002 -1672
+rect 7932 -1737 8002 -1732
+use 3-stage_cs-vco_dp9  3-stage_cs-vco_dp9_0
+timestamp 1647637375
+transform 1 0 25 0 1 226
+box -1753 -1641 2093 2641
+use FD_v2  FD_v2_1
+timestamp 1647637375
+transform -1 0 7748 0 -1 -29
+box 68 -697 1883 34
+use FD_v2  FD_v2_2
+timestamp 1647637375
+transform -1 0 5933 0 -1 -29
+box 68 -697 1883 34
+use FD_v2  FD_v2_3
+timestamp 1647637375
+transform -1 0 4118 0 -1 -29
+box 68 -697 1883 34
+use FD_v2  FD_v2_4
+timestamp 1647637375
+transform 1 0 2167 0 1 -83
+box 68 -697 1883 34
+use FD_v2  FD_v2_5
+timestamp 1647637375
+transform 1 0 3982 0 1 -83
+box 68 -697 1883 34
+use FD_v2  FD_v2_6
+timestamp 1647637375
+transform 1 0 5797 0 1 -83
+box 68 -697 1883 34
+use FD_v2  FD_v2_7
+timestamp 1647637375
+transform -1 0 7748 0 -1 -1491
+box 68 -697 1883 34
+use FD_v2  FD_v2_8
+timestamp 1647637375
+transform -1 0 5933 0 -1 -1491
+box 68 -697 1883 34
+use FD_v2  FD_v2_9
+timestamp 1647637375
+transform -1 0 4118 0 -1 -1491
+box 68 -697 1883 34
+use FD_v5  FD_v5_0
+timestamp 1647637375
+transform 1 0 2617 0 1 1451
+box -383 -769 5544 178
+use sky130_fd_sc_hd__clkbuf_2  sky130_fd_sc_hd__clkbuf_2_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646908997
+transform 1 0 8488 0 -1 -962
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_2  sky130_fd_sc_hd__clkbuf_2_1
+timestamp 1646908997
+transform 1 0 8488 0 1 -657
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_4  sky130_fd_sc_hd__clkbuf_4_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646908997
+transform 1 0 8856 0 -1 -962
+box -38 -48 590 592
+use sky130_fd_sc_hd__clkbuf_4  sky130_fd_sc_hd__clkbuf_4_1
+timestamp 1646908997
+transform 1 0 8856 0 1 -657
+box -38 -48 590 592
+use sky130_fd_sc_hd__clkbuf_8  sky130_fd_sc_hd__clkbuf_8_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646908997
+transform 1 0 9408 0 -1 -962
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkbuf_8  sky130_fd_sc_hd__clkbuf_8_1
+timestamp 1646908997
+transform 1 0 9408 0 1 -657
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkbuf_16  sky130_fd_sc_hd__clkbuf_16_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646908997
+transform 1 0 10400 0 1 -657
+box -38 -48 1878 592
+use sky130_fd_sc_hd__clkbuf_16  sky130_fd_sc_hd__clkbuf_16_1
+timestamp 1646908997
+transform 1 0 10400 0 -1 -962
+box -38 -48 1878 592
+use sky130_fd_sc_hd__clkbuf_16  sky130_fd_sc_hd__clkbuf_16_2
+timestamp 1646908997
+transform -1 0 12240 0 -1 687
+box -38 -48 1878 592
+use sky130_fd_sc_hd__clkbuf_16  sky130_fd_sc_hd__clkbuf_16_3
+timestamp 1646908997
+transform 1 0 8560 0 -1 687
+box -38 -48 1878 592
+<< labels >>
+rlabel metal1 1732 2426 1789 2460 1 vdd
+port 3 n
+rlabel metal1 -1407 1757 -1384 1783 1 vsel0
+port 5 n
+rlabel metal1 -1330 1605 -1307 1631 1 vsel1
+port 6 n
+rlabel metal1 -1248 1534 -1225 1560 1 vsel2
+port 7 n
+rlabel metal1 -1161 1449 -1138 1475 1 vsel3
+port 8 n
+rlabel metal1 -1702 -522 -1659 -476 1 vctrl
+port 1 n
+rlabel locali 1902 1080 1947 1117 1 out
+rlabel metal1 1994 2687 2051 2721 1 vss
+port 4 n
+rlabel metal2 12803 879 12857 931 1 out_div128_buf
+port 2 n
+rlabel metal2 12793 -1239 12853 -1185 1 out_div256_buf
+port 9 n
+<< end >>
diff --git a/mag/3-stage_cs-vco_dp9/vco_with_fdivs_-_with_BB_try_to_avoid_the_bug.mag b/mag/3-stage_cs-vco_dp9/vco_with_fdivs_-_with_BB_try_to_avoid_the_bug.mag
new file mode 100755
index 0000000..e19c929
--- /dev/null
+++ b/mag/3-stage_cs-vco_dp9/vco_with_fdivs_-_with_BB_try_to_avoid_the_bug.mag
@@ -0,0 +1,879 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1647637419
+<< nwell >>
+rect 7680 243 8547 284
+rect 12276 243 12404 426
+rect 7680 -396 12404 243
+rect 7680 -1770 12404 -1178
+<< pwell >>
+rect 8161 692 12404 1138
+rect 7680 426 12404 692
+rect 7680 284 8522 426
+rect 7659 -1178 12404 -396
+<< psubdiff >>
+rect 8547 764 8593 798
+rect 12126 764 12184 798
+rect 8547 -771 8593 -737
+rect 12126 -771 12184 -737
+<< nsubdiff >>
+rect 8512 -16 8552 18
+rect 12137 -16 12192 18
+rect 8512 -1619 8552 -1585
+rect 12137 -1619 12192 -1585
+<< psubdiffcont >>
+rect 8593 764 12126 798
+rect 8593 -771 12126 -737
+<< nsubdiffcont >>
+rect 8552 -16 12137 18
+rect 8552 -1619 12137 -1585
+<< locali >>
+rect 2131 1117 2393 1122
+rect 1728 1082 2393 1117
+rect 1728 1077 2171 1082
+rect 1728 1040 1768 1077
+rect 8567 764 8593 798
+rect 12126 764 12151 798
+rect 10365 505 10435 540
+rect 10365 343 10435 381
+rect 8533 -16 8552 18
+rect 12137 -16 12164 18
+rect 12029 -436 12062 -402
+rect 8567 -771 8593 -737
+rect 12126 -771 12151 -737
+rect 8533 -1619 8552 -1585
+rect 12137 -1619 12164 -1585
+<< viali >>
+rect 8593 764 12126 798
+rect 8587 462 8621 496
+rect 10328 381 10466 505
+rect 12179 450 12213 484
+rect 8552 -16 12137 18
+rect 8577 -451 8611 -417
+rect 8789 -451 8823 -417
+rect 8948 -451 8982 -417
+rect 9325 -451 9359 -417
+rect 9439 -451 9473 -417
+rect 10185 -451 10219 -417
+rect 10286 -451 10320 -417
+rect 10429 -451 10463 -417
+rect 11995 -436 12029 -402
+rect 12074 -436 12108 -402
+rect 12155 -436 12189 -402
+rect 8593 -771 12126 -737
+rect 8588 -1221 8636 -1173
+rect 8782 -1210 8816 -1176
+rect 8952 -1210 8986 -1176
+rect 9326 -1210 9360 -1176
+rect 9436 -1210 9470 -1176
+rect 10187 -1210 10221 -1176
+rect 10293 -1210 10327 -1176
+rect 10427 -1210 10461 -1176
+rect 12043 -1247 12130 -1165
+rect 8552 -1619 12137 -1585
+<< metal1 >>
+rect 1989 2686 2059 2724
+rect 1726 2424 1796 2462
+rect -1047 2372 -957 2378
+rect -1047 2276 -957 2282
+rect -96 2372 -6 2378
+rect -96 2276 -6 2282
+rect 987 2372 1077 2378
+rect 987 2276 1077 2282
+rect -1409 1755 -1383 1787
+rect 1704 1686 8161 1723
+rect -1332 1602 -1304 1635
+rect 1704 1596 2233 1686
+rect 2323 1596 3977 1686
+rect 4067 1596 5786 1686
+rect 5876 1604 8020 1686
+rect 5876 1596 7009 1604
+rect -1251 1531 -1223 1564
+rect -1029 1519 -939 1525
+rect -1163 1448 -1135 1481
+rect -889 1476 -787 1566
+rect 1704 1546 7009 1596
+rect 8110 1604 8161 1686
+rect 8020 1590 8110 1596
+rect 1704 1531 1847 1546
+rect -1029 1423 -939 1429
+rect 8161 1076 8263 1122
+rect 1989 691 2235 749
+rect 2249 724 2339 730
+rect 1989 659 2249 691
+rect 1989 601 1995 659
+rect 2053 601 2235 659
+rect 3987 724 4077 730
+rect 2339 659 3987 691
+rect 2249 628 2339 634
+rect 5820 724 5910 730
+rect 4077 659 5820 691
+rect 3987 628 4077 634
+rect 7006 691 7173 749
+rect 7556 724 7646 730
+rect 5910 659 7556 691
+rect 7006 658 7556 659
+rect 5820 628 5910 634
+rect 7646 658 7680 691
+rect 7556 628 7646 634
+rect 1989 600 2235 601
+rect 1989 595 2059 600
+rect 2161 352 2235 358
+rect 2161 292 2168 352
+rect 2228 292 2277 352
+rect 8217 346 8263 1076
+rect 8560 798 12240 810
+rect 8560 764 8593 798
+rect 12126 764 12240 798
+rect 8560 735 12240 764
+rect 8880 724 8970 730
+rect 8880 628 8970 634
+rect 9405 724 9495 730
+rect 9405 628 9495 634
+rect 10347 724 10437 730
+rect 10347 628 10437 634
+rect 10978 724 11068 730
+rect 10978 628 11068 634
+rect 11625 724 11715 730
+rect 11625 628 11715 634
+rect 12119 724 12209 730
+rect 12119 628 12209 634
+rect 10312 505 10480 521
+rect 8575 500 8633 502
+rect 7680 300 8263 346
+rect 8379 496 8633 500
+rect 8379 462 8587 496
+rect 8621 462 8633 496
+rect 8379 457 8633 462
+rect 2161 286 2235 292
+rect 8379 117 8422 457
+rect 8575 456 8633 457
+rect 10312 381 10328 505
+rect 10466 381 10480 505
+rect 12167 484 12225 490
+rect 12167 450 12179 484
+rect 12213 450 12330 484
+rect 12167 444 12225 450
+rect 10312 365 10480 381
+rect 12296 117 12330 450
+rect 8374 111 8426 117
+rect 12287 111 12339 117
+rect 8374 53 8426 59
+rect 1729 -38 1735 20
+rect 1793 -36 2235 20
+rect 8488 18 12240 95
+rect 12287 53 12339 59
+rect 2248 -12 2338 -6
+rect 1793 -38 2245 -36
+rect 1729 -74 2248 -38
+rect 1729 -132 2245 -74
+rect 3983 -12 4073 -6
+rect 2338 -74 3983 -38
+rect 2248 -108 2338 -102
+rect 5815 -12 5905 -6
+rect 4073 -74 5815 -38
+rect 3983 -108 4073 -102
+rect 7560 -12 7650 -6
+rect 5905 -74 7560 -38
+rect 5815 -108 5905 -102
+rect 8488 -16 8552 18
+rect 12137 -12 12240 18
+rect 7650 -74 7680 -38
+rect 8488 -65 8553 -16
+rect 7560 -108 7650 -102
+rect 8643 -65 9062 -16
+rect 8553 -108 8643 -102
+rect 9152 -65 9788 -16
+rect 9062 -108 9152 -102
+rect 9878 -65 10355 -16
+rect 9788 -108 9878 -102
+rect 10445 -65 10949 -16
+rect 10355 -108 10445 -102
+rect 11039 -65 11680 -16
+rect 10949 -108 11039 -102
+rect 11770 -65 12115 -16
+rect 11680 -108 11770 -102
+rect 12205 -65 12240 -12
+rect 12115 -108 12205 -102
+rect -2159 -461 -1723 -391
+rect 11978 -396 12200 -380
+rect 11978 -402 12201 -396
+rect 12296 -402 12330 53
+rect 2172 -409 2224 -403
+rect 2224 -458 2248 -412
+rect 8565 -417 8623 -411
+rect 7680 -451 8577 -417
+rect 8611 -451 8623 -417
+rect 2172 -467 2224 -461
+rect -1724 -522 -1630 -476
+rect -942 -497 -878 -491
+rect -942 -567 -878 -561
+rect 1968 -713 2080 -554
+rect 1968 -744 2236 -713
+rect 1968 -771 2237 -744
+rect 2252 -745 2342 -739
+rect 1968 -803 2252 -771
+rect 1968 -863 2237 -803
+rect 3993 -745 4083 -739
+rect 2342 -803 3993 -771
+rect 2252 -841 2342 -835
+rect 5806 -745 5896 -739
+rect 4083 -803 5806 -771
+rect 3993 -841 4083 -835
+rect 7547 -745 7637 -739
+rect 5896 -803 7547 -771
+rect 5806 -841 5896 -835
+rect 7637 -803 7680 -771
+rect 7547 -841 7637 -835
+rect 5810 -1113 5930 -1111
+rect 5810 -1169 5843 -1113
+rect 5897 -1123 5930 -1113
+rect 7722 -1123 7756 -451
+rect 8565 -457 8623 -451
+rect 8777 -417 8835 -411
+rect 8936 -417 8994 -411
+rect 8777 -451 8789 -417
+rect 8823 -451 8948 -417
+rect 8982 -451 8994 -417
+rect 8777 -457 8835 -451
+rect 8936 -457 8994 -451
+rect 9313 -417 9371 -411
+rect 9427 -417 9485 -411
+rect 9313 -451 9325 -417
+rect 9359 -451 9439 -417
+rect 9473 -451 9485 -417
+rect 9313 -457 9371 -451
+rect 9427 -457 9485 -451
+rect 10173 -417 10231 -411
+rect 10274 -417 10332 -411
+rect 10417 -417 10475 -411
+rect 10173 -451 10185 -417
+rect 10219 -451 10286 -417
+rect 10320 -451 10429 -417
+rect 10463 -451 10475 -417
+rect 10173 -457 10231 -451
+rect 10274 -457 10332 -451
+rect 10417 -457 10475 -451
+rect 11978 -436 11995 -402
+rect 12029 -436 12074 -402
+rect 12108 -436 12155 -402
+rect 12189 -436 12330 -402
+rect 11978 -442 12201 -436
+rect 11978 -456 12200 -442
+rect 8488 -737 12240 -705
+rect 8488 -771 8593 -737
+rect 12126 -745 12240 -737
+rect 8488 -835 9047 -771
+rect 9137 -835 9777 -771
+rect 9867 -835 10347 -771
+rect 10437 -835 10982 -771
+rect 11072 -835 11589 -771
+rect 11679 -835 12101 -771
+rect 12191 -835 12240 -745
+rect 8488 -914 12240 -835
+rect 5897 -1157 5935 -1123
+rect 7680 -1157 7756 -1123
+rect 5897 -1169 5930 -1157
+rect 8582 -1167 8642 -1161
+rect 8770 -1176 8828 -1170
+rect 8940 -1176 8998 -1170
+rect 8770 -1210 8782 -1176
+rect 8816 -1210 8952 -1176
+rect 8986 -1210 8998 -1176
+rect 8770 -1216 8828 -1210
+rect 8940 -1216 8998 -1210
+rect 9314 -1176 9366 -1164
+rect 12032 -1165 12143 -1152
+rect 9424 -1176 9482 -1170
+rect 9314 -1210 9326 -1176
+rect 9360 -1210 9436 -1176
+rect 9470 -1210 9482 -1176
+rect 9314 -1222 9366 -1210
+rect 9424 -1216 9482 -1210
+rect 10175 -1176 10233 -1170
+rect 10281 -1176 10339 -1170
+rect 10415 -1176 10473 -1170
+rect 10175 -1210 10187 -1176
+rect 10221 -1210 10293 -1176
+rect 10327 -1210 10427 -1176
+rect 10461 -1210 10473 -1176
+rect 10175 -1216 10233 -1210
+rect 10281 -1216 10339 -1210
+rect 10415 -1216 10473 -1210
+rect 8582 -1233 8642 -1227
+rect 12032 -1247 12043 -1165
+rect 12130 -1247 12143 -1165
+rect 12032 -1261 12143 -1247
+rect -303 -1307 -213 -1301
+rect -983 -1397 -977 -1307
+rect -887 -1397 -881 -1307
+rect -303 -1403 -213 -1397
+rect 718 -1307 808 -1301
+rect 1703 -1312 1826 -1262
+rect 1702 -1381 1826 -1312
+rect 718 -1403 808 -1397
+rect 1703 -1442 1826 -1381
+rect 1703 -1465 7680 -1442
+rect 1703 -1555 2233 -1465
+rect 2323 -1555 3985 -1465
+rect 4075 -1555 5888 -1465
+rect 5978 -1555 7584 -1465
+rect 7674 -1555 7680 -1465
+rect 8547 -1465 8637 -1459
+rect 1703 -1565 7680 -1555
+rect 8488 -1555 8547 -1554
+rect 9009 -1465 9099 -1459
+rect 8637 -1555 9009 -1554
+rect 9804 -1465 9894 -1459
+rect 9099 -1555 9804 -1554
+rect 10357 -1465 10447 -1459
+rect 9894 -1555 10357 -1554
+rect 11017 -1465 11107 -1459
+rect 10447 -1555 11017 -1554
+rect 11656 -1465 11746 -1459
+rect 11107 -1555 11656 -1554
+rect 12091 -1465 12181 -1459
+rect 11746 -1555 12091 -1554
+rect 12181 -1555 12240 -1554
+rect 8488 -1585 12240 -1555
+rect 8488 -1619 8552 -1585
+rect 12137 -1619 12240 -1585
+rect 8488 -1652 12240 -1619
+<< via1 >>
+rect -1047 2282 -957 2372
+rect -96 2282 -6 2372
+rect 987 2282 1077 2372
+rect 2233 1596 2323 1686
+rect 3977 1596 4067 1686
+rect 5786 1596 5876 1686
+rect -1029 1429 -939 1519
+rect 8020 1596 8110 1686
+rect 1995 601 2053 659
+rect 2249 634 2339 724
+rect 3987 634 4077 724
+rect 5820 634 5910 724
+rect 7556 634 7646 724
+rect 2168 292 2228 352
+rect 8880 634 8970 724
+rect 9405 634 9495 724
+rect 10347 634 10437 724
+rect 10978 634 11068 724
+rect 11625 634 11715 724
+rect 12119 634 12209 724
+rect 10328 381 10466 505
+rect 8374 59 8426 111
+rect 1735 -38 1793 20
+rect 12287 59 12339 111
+rect 2248 -102 2338 -12
+rect 3983 -102 4073 -12
+rect 5815 -102 5905 -12
+rect 7560 -102 7650 -12
+rect 8553 -16 8643 -12
+rect 9062 -16 9152 -12
+rect 9788 -16 9878 -12
+rect 10355 -16 10445 -12
+rect 10949 -16 11039 -12
+rect 11680 -16 11770 -12
+rect 12115 -16 12137 -12
+rect 12137 -16 12205 -12
+rect 8553 -102 8643 -16
+rect 9062 -102 9152 -16
+rect 9788 -102 9878 -16
+rect 10355 -102 10445 -16
+rect 10949 -102 11039 -16
+rect 11680 -102 11770 -16
+rect 12115 -102 12205 -16
+rect 2172 -461 2224 -409
+rect -942 -561 -878 -497
+rect 2252 -835 2342 -745
+rect 3993 -835 4083 -745
+rect 5806 -835 5896 -745
+rect 7547 -835 7637 -745
+rect 5843 -1169 5897 -1113
+rect 9047 -771 9137 -745
+rect 9777 -771 9867 -745
+rect 10347 -771 10437 -745
+rect 10982 -771 11072 -745
+rect 11589 -771 11679 -745
+rect 12101 -771 12126 -745
+rect 12126 -771 12191 -745
+rect 9047 -835 9137 -771
+rect 9777 -835 9867 -771
+rect 10347 -835 10437 -771
+rect 10982 -835 11072 -771
+rect 11589 -835 11679 -771
+rect 12101 -835 12191 -771
+rect 8582 -1173 8642 -1167
+rect 8582 -1221 8588 -1173
+rect 8588 -1221 8636 -1173
+rect 8636 -1221 8642 -1173
+rect 8582 -1227 8642 -1221
+rect 12043 -1247 12130 -1165
+rect -977 -1397 -887 -1307
+rect -303 -1397 -213 -1307
+rect 718 -1397 808 -1307
+rect 2233 -1555 2323 -1465
+rect 3985 -1555 4075 -1465
+rect 5888 -1555 5978 -1465
+rect 7584 -1555 7674 -1465
+rect 8547 -1555 8637 -1465
+rect 9009 -1555 9099 -1465
+rect 9804 -1555 9894 -1465
+rect 10357 -1555 10447 -1465
+rect 11017 -1555 11107 -1465
+rect 11656 -1555 11746 -1465
+rect 12091 -1555 12181 -1465
+<< metal2 >>
+rect 8497 2813 8587 2822
+rect -1834 2723 -1825 2813
+rect -1735 2723 8497 2813
+rect 8587 2723 12657 2813
+rect 12747 2723 12756 2813
+rect 8497 2714 8587 2723
+rect -2052 2553 -1944 2563
+rect 8321 2553 8411 2562
+rect -2052 2463 -2043 2553
+rect -1953 2463 8321 2553
+rect 8411 2463 12421 2553
+rect 12511 2463 12520 2553
+rect -2052 2454 -1944 2463
+rect 8321 2454 8411 2463
+rect -1820 2372 -1740 2376
+rect -1825 2367 -1047 2372
+rect -1825 2287 -1820 2367
+rect -1740 2287 -1047 2367
+rect -1825 2282 -1047 2287
+rect -957 2282 -96 2372
+rect -6 2282 987 2372
+rect 1077 2282 2103 2372
+rect -1820 2278 -1740 2282
+rect -2159 1958 -1323 1998
+rect -2159 1798 -1238 1838
+rect -2159 1718 -1149 1758
+rect 3977 1686 4067 1692
+rect 8321 1686 8415 1690
+rect -2159 1638 -1078 1678
+rect 2227 1596 2233 1686
+rect 2323 1596 3977 1686
+rect 4067 1596 5786 1686
+rect 5876 1596 8020 1686
+rect 8110 1681 8415 1686
+rect 8110 1601 8326 1681
+rect 8406 1601 8415 1681
+rect 8110 1596 8415 1601
+rect 3977 1590 4067 1596
+rect 8321 1591 8415 1596
+rect -2038 1519 -1958 1523
+rect -2043 1514 -1029 1519
+rect -2043 1434 -2038 1514
+rect -1958 1434 -1029 1514
+rect -2043 1429 -1029 1434
+rect -939 1429 -933 1519
+rect -2038 1425 -1958 1429
+rect 10345 949 10447 958
+rect 10345 865 10354 949
+rect 10438 865 12877 949
+rect 10345 856 10447 865
+rect 8502 724 8582 728
+rect 1985 660 2063 669
+rect 1985 600 1994 660
+rect 2054 600 2063 660
+rect 2243 634 2249 724
+rect 2339 634 3987 724
+rect 4077 634 5820 724
+rect 5910 634 7556 724
+rect 7646 719 8880 724
+rect 7646 639 8502 719
+rect 8582 639 8880 719
+rect 7646 634 8880 639
+rect 8970 634 9405 724
+rect 9495 634 10347 724
+rect 10437 634 10978 724
+rect 11068 634 11625 724
+rect 11715 634 12119 724
+rect 12209 634 12657 724
+rect 12747 634 12756 724
+rect 8502 630 8582 634
+rect 1985 591 2063 600
+rect 10316 505 10477 518
+rect 10316 381 10328 505
+rect 10466 381 10477 505
+rect 10316 370 10477 381
+rect 2161 352 2235 358
+rect 2161 292 2168 352
+rect 2228 292 2235 352
+rect 2161 286 2235 292
+rect 8368 59 8374 111
+rect 8426 106 8432 111
+rect 12281 106 12287 111
+rect 8426 63 12287 106
+rect 8426 59 8432 63
+rect 12281 59 12287 63
+rect 12339 59 12345 111
+rect 1725 21 1803 30
+rect 1725 -39 1734 21
+rect 1794 -39 1803 21
+rect 8321 -12 8415 -7
+rect 1725 -48 1803 -39
+rect 2242 -102 2248 -12
+rect 2338 -102 3983 -12
+rect 4073 -102 5815 -12
+rect 5905 -102 7560 -12
+rect 7650 -17 8553 -12
+rect 7650 -97 8326 -17
+rect 8406 -97 8553 -17
+rect 7650 -102 8553 -97
+rect 8643 -102 9062 -12
+rect 9152 -102 9788 -12
+rect 9878 -102 10355 -12
+rect 10445 -102 10949 -12
+rect 11039 -102 11680 -12
+rect 11770 -102 12115 -12
+rect 12205 -102 12421 -12
+rect 12511 -102 12520 -12
+rect 8321 -106 8415 -102
+rect 2159 -465 2168 -405
+rect 2228 -465 2237 -405
+rect -1823 -561 -1814 -497
+rect -1750 -561 -942 -497
+rect -878 -561 -872 -497
+rect 8502 -745 8582 -741
+rect 2246 -835 2252 -745
+rect 2342 -835 3993 -745
+rect 4083 -835 5806 -745
+rect 5896 -835 7547 -745
+rect 7637 -750 9047 -745
+rect 7637 -830 8502 -750
+rect 8582 -830 9047 -750
+rect 7637 -835 9047 -830
+rect 9137 -835 9777 -745
+rect 9867 -835 10347 -745
+rect 10437 -835 10982 -745
+rect 11072 -835 11589 -745
+rect 11679 -835 12101 -745
+rect 12191 -835 12657 -745
+rect 12747 -835 12756 -745
+rect 8502 -839 8582 -835
+rect 5834 -1113 5908 -1103
+rect 5834 -1169 5843 -1113
+rect 5899 -1169 5908 -1113
+rect 12032 -1164 12143 -1152
+rect 12032 -1165 12877 -1164
+rect 7937 -1169 8582 -1167
+rect 5834 -1178 5908 -1169
+rect 7930 -1225 7939 -1169
+rect 7995 -1225 8582 -1169
+rect 7937 -1227 8582 -1225
+rect 8642 -1227 8648 -1167
+rect 12032 -1247 12043 -1165
+rect 12130 -1247 12877 -1165
+rect 12032 -1261 12143 -1247
+rect -2038 -1307 -1958 -1303
+rect -977 -1307 -887 -1301
+rect -2043 -1312 -977 -1307
+rect -2043 -1392 -2038 -1312
+rect -1958 -1392 -977 -1312
+rect -2043 -1397 -977 -1392
+rect -887 -1397 -303 -1307
+rect -213 -1397 718 -1307
+rect 808 -1397 1839 -1307
+rect -2038 -1401 -1958 -1397
+rect -977 -1403 -887 -1397
+rect 8326 -1465 8406 -1461
+rect 2227 -1555 2233 -1465
+rect 2323 -1555 3985 -1465
+rect 4075 -1555 5888 -1465
+rect 5978 -1555 7584 -1465
+rect 7674 -1470 8547 -1465
+rect 7674 -1550 8326 -1470
+rect 8406 -1550 8547 -1470
+rect 7674 -1555 8547 -1550
+rect 8637 -1555 9009 -1465
+rect 9099 -1555 9804 -1465
+rect 9894 -1555 10357 -1465
+rect 10447 -1555 11017 -1465
+rect 11107 -1555 11656 -1465
+rect 11746 -1555 12091 -1465
+rect 12181 -1555 12421 -1465
+rect 12511 -1555 12520 -1465
+rect 8326 -1559 8406 -1555
+rect 7925 -1672 8006 -1663
+rect 5840 -1674 7937 -1672
+rect 5833 -1730 5842 -1674
+rect 5898 -1730 7937 -1674
+rect 5840 -1732 7937 -1730
+rect 7997 -1732 8006 -1672
+rect 7925 -1742 8006 -1732
+<< via2 >>
+rect -1825 2723 -1735 2813
+rect 8497 2723 8587 2813
+rect 12657 2723 12747 2813
+rect -2043 2463 -1953 2553
+rect 8321 2463 8411 2553
+rect 12421 2463 12511 2553
+rect -1820 2287 -1740 2367
+rect 8326 1601 8406 1681
+rect -2038 1434 -1958 1514
+rect 10354 865 10438 949
+rect 1994 659 2054 660
+rect 1994 601 1995 659
+rect 1995 601 2053 659
+rect 2053 601 2054 659
+rect 1994 600 2054 601
+rect 8502 639 8582 719
+rect 12657 634 12747 724
+rect 10328 381 10466 505
+rect 2170 294 2226 350
+rect 1734 20 1794 21
+rect 1734 -38 1735 20
+rect 1735 -38 1793 20
+rect 1793 -38 1794 20
+rect 1734 -39 1794 -38
+rect 8326 -97 8406 -17
+rect 12421 -102 12511 -12
+rect 2168 -409 2228 -405
+rect 2168 -461 2172 -409
+rect 2172 -461 2224 -409
+rect 2224 -461 2228 -409
+rect 2168 -465 2228 -461
+rect -1814 -561 -1750 -497
+rect 8502 -830 8582 -750
+rect 12657 -835 12747 -745
+rect 5843 -1169 5897 -1113
+rect 5897 -1169 5899 -1113
+rect 7939 -1225 7995 -1169
+rect -2038 -1392 -1958 -1312
+rect 8326 -1550 8406 -1470
+rect 12421 -1555 12511 -1465
+rect 5842 -1730 5898 -1674
+rect 7937 -1732 7997 -1672
+<< metal3 >>
+rect -1830 2813 -1730 2818
+rect -1830 2723 -1825 2813
+rect -1735 2723 -1730 2813
+rect -1830 2718 -1730 2723
+rect 8492 2813 8592 2818
+rect 8492 2723 8497 2813
+rect 8587 2723 8592 2813
+rect 8492 2718 8592 2723
+rect 12652 2813 12752 2818
+rect 12652 2723 12657 2813
+rect 12747 2723 12752 2813
+rect 12652 2718 12752 2723
+rect -2048 2553 -1948 2558
+rect -2048 2463 -2043 2553
+rect -1953 2463 -1948 2553
+rect -2048 2458 -1948 2463
+rect -2043 1514 -1953 2458
+rect -2043 1434 -2038 1514
+rect -1958 1434 -1953 1514
+rect -2043 -1312 -1953 1434
+rect -1825 2367 -1735 2718
+rect 8316 2553 8416 2558
+rect 8316 2463 8321 2553
+rect 8411 2463 8416 2553
+rect 8316 2458 8416 2463
+rect -1825 2287 -1820 2367
+rect -1740 2287 -1735 2367
+rect -1825 -497 -1735 2287
+rect 8321 1681 8411 2458
+rect 8321 1601 8326 1681
+rect 8406 1601 8411 1681
+rect 1989 660 2059 665
+rect 1989 600 1994 660
+rect 2054 600 2059 660
+rect 1989 595 2059 600
+rect 2165 350 2231 355
+rect 2165 294 2170 350
+rect 2226 294 2231 350
+rect 2165 289 2231 294
+rect 1729 21 1799 26
+rect 1729 -39 1734 21
+rect 1794 -39 1799 21
+rect 1729 -44 1799 -39
+rect 2168 -400 2228 289
+rect 8321 -17 8411 1601
+rect 8321 -97 8326 -17
+rect 8406 -97 8411 -17
+rect 2163 -405 2233 -400
+rect 2163 -465 2168 -405
+rect 2228 -465 2233 -405
+rect 2163 -470 2233 -465
+rect -1825 -561 -1814 -497
+rect -1750 -561 -1735 -497
+rect -1825 -582 -1735 -561
+rect 5835 -1113 5905 -1105
+rect 5835 -1169 5843 -1113
+rect 5899 -1169 5905 -1113
+rect 5835 -1175 5905 -1169
+rect 7934 -1169 8000 -1164
+rect -2043 -1392 -2038 -1312
+rect -1958 -1392 -1953 -1312
+rect -2043 -1397 -1953 -1392
+rect 5840 -1669 5900 -1175
+rect 7934 -1225 7939 -1169
+rect 7995 -1225 8000 -1169
+rect 7934 -1230 8000 -1225
+rect 7937 -1667 7997 -1230
+rect 8321 -1470 8411 -97
+rect 8497 719 8587 2718
+rect 12416 2553 12516 2558
+rect 12416 2463 12421 2553
+rect 12511 2463 12516 2553
+rect 12416 2458 12516 2463
+rect 10345 949 10447 958
+rect 10345 865 10354 949
+rect 10438 865 10447 949
+rect 10345 856 10447 865
+rect 8497 639 8502 719
+rect 8582 639 8587 719
+rect 8497 -750 8587 639
+rect 10354 518 10438 856
+rect 12421 729 12511 2458
+rect 12657 729 12747 2718
+rect 12416 629 12516 729
+rect 12652 724 12752 729
+rect 12652 634 12657 724
+rect 12747 634 12752 724
+rect 12652 629 12752 634
+rect 10316 505 10477 518
+rect 10316 381 10328 505
+rect 10466 381 10477 505
+rect 10316 370 10477 381
+rect 12421 -7 12511 629
+rect 12416 -12 12516 -7
+rect 12416 -102 12421 -12
+rect 12511 -102 12516 -12
+rect 12416 -107 12516 -102
+rect 8497 -830 8502 -750
+rect 8582 -830 8587 -750
+rect 8497 -835 8587 -830
+rect 12421 -1460 12511 -107
+rect 12657 -740 12747 629
+rect 12652 -745 12752 -740
+rect 12652 -835 12657 -745
+rect 12747 -835 12752 -745
+rect 12652 -840 12752 -835
+rect 12657 -895 12747 -840
+rect 8321 -1550 8326 -1470
+rect 8406 -1550 8411 -1470
+rect 8321 -1555 8411 -1550
+rect 12416 -1465 12516 -1460
+rect 12416 -1555 12421 -1465
+rect 12511 -1555 12516 -1465
+rect 12416 -1560 12516 -1555
+rect 12421 -1634 12511 -1560
+rect 5837 -1674 5903 -1669
+rect 5837 -1730 5842 -1674
+rect 5898 -1730 5903 -1674
+rect 5837 -1735 5903 -1730
+rect 7932 -1672 8002 -1667
+rect 7932 -1732 7937 -1672
+rect 7997 -1732 8002 -1672
+rect 7932 -1737 8002 -1732
+use 3-stage_cs-vco_dp9  3-stage_cs-vco_dp9_0
+timestamp 1647637375
+transform 1 0 25 0 1 226
+box -1753 -1641 2093 2641
+use FD_v2  FD_v2_1
+timestamp 1647637375
+transform -1 0 7748 0 -1 -29
+box 68 -697 1883 34
+use FD_v2  FD_v2_2
+timestamp 1647637375
+transform -1 0 5933 0 -1 -29
+box 68 -697 1883 34
+use FD_v2  FD_v2_3
+timestamp 1647637375
+transform -1 0 4118 0 -1 -29
+box 68 -697 1883 34
+use FD_v2  FD_v2_4
+timestamp 1647637375
+transform 1 0 2167 0 1 -83
+box 68 -697 1883 34
+use FD_v2  FD_v2_5
+timestamp 1647637375
+transform 1 0 3982 0 1 -83
+box 68 -697 1883 34
+use FD_v2  FD_v2_6
+timestamp 1647637375
+transform 1 0 5797 0 1 -83
+box 68 -697 1883 34
+use FD_v2  FD_v2_7
+timestamp 1647637375
+transform -1 0 7748 0 -1 -1491
+box 68 -697 1883 34
+use FD_v2  FD_v2_8
+timestamp 1647637375
+transform -1 0 5933 0 -1 -1491
+box 68 -697 1883 34
+use FD_v2  FD_v2_9
+timestamp 1647637375
+transform -1 0 4118 0 -1 -1491
+box 68 -697 1883 34
+use FD_v5  FD_v5_0
+timestamp 1647637375
+transform 1 0 2617 0 1 1451
+box -383 -769 5544 178
+use sky130_fd_sc_hd__clkbuf_2  sky130_fd_sc_hd__clkbuf_2_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646908997
+transform 1 0 8488 0 -1 -962
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_2  sky130_fd_sc_hd__clkbuf_2_1
+timestamp 1646908997
+transform 1 0 8488 0 1 -657
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_4  sky130_fd_sc_hd__clkbuf_4_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646908997
+transform 1 0 8856 0 -1 -962
+box -38 -48 590 592
+use sky130_fd_sc_hd__clkbuf_4  sky130_fd_sc_hd__clkbuf_4_1
+timestamp 1646908997
+transform 1 0 8856 0 1 -657
+box -38 -48 590 592
+use sky130_fd_sc_hd__clkbuf_8  sky130_fd_sc_hd__clkbuf_8_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646908997
+transform 1 0 9408 0 -1 -962
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkbuf_8  sky130_fd_sc_hd__clkbuf_8_1
+timestamp 1646908997
+transform 1 0 9408 0 1 -657
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkbuf_16  sky130_fd_sc_hd__clkbuf_16_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646908997
+transform 1 0 10400 0 1 -657
+box -38 -48 1878 592
+use sky130_fd_sc_hd__clkbuf_16  sky130_fd_sc_hd__clkbuf_16_1
+timestamp 1646908997
+transform 1 0 10400 0 -1 -962
+box -38 -48 1878 592
+use sky130_fd_sc_hd__clkbuf_16  sky130_fd_sc_hd__clkbuf_16_2
+timestamp 1646908997
+transform -1 0 12240 0 -1 687
+box -38 -48 1878 592
+use sky130_fd_sc_hd__clkbuf_16  sky130_fd_sc_hd__clkbuf_16_3
+timestamp 1646908997
+transform 1 0 8560 0 -1 687
+box -38 -48 1878 592
+<< labels >>
+rlabel metal1 1732 2426 1789 2460 1 vdd
+port 3 n
+rlabel metal1 -1407 1757 -1384 1783 1 vsel0
+port 5 n
+rlabel metal1 -1330 1605 -1307 1631 1 vsel1
+port 6 n
+rlabel metal1 -1248 1534 -1225 1560 1 vsel2
+port 7 n
+rlabel metal1 -1161 1449 -1138 1475 1 vsel3
+port 8 n
+rlabel metal1 -1702 -522 -1659 -476 1 vctrl
+port 1 n
+rlabel locali 1902 1080 1947 1117 1 out
+rlabel metal1 1994 2687 2051 2721 1 vss
+port 4 n
+rlabel metal2 12803 879 12857 931 1 out_div128_buf
+port 2 n
+rlabel metal2 12793 -1239 12853 -1185 1 out_div256_buf
+port 9 n
+<< end >>
diff --git a/mag/3-stage_cs-vco_dp9/vco_with_fdivs_POST_LAYOUT_tb.spice b/mag/3-stage_cs-vco_dp9/vco_with_fdivs_POST_LAYOUT_tb.spice
index c5d6a53..337d5fa 100755
--- a/mag/3-stage_cs-vco_dp9/vco_with_fdivs_POST_LAYOUT_tb.spice
+++ b/mag/3-stage_cs-vco_dp9/vco_with_fdivs_POST_LAYOUT_tb.spice
@@ -9,7 +9,8 @@
 
 
 *POST-LAYOUT DUT:
-x1 vctrl out_div128_buf net1 net2 vsel0 vsel1 vsel2 vsel3 out_div256_buf vco_with_fdivs
+*x1 vctrl out_div128_buf net1 net2 vsel0 vsel1 vsel2 vsel3 out_div256_buf vco_with_fdivs
+x1 vctrl out_div128_buf net1 net2 vsel0 vsel1 vsel2 vsel3 out_div256_buf net1 net2 vco_with_fdivs_split_supplies
 
 *This is the SCH DUT:
 *x1 net1 vctrl vsel0 vsel1 vsel2 vsel3 net2 out_div128_buf out_div256_buf vco_with_fdivs
@@ -72,8 +73,8 @@
 *VCO current mirror select controls:
 .param p_sel0 = 1.8
 .param p_sel1 = 1.8
-.param p_sel2 = 1.8
-.param p_sel3 = 1.8
+.param p_sel2 = 1.8 
+.param p_sel3 = 0.0 
 *---------
 
 
@@ -186,6 +187,7 @@
 *------------------------------------------------------------------
 *EXTRACTED NETLIST FOR VCO_WITH_FDIVS POST-LAYOUT 0
 *.include /home/darunix/GitSandboxes/VCO/vco/mag/3-stage_cs-vco_dp9/vco_with_fdivs.spice
+.include /home/darunixspro3/Desktop/GitSandboxes/caravel_user_project_analog_vco/mag/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.spice
 
 
 *This is the netlist HACKED where I have made the Fdiv Inverters 2x Wider, to try to fix the Fdiv issue
@@ -193,7 +195,7 @@
 
 *This is last try, layout I tried with slightly wider Pmos on TGATEs to see if making them
 *less resistive would help a bit (even though they will add more cap)
-.include /home/darunix/GitSandboxes/VCO/vco/mag/3-stage_cs-vco_dp9/vco_with_fdivs_lasttry.spice
+*.include /home/darunix/GitSandboxes/VCO/vco/mag/3-stage_cs-vco_dp9/vco_with_fdivs_lasttry.spice
 
 
 *------------------------------------------------------------------
diff --git a/mag/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.ext b/mag/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.ext
new file mode 100644
index 0000000..9b13579
--- /dev/null
+++ b/mag/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.ext
@@ -0,0 +1,917 @@
+timestamp 1647887906
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+use 3-stage_cs-vco_dp9 3-stage_cs-vco_dp9_0 1 0 25 0 1 226
+use FD_v2 FD_v2_2 -1 0 5933 0 -1 -29
+use FD_v2 FD_v2_3 -1 0 4118 0 -1 -29
+use FD_v2 FD_v2_4 1 0 2167 0 1 -83
+use FD_v2 FD_v2_5 1 0 3982 0 1 -83
+use FD_v2 FD_v2_8 -1 0 5933 0 -1 -1491
+use FD_v2 FD_v2_9 -1 0 4118 0 -1 -1491
+use FD_v5 FD_v5_0 1 0 2617 0 1 1451
+use FD_v2 FD_v2_7 -1 0 7748 0 -1 -1491
+use FD_v2 FD_v2_6 1 0 5797 0 1 -83
+use FD_v2 FD_v2_1 -1 0 7748 0 -1 -29
+use sky130_fd_sc_hd__clkbuf_16 sky130_fd_sc_hd__clkbuf_16_3 1 0 8560 0 -1 687
+use sky130_fd_sc_hd__clkbuf_8 sky130_fd_sc_hd__clkbuf_8_1 1 0 9408 0 1 -657
+use sky130_fd_sc_hd__clkbuf_8 sky130_fd_sc_hd__clkbuf_8_0 1 0 9408 0 -1 -962
+use sky130_fd_sc_hd__clkbuf_4 sky130_fd_sc_hd__clkbuf_4_1 1 0 8856 0 1 -657
+use sky130_fd_sc_hd__clkbuf_4 sky130_fd_sc_hd__clkbuf_4_0 1 0 8856 0 -1 -962
+use sky130_fd_sc_hd__clkbuf_2 sky130_fd_sc_hd__clkbuf_2_1 1 0 8488 0 1 -657
+use sky130_fd_sc_hd__clkbuf_2 sky130_fd_sc_hd__clkbuf_2_0 1 0 8488 0 -1 -962
+use sky130_fd_sc_hd__clkbuf_16 sky130_fd_sc_hd__clkbuf_16_0 1 0 10400 0 1 -657
+use sky130_fd_sc_hd__clkbuf_16 sky130_fd_sc_hd__clkbuf_16_1 1 0 10400 0 -1 -962
+use sky130_fd_sc_hd__clkbuf_16 sky130_fd_sc_hd__clkbuf_16_2 -1 0 12240 0 -1 687
+port "vctrl" 1 -1702 -522 -1659 -476 m1
+port "vsel3" 8 -1161 1449 -1138 1475 m1
+port "vsel2" 7 -1248 1534 -1225 1560 m1
+port "vsel1" 6 -1330 1605 -1307 1631 m1
+port "vsel0" 5 -1407 1757 -1384 1783 m1
+port "vdd" 3 1732 2426 1789 2460 m1
+port "vss" 4 1994 2687 2051 2721 m1
+port "out_div256_buf" 9 12793 -1239 12853 -1185 m2
+port "out_div128_buf" 2 12803 879 12857 931 m2
+port "vdd_2" 10 2344 1858 2398 1896 m3
+port "vss_2" 11 2650 2102 2718 2134 m3
+node "m2_n2159_1638#" 3 444.357 -2159 1638 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 43240 2242 0 0 0 0 0 0 0 0
+node "m2_n2159_1718#" 3 411.197 -2159 1718 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 40400 2100 0 0 0 0 0 0 0 0
+node "m2_n2159_1798#" 3 380.837 -2159 1798 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 36840 1922 0 0 0 0 0 0 0 0
+node "m2_n2159_1958#" 3 347.092 -2159 1958 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 33440 1752 0 0 0 0 0 0 0 0
+node "m1_1702_n1381#" 0 130.257 1702 -1381 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19995 572 0 0 0 0 0 0 0 0 0 0
+node "m1_1968_n594#" 0 65.232 1968 -594 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4480 304 0 0 0 0 0 0 0 0 0 0
+node "vctrl" 0 60.2106 -1702 -522 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4324 280 0 0 0 0 0 0 0 0 0 0
+node "m1_n2159_n461#" 1 227.298 -2159 -461 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30520 1012 0 0 0 0 0 0 0 0 0 0
+node "m1_2161_286#" 2 493.837 2161 286 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12280 656 10008 568 50596 1802 0 0 0 0 0 0
+node "m1_7680_300#" 4 740.571 7680 300 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 65090 2922 0 0 0 0 0 0 0 0 0 0
+node "m1_1704_1531#" 0 155.721 1704 1531 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27633 672 0 0 0 0 0 0 0 0 0 0
+node "m1_n889_1476#" 0 84.687 -889 1476 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9180 384 0 0 0 0 0 0 0 0 0 0
+node "vsel3" 0 25.6106 -1161 1449 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 924 122 0 0 0 0 0 0 0 0 0 0
+node "m1_n1029_1423#" 17 5575.59 -1029 1423 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 36720 1536 809372 18522 356950 8110 0 0 0 0 0 0
+node "vsel2" 0 25.6106 -1248 1534 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 924 122 0 0 0 0 0 0 0 0 0 0
+node "vsel1" 0 25.6106 -1330 1605 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 924 122 0 0 0 0 0 0 0 0 0 0
+node "vsel0" 0 24.3208 -1407 1757 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 832 116 0 0 0 0 0 0 0 0 0 0
+node "m1_n1047_2276#" 17 5201.26 -1047 2276 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 32404 1432 772054 18196 307000 7000 0 0 0 0 0 0
+node "vdd" 0 46.009 1732 2426 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2660 216 0 0 0 0 0 0 0 0 0 0
+node "vss" 0 46.009 1994 2687 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2660 216 0 0 0 0 0 0 0 0 0 0
+node "out_div256_buf" 14 489.358 12793 -1239 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7134 338 12099 440 73021 1908 0 0 0 0 0 0 0 0
+node "li_10187_n1210#" 122 145.666 10187 -1210 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3468 408 12220 736 0 0 0 0 0 0 0 0 0 0
+node "li_9326_n1210#" 72 88.6247 9326 -1210 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2312 272 7656 476 0 0 0 0 0 0 0 0 0 0
+node "li_8782_n1210#" 72 108.473 8782 -1210 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2312 272 9144 572 0 0 0 0 0 0 0 0 0 0
+node "li_8588_n1221#" 21 1383.2 8588 -1221 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2304 192 11450 630 180493 6358 74372 2710 0 0 0 0 0 0
+node "li_10185_n451#" 122 311.164 10185 -451 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3468 408 12356 744 0 0 0 0 0 0 0 0 0 0
+node "li_9325_n451#" 72 194.218 9325 -451 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2312 272 7240 460 0 0 0 0 0 0 0 0 0 0
+node "li_8789_n451#" 72 221.635 8789 -451 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2312 272 8770 550 0 0 0 0 0 0 0 0 0 0
+node "li_8577_n451#" 18 1004.68 8577 -451 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1156 136 58190 3474 0 0 0 0 0 0 0 0 0 0
+node "li_8587_462#" 239 809.308 8587 462 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6902 746 86706 4372 172163 8076 0 0 0 0 0 0 0 0
+node "out_div128_buf" 37 2826.11 12803 879 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22222 670 26208 648 238352 5886 62624 1534 0 0 0 0 0 0
+node "out" 216 332.429 1728 1040 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 28280 1494 0 0 0 0 0 0 0 0 0 0 0 0
+node "vdd_2" 59764 47038.8 2344 1858 m3 0 0 0 0 5874215 21806 0 0 250240 14856 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 246908 14660 3003887 53838 3365262 75578 1168050 25576 0 0 0 0 0 0
+substrate "vss_2" 0 0 2650 2102 m3 0 0 0 0 0 0 0 0 0 0 247316 14684 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 243712 14472 1581752 42398 2833186 63618 1033440 22700 0 0 0 0 0 0
+cap "m1_n1047_2276#" "vss" 40.9645
+cap "li_10187_n1210#" "vdd_2" 202.557
+cap "li_8588_n1221#" "li_8782_n1210#" 29.2195
+cap "vdd_2" "li_8789_n451#" 27.9986
+cap "vdd_2" "out_div256_buf" 464.121
+cap "vdd_2" "m1_n1029_1423#" 12.2283
+cap "vdd_2" "li_10185_n451#" 40.6135
+cap "m2_n2159_1958#" "m1_n1029_1423#" 75.34
+cap "li_8789_n451#" "li_8577_n451#" 24.7995
+cap "m2_n2159_1958#" "m2_n2159_1638#" 149.286
+cap "m1_n2159_n461#" "m1_n1029_1423#" 68.3125
+cap "m2_n2159_1798#" "m1_n1029_1423#" 219.814
+cap "vdd_2" "li_8588_n1221#" 2063.08
+cap "vsel0" "m2_n2159_1718#" 16.6513
+cap "m1_n1047_2276#" "m2_n2159_1718#" 75.34
+cap "m2_n2159_1798#" "m2_n2159_1638#" 383.75
+cap "li_9326_n1210#" "li_8782_n1210#" 9.85063
+cap "vdd_2" "li_8782_n1210#" 146.832
+cap "m1_n1047_2276#" "vctrl" 73.9225
+cap "li_9325_n451#" "vdd_2" 22.2458
+cap "m2_n2159_1958#" "m2_n2159_1718#" 209
+cap "m1_n1047_2276#" "m2_n2159_1958#" 163.921
+cap "m1_2161_286#" "vdd_2" 712.421
+cap "vdd_2" "out" 24.883
+cap "vdd_2" "li_9326_n1210#" 128.186
+cap "m1_n1047_2276#" "m1_n2159_n461#" 68.3125
+cap "m2_n2159_1798#" "m2_n2159_1718#" 1151.25
+cap "vsel2" "m1_n889_1476#" 4.44611
+cap "m1_n1047_2276#" "m2_n2159_1798#" 75.34
+cap "m2_n2159_1638#" "m1_n1029_1423#" 481.971
+cap "m1_n889_1476#" "m1_n1029_1423#" 44.1
+cap "vdd_2" "out_div128_buf" 177.017
+cap "vsel3" "m1_n1029_1423#" 46.3848
+cap "li_8587_462#" "vdd_2" 7142.79
+cap "li_8587_462#" "out_div128_buf" 30.4924
+cap "m1_n2159_n461#" "vctrl" 3
+cap "vdd_2" "m1_1704_1531#" 25.5288
+cap "m1_n889_1476#" "vsel3" 0.914634
+cap "li_9325_n451#" "li_8789_n451#" 9.76017
+cap "m2_n2159_1958#" "m2_n2159_1798#" 348.333
+cap "vdd_2" "li_8577_n451#" 64.3486
+cap "m1_1702_n1381#" "m1_n1029_1423#" 163.406
+cap "li_8587_462#" "m1_7680_300#" 78.0189
+cap "m2_n2159_1718#" "m1_n1029_1423#" 300.375
+cap "m1_n1047_2276#" "m1_n1029_1423#" 4934.46
+cap "m2_n2159_1718#" "m2_n2159_1638#" 1262.5
+cap "m1_n1047_2276#" "m2_n2159_1638#" 75.34
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" "3-stage_cs-vco_dp9_0/vctrl" 1386.29
+cap "3-stage_cs-vco_dp9_0/sel2" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" 140.584
+cap "3-stage_cs-vco_dp9_0/sel1" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" 112.371
+cap "3-stage_cs-vco_dp9_0/sel3" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" 205.873
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" "3-stage_cs-vco_dp9_0/sel2" 60.8249
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" "3-stage_cs-vco_dp9_0/sel1" 60.8111
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" "3-stage_cs-vco_dp9_0/sel3" 60.8646
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" "3-stage_cs-vco_dp9_0/vctrl" 11.6418
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/sel" 159.615
+cap "3-stage_cs-vco_dp9_0/sel3" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" 666.667
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/sel" 379.429
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/in" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" 23.2836
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_1/sel" 144.539
+cap "3-stage_cs-vco_dp9_0/sel3" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" -1.74933
+cap "FD_v2_4/Clk_In" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" 8.96197
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/in" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" 11.6418
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_3/sel" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" 314.227
+cap "FD_v2_3/VDD" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vss" 13.6613
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vss" "FD_v2_4/Clk_In" 27.3034
+cap "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/sel" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" 2.85714
+cap "FD_v2_4/Clkb" "FD_v2_9/7" 5.79832
+cap "FD_v2_4/4" "FD_v2_9/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" 2.51825
+cap "FD_v2_4/3" "FD_v2_3/VDD" 4.60465
+cap "FD_v2_9/Clk_Out" "FD_v2_3/VDD" 72.0978
+cap "FD_v2_4/GND" "FD_v2_9/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" 13.9615
+cap "FD_v2_4/GND" "FD_v2_4/4" 26.0114
+cap "FD_v2_4/3" "FD_v2_9/6" 0.172324
+cap "FD_v2_4/Clkb" "FD_v2_3/VDD" 67.6592
+cap "m1_1968_n594#" "FD_v2_3/VDD" 54.0366
+cap "FD_v2_4/Clkb" "m1_1968_n594#" 7.41935
+cap "FD_v2_4/GND" "FD_v2_4/2" 62.325
+cap "FD_v2_4/GND" "FD_v2_9/5" 23.9658
+cap "FD_v2_4/Clk_In" "FD_v2_4/GND" 74.2566
+cap "FD_v2_4/GND" "FD_v2_4/6" 14.8618
+cap "FD_v2_4/GND" "FD_v2_9/2" 5.44323
+cap "FD_v2_4/Clkb" "FD_v2_9/4" 2.51825
+cap "m1_1702_n1381#" "FD_v2_4/Clk_In" 8.96197
+cap "FD_v2_4/5" "FD_v2_3/VDD" 5.78133
+cap "FD_v2_4/GND" "FD_v2_9/7" 12.0649
+cap "FD_v2_4/4" "FD_v2_3/VDD" 9.09184
+cap "FD_v2_4/Clkb" "FD_v2_9/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" 15.0072
+cap "FD_v2_4/GND" "FD_v2_4/3" 84.027
+cap "FD_v2_9/Clk_Out" "FD_v2_4/GND" 5.17315
+cap "FD_v2_4/GND" "FD_v2_3/VDD" 116.865
+cap "FD_v2_4/GND" "FD_v2_4/Clkb" 75.457
+cap "FD_v2_4/GND" "FD_v2_9/6" 37.7137
+cap "FD_v2_4/Clk_In" "FD_v2_9/7" 10.0581
+cap "FD_v2_4/2" "FD_v2_3/VDD" 2.77806
+cap "FD_v2_4/3" "FD_v2_9/2" 0.68546
+cap "FD_v2_4/Clkb" "FD_v2_9/5" 2.60252
+cap "FD_v2_4/6" "FD_v2_3/VDD" 3.15689
+cap "FD_v2_4/Clk_In" "FD_v2_3/VDD" 196.381
+cap "FD_v2_4/Clk_In" "FD_v2_9/6" 15.4867
+cap "FD_v2_4/GND" "FD_v2_9/4" 17.7273
+cap "FD_v2_4/5" "FD_v2_9/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" 2.60252
+cap "FD_v2_4/Clk_In" "m1_1968_n594#" 96.4319
+cap "FD_v2_4/5" "FD_v2_4/GND" 23.9658
+cap "FD_v2_9/7" "FD_v2_3/VDD" 77.3233
+cap "FD_v2_4/Clk_Out" "FD_v2_5/3" 19.5938
+cap "FD_v2_8/7" "FD_v2_4/Clk_Out" 10.0581
+cap "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_4/Clk_Out" 5.89315
+cap "FD_v2_8/6" "FD_v2_8/Clk_Out" 18.7444
+cap "FD_v2_4/GND" "FD_v2_4/2" 5.44323
+cap "FD_v2_8/VDD" "FD_v2_8/Clk_Out" 69.1959
+cap "FD_v2_8/2" "FD_v2_5/3" 0.68546
+cap "FD_v2_4/GND" "FD_v2_5/3" 11.5455
+cap "FD_v2_4/GND" "FD_v2_9/3" 11.5455
+cap "FD_v2_8/7" "FD_v2_9/Clkb" 4.45238
+cap "FD_v2_8/7" "FD_v2_4/GND" 25.8788
+cap "FD_v2_8/Clk_Out" "FD_v2_4/7" 10.0581
+cap "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_4/GND" 6
+cap "FD_v2_8/7" "FD_v2_9/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 22.4939
+cap "FD_v2_5/Clkb" "FD_v2_4/7" 4.45238
+cap "FD_v2_4/6" "FD_v2_8/Clk_Out" 15.4867
+cap "FD_v2_4/GND" "FD_v2_5/4" 24.8295
+cap "FD_v2_4/GND" "FD_v2_4/Clk_Out" 76.8732
+cap "FD_v2_8/6" "FD_v2_5/3" 0.172324
+cap "FD_v2_2/VDD" "FD_v2_4/7" 2.77806
+cap "FD_v2_8/2" "FD_v2_4/GND" 5.44323
+cap "FD_v2_4/6" "FD_v2_2/VDD" 9.59694
+cap "FD_v2_8/7" "FD_v2_8/VDD" 14.5814
+cap "FD_v2_4/GND" "FD_v2_9/Clkb" 29.5029
+cap "FD_v2_8/6" "FD_v2_4/Clk_Out" 15.4867
+cap "FD_v2_9/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "FD_v2_4/GND" 6
+cap "FD_v2_4/GND" "FD_v2_9/4" 8.28409
+cap "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_4/7" 19.5299
+cap "FD_v2_4/6" "FD_v2_9/3" 0.172324
+cap "FD_v2_4/Clk_Out" "FD_v2_4/7" 67.426
+cap "FD_v2_9/3" "FD_v2_8/Clk_Out" 34.375
+cap "FD_v2_8/7" "FD_v2_8/Clk_Out" 80.363
+cap "FD_v2_8/6" "FD_v2_4/GND" 37.7137
+cap "FD_v2_2/VDD" "FD_v2_4/2" 0.126276
+cap "FD_v2_9/Clkb" "FD_v2_8/VDD" 37.8022
+cap "FD_v2_8/7" "FD_v2_5/Clkb" 5.79832
+cap "FD_v2_4/6" "FD_v2_4/Clk_Out" 15.4398
+cap "FD_v2_2/VDD" "FD_v2_5/3" 4.60465
+cap "FD_v2_9/Clkb" "FD_v2_4/7" 5.79832
+cap "FD_v2_5/Clkb" "FD_v2_4/Clk_Out" 40.8913
+cap "FD_v2_4/GND" "FD_v2_4/7" 25.8788
+cap "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_2/VDD" 2.77806
+cap "FD_v2_9/3" "FD_v2_4/2" 0.68546
+cap "FD_v2_4/6" "FD_v2_4/GND" 22.8519
+cap "FD_v2_2/VDD" "FD_v2_5/4" 8.54464
+cap "FD_v2_9/Clkb" "FD_v2_8/Clk_Out" 71.7391
+cap "FD_v2_4/GND" "FD_v2_8/Clk_Out" 76.8732
+cap "FD_v2_2/VDD" "FD_v2_4/Clk_Out" 2.77806
+cap "FD_v2_9/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "FD_v2_8/Clk_Out" 5.89315
+cap "FD_v2_8/5" "FD_v2_4/GND" 3.5625
+cap "FD_v2_5/Clkb" "FD_v2_4/GND" 29.5029
+cap "FD_v2_5/6" "FD_v2_2/VDD" 12.7538
+cap "FD_v2_8/3" "FD_v2_8/Clk_In" 34.375
+cap "FD_v2_5/7" "FD_v2_2/VDD" 2.77806
+cap "FD_v2_5/Clk_Out" "FD_v2_7/7" 10.0581
+cap "FD_v2_6/Clkb" "FD_v2_5/GND" 29.5029
+cap "FD_v2_5/6" "FD_v2_8/3" 0.172324
+cap "FD_v2_5/GND" "FD_v2_6/3" 5.17051
+cap "FD_v2_5/GND" "FD_v2_8/Clkb" 43.4645
+cap "FD_v2_5/Clk_Out" "FD_v2_5/6" 15.4398
+cap "FD_v2_5/Clk_Out" "FD_v2_5/7" 67.426
+cap "FD_v2_2/VDD" "FD_v2_6/3" 0.287791
+cap "FD_v2_8/2" "FD_v2_5/GND" 6
+cap "FD_v2_7/6" "FD_v2_8/Clk_In" 18.7444
+cap "FD_v2_8/Clk_In" "FD_v2_8/VDD" 176.155
+cap "FD_v2_7/7" "FD_v2_8/VDD" 14.5814
+cap "FD_v2_5/7" "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 19.5299
+cap "FD_v2_5/Clk_Out" "FD_v2_6/Clkb" 40.8913
+cap "FD_v2_8/3" "FD_v2_5/GND" 11.5455
+cap "FD_v2_5/Clk_Out" "FD_v2_6/3" 19.5938
+cap "FD_v2_5/Clk_Out" "FD_v2_5/GND" 64.3867
+cap "FD_v2_5/2" "FD_v2_5/GND" 5.44323
+cap "FD_v2_8/4" "FD_v2_5/a_971_n597#" 2.51825
+cap "FD_v2_5/Clk_Out" "FD_v2_2/VDD" 2.77806
+cap "FD_v2_7/7" "FD_v2_8/Clk_In" 72.6071
+cap "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_5/GND" 6
+cap "FD_v2_5/2" "FD_v2_2/VDD" 0.126276
+cap "FD_v2_8/5" "FD_v2_5/a_971_n597#" 2.60252
+cap "FD_v2_8/VDD" "FD_v2_8/Clkb" 37.8022
+cap "FD_v2_7/6" "FD_v2_6/3" 0.172324
+cap "FD_v2_5/GND" "FD_v2_7/6" 9.55283
+cap "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_2/VDD" 2.77806
+cap "FD_v2_5/6" "FD_v2_8/Clk_In" 15.4867
+cap "FD_v2_5/7" "FD_v2_8/Clk_In" 10.0581
+cap "FD_v2_5/2" "FD_v2_8/3" 0.68546
+cap "FD_v2_5/4" "FD_v2_8/Clkb" 2.51825
+cap "FD_v2_5/GND" "FD_v2_8/4" 26.0114
+cap "FD_v2_5/Clk_Out" "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 5.89315
+cap "FD_v2_5/4" "FD_v2_5/GND" 1.18182
+cap "FD_v2_6/Clkb" "FD_v2_7/7" 5.79832
+cap "FD_v2_7/7" "FD_v2_8/Clkb" 4.45238
+cap "FD_v2_8/5" "FD_v2_5/GND" 20.4033
+cap "FD_v2_8/Clk_In" "FD_v2_8/Clkb" 71.7391
+cap "FD_v2_5/GND" "FD_v2_8/Clk_In" 57.3743
+cap "FD_v2_7/7" "FD_v2_5/GND" 25.8788
+cap "FD_v2_5/4" "FD_v2_2/VDD" 0.547194
+cap "FD_v2_5/Clk_Out" "FD_v2_7/6" 2.78372
+cap "FD_v2_5/5" "FD_v2_8/Clkb" 2.60252
+cap "FD_v2_5/a_971_n597#" "FD_v2_8/Clkb" 15.0072
+cap "FD_v2_5/5" "FD_v2_5/GND" 23.9658
+cap "FD_v2_5/GND" "FD_v2_5/a_971_n597#" 13.9615
+cap "FD_v2_8/2" "FD_v2_8/Clk_In" 3.9507
+cap "FD_v2_8/2" "FD_v2_7/7" 22.4939
+cap "FD_v2_5/6" "FD_v2_5/GND" 37.7137
+cap "FD_v2_5/7" "FD_v2_6/Clkb" 4.45238
+cap "FD_v2_5/7" "FD_v2_8/Clkb" 5.79832
+cap "FD_v2_5/5" "FD_v2_2/VDD" 5.78133
+cap "FD_v2_5/7" "FD_v2_5/GND" 25.8788
+cap "FD_v2_7/Clkb" "FD_v2_5/GND" 19.132
+cap "FD_v2_7/2" "FD_v2_5/GND" 11.4432
+cap "FD_v2_2/VDD" "FD_v2_6/6" 12.7538
+cap "FD_v2_6/7" "FD_v2_7/Clk_In" 23.7481
+cap "FD_v2_6/2" "FD_v2_5/Clk_Out" -5.68434e-14
+cap "FD_v2_6/3" "FD_v2_5/GND" 6.375
+cap "FD_v2_7/4" "FD_v2_6/Clkb" 2.51825
+cap "FD_v2_7/6" "FD_v2_5/GND" 28.1609
+cap "FD_v2_6/3" "FD_v2_7/2" 0.68546
+cap "FD_v2_7/4" "FD_v2_5/GND" 26.0114
+cap "FD_v2_6/3" "FD_v2_2/VDD" 4.31686
+cap "FD_v2_6/2" "FD_v2_7/3" 0.68546
+cap "FD_v2_5/GND" "FD_v2_5/Clk_Out" 12.4865
+cap "FD_v2_7/Clk_In" "FD_v2_6/6" 15.4867
+cap "FD_v2_7/Clk_In" "FD_v2_5/GND" 39.3068
+cap "FD_v2_6/7" "FD_v2_5/GND" 12.0649
+cap "FD_v2_7/5" "FD_v2_6/Clkb" 2.60252
+cap "FD_v2_7/Clk_In" "FD_v2_7/2" 0.566547
+cap "FD_v2_7/Clk_In" "FD_v2_7/Clkb" 6.23494
+cap "FD_v2_6/7" "FD_v2_7/Clkb" 5.79832
+cap "FD_v2_5/GND" "FD_v2_7/5" 23.9658
+cap "FD_v2_6/2" "FD_v2_5/GND" 5.44323
+cap "FD_v2_6/7" "FD_v2_2/VDD" 2.77806
+cap "FD_v2_7/3" "FD_v2_6/6" 0.172324
+cap "FD_v2_7/6" "FD_v2_5/Clk_Out" 12.703
+cap "FD_v2_7/3" "FD_v2_5/GND" 11.5455
+cap "FD_v2_5/GND" "FD_v2_6/5" 23.9658
+cap "FD_v2_6/2" "FD_v2_2/VDD" 0.126276
+cap "FD_v2_7/Clkb" "FD_v2_6/5" 2.60252
+cap "FD_v2_6/4" "FD_v2_5/GND" 26.0114
+cap "FD_v2_7/Clkb" "FD_v2_6/4" 2.51825
+cap "FD_v2_5/GND" "FD_v2_6/Clkb" 13.9615
+cap "FD_v2_2/VDD" "FD_v2_6/5" 5.78133
+cap "FD_v2_5/GND" "FD_v2_6/6" 37.7137
+cap "FD_v2_7/Clkb" "FD_v2_6/Clkb" 15.0072
+cap "FD_v2_6/4" "FD_v2_2/VDD" 9.09184
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_2_0/A" 16.7895
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_0/A" 2.01546
+cap "FD_v2_7/Clk_In" "FD_v2_7/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" 0.566547
+cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "FD_v2_7/GND" 56.1057
+cap "sky130_fd_sc_hd__clkbuf_2_0/A" "sky130_fd_sc_hd__clkbuf_4_0/A" 16.9745
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "FD_v2_7/GND" 64.549
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_0/A" -130.115
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 2.01546
+cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_4_0/A" 12.052
+cap "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 3.97695
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 0.530259
+cap "FD_v2_7/VDD" "FD_v2_7/Clk_In" 5.81161
+cap "FD_v2_7/VDD" "sky130_fd_sc_hd__clkbuf_2_0/A" -34.3099
+cap "FD_v2_7/Clk_In" "sky130_fd_sc_hd__clkbuf_2_0/A" 1.98847
+cap "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" "FD_v2_7/GND" 9.29272
+cap "sky130_fd_sc_hd__clkbuf_4_0/A" "sky130_fd_sc_hd__clkbuf_4_1/A" 1.98847
+cap "FD_v2_7/VDD" "sky130_fd_sc_hd__clkbuf_8_0/A" 5.81607
+cap "FD_v2_6/7" "FD_v2_7/Clk_In" 13.6899
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "FD_v2_7/GND" 66.7364
+cap "sky130_fd_sc_hd__clkbuf_4_0/A" "FD_v2_7/GND" 58.4298
+cap "FD_v2_7/Clk_In" "FD_v2_7/Clkb" 6.23494
+cap "FD_v2_7/Clk_In" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 16.7895
+cap "FD_v2_7/Clk_In" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 35.2546
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_1/A" -106.4
+cap "FD_v2_7/VDD" "sky130_fd_sc_hd__clkbuf_4_1/A" 8.38944
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" 107.689
+cap "FD_v2_7/Clk_In" "sky130_fd_sc_hd__clkbuf_4_1/A" 16.1276
+cap "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_0/A" 50.9448
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_4_1/A" 5.21801
+cap "FD_v2_7/VDD" "FD_v2_7/GND" -5.8028
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_0/A" 307.734
+cap "FD_v2_7/Clk_In" "FD_v2_7/GND" 205.119
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "FD_v2_7/GND" 3.25789
+cap "sky130_fd_sc_hd__clkbuf_2_0/A" "FD_v2_7/GND" 13.9379
+cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 72.0941
+cap "FD_v2_7/VDD" "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" 70.4965
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/A" 188.97
+cap "sky130_fd_sc_hd__clkbuf_8_0/A" "FD_v2_7/GND" 3.25789
+cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/A" 42.3299
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "FD_v2_7/VDD" 48.4715
+cap "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_2_0/A" 30.778
+cap "FD_v2_7/VDD" "sky130_fd_sc_hd__clkbuf_4_0/A" 5.78465
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "FD_v2_7/GND" 79.5029
+cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_8_1/A" 3.69079
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_1/VNB" -101.52
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_8_1/A" 5.21801
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/VPB" 128.924
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/A" 5.60377
+cap "sky130_fd_sc_hd__clkbuf_8_0/VPB" "sky130_fd_sc_hd__clkbuf_8_0/A" 107.515
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 15.9078
+cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 209.582
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/A" 77.6983
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 115.663
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_1/VNB" -75.8408
+cap "sky130_fd_sc_hd__clkbuf_8_1/VPB" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 24.3112
+cap "sky130_fd_sc_hd__clkbuf_8_0/VPB" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" -21.0416
+cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 22.1814
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 17.7031
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" 19.2794
+cap "sky130_fd_sc_hd__clkbuf_8_0/X" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 150.457
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_0/A" 95.6317
+cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 12.0812
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 12.0812
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 16.8441
+cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/X" 43.1172
+cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 49.6879
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 45.5248
+cap "sky130_fd_sc_hd__clkbuf_4_0/A" "sky130_fd_sc_hd__clkbuf_8_0/A" 12.052
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_8_0/A" 3.97695
+cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_8_1/VPB" 6.84435
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_8_1/VPB" 7.0036
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_1/VPB" 8.16582
+cap "sky130_fd_sc_hd__clkbuf_8_0/VPB" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 7.10543e-15
+cap "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 99.2513
+cap "sky130_fd_sc_hd__clkbuf_8_0/VPB" "sky130_fd_sc_hd__clkbuf_8_0/X" 100.606
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 31.6347
+cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 91.4874
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_2_0/a_27_47#" 23.4765
+cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/VPB" 22.4136
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_0/A" 8.28293
+cap "sky130_fd_sc_hd__clkbuf_8_1/VPB" "sky130_fd_sc_hd__clkbuf_8_1/VNB" 4.75714
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 2.12629
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/X" 58.3619
+cap "sky130_fd_sc_hd__clkbuf_4_0/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 7.42363
+cap "sky130_fd_sc_hd__clkbuf_8_0/A" "sky130_fd_sc_hd__clkbuf_8_0/X" 5.64474
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 2.12629
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_1/A" 79.3129
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 2.12629
+cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_1/X" -87.58
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 23.8617
+cap "sky130_fd_sc_hd__clkbuf_16_1/X" "sky130_fd_sc_hd__clkbuf_8_1/VGND" 137.717
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/VGND" 183.828
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/VPB" 53.8883
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 2.12629
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 24.8218
+cap "sky130_fd_sc_hd__clkbuf_16_1/X" "sky130_fd_sc_hd__clkbuf_8_0/VPB" 159.063
+cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 27.6506
+cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/VGND" 35.2832
+cap "sky130_fd_sc_hd__clkbuf_8_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/X" 36.3246
+cap "sky130_fd_sc_hd__clkbuf_8_0/X" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 43.4105
+cap "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_0/VPB" 175.349
+cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_8_1/VPB" 2.52354
+cap "sky130_fd_sc_hd__clkbuf_8_1/VGND" "sky130_fd_sc_hd__clkbuf_8_1/X" 14.1026
+cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" -140.43
+cap "sky130_fd_sc_hd__clkbuf_8_0/X" "sky130_fd_sc_hd__clkbuf_8_1/VGND" 14.3373
+cap "sky130_fd_sc_hd__clkbuf_8_0/X" "sky130_fd_sc_hd__clkbuf_8_1/X" 7.95389
+cap "sky130_fd_sc_hd__clkbuf_8_0/X" "sky130_fd_sc_hd__clkbuf_8_0/VPB" 6.81728
+cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_8_1/VGND" 246.935
+cap "sky130_fd_sc_hd__clkbuf_16_0/VPB" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 21.3163
+cap "sky130_fd_sc_hd__clkbuf_16_1/X" "sky130_fd_sc_hd__clkbuf_16_0/VGND" 50.8858
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_1/a_110_47#" 7.95389
+cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_0/VPB" -52.2788
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/X" 1.13687e-13
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/VPB" 4.25665
+cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_0/VGND" 88.6816
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/VGND" 10.8508
+cap "sky130_fd_sc_hd__clkbuf_16_1/X" "sky130_fd_sc_hd__clkbuf_16_0/VPB" -102.92
+cap "3-stage_cs-vco_dp9_0/vdd" "FD_v2_3/Clk_Out" 64.3809
+cap "FD_v2_3/VDD" "3-stage_cs-vco_dp9_0/XM24/a_n76_n129#" 158.291
+cap "FD_v2_3/Clk_Out" "3-stage_cs-vco_dp9_0/XM24/a_n76_n129#" 180.219
+cap "FD_v5_0/Clkb_buf" "FD_v2_3/GND" 10.9463
+cap "FD_v2_3/Clk_Out" "m1_1968_n594#" 487.363
+cap "FD_v2_3/4" "FD_v2_3/VDD" 16.4158
+cap "FD_v2_3/6" "FD_v2_3/VDD" 30.6077
+cap "FD_v2_3/4" "FD_v2_3/GND" 17.7273
+cap "FD_v2_3/7" "FD_v2_3/VDD" 89.4027
+cap "FD_v2_3/GND" "FD_v2_3/6" 240.989
+cap "FD_v2_4/3" "FD_v2_3/VDD" 21.0238
+cap "FD_v2_3/2" "FD_v2_3/VDD" 6.77581
+cap "FD_v2_3/7" "FD_v2_3/GND" 12.0649
+cap "FD_v2_3/Clk_Out" "FD_v2_3/VDD" 182.674
+cap "FD_v2_4/3" "FD_v2_3/GND" 35.7882
+cap "FD_v5_0/Clkb_int" "FD_v2_3/VDD" 96.0717
+cap "FD_v2_3/2" "FD_v2_3/GND" 109.043
+cap "FD_v2_3/Clk_Out" "FD_v2_3/GND" 55.2548
+cap "FD_v5_0/Clkb_int" "FD_v2_3/GND" 13.9129
+cap "FD_v2_3/5" "FD_v2_3/VDD" 23.1859
+cap "FD_v2_3/5" "FD_v5_0/Clkb_buf" 0.540984
+cap "FD_v2_3/5" "FD_v2_3/GND" 23.9658
+cap "FD_v2_4/5" "FD_v2_3/VDD" 17.4046
+cap "FD_v2_3/2" "FD_v2_4/3" 0.695783
+cap "FD_v2_3/Clk_Out" "FD_v2_3/7" 5.05366
+cap "3-stage_cs-vco_dp9_0/out" "FD_v2_3/VDD" 73.0682
+cap "FD_v2_3/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" "FD_v2_3/VDD" 43.6154
+cap "3-stage_cs-vco_dp9_0/out" "FD_v2_3/GND" 1.72174
+cap "FD_v2_3/5" "FD_v2_3/Clk_Out" 4.4
+cap "FD_v2_4/6" "FD_v2_3/VDD" 8.25689
+cap "3-stage_cs-vco_dp9_0/out" "FD_v2_3/7" 2.14286
+cap "FD_v2_4/2" "FD_v2_3/VDD" 2.77806
+cap "FD_v2_4/Clkb" "FD_v2_3/VDD" 80.2234
+cap "FD_v5_0/dus" "FD_v2_3/GND" 69.2435
+cap "FD_v2_4/Clkb" "FD_v2_3/GND" 63.2731
+cap "m1_1968_n594#" "FD_v2_3/VDD" 359.121
+cap "FD_v2_3/Clk_Out" "FD_v2_3/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" 10.7812
+cap "FD_v2_3/4" "FD_v5_0/dus" 0.142857
+cap "FD_v5_0/dus" "FD_v2_3/6" 1.60108
+cap "FD_v2_4/Clkb" "FD_v2_3/6" 2.22581
+cap "FD_v2_3/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" "FD_v2_3/GND" 13.9615
+cap "FD_v2_3/Clk_Out" "3-stage_cs-vco_dp9_0/vdd" 64.3809
+cap "FD_v2_4/Clkb" "FD_v2_3/7" 9.47414
+cap "FD_v2_4/4" "FD_v2_3/VDD" 14.1918
+cap "FD_v2_3/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" "FD_v2_4/5" 4.4
+cap "FD_v2_3/GND" "FD_v2_3/VDD" 323.397
+cap "FD_v2_2/VDD" "FD_v2_2/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" 18.2308
+cap "FD_v2_5/Clkb" "FD_v2_2/VDD" 60.5129
+cap "FD_v2_3/3" "FD_v2_2/Clk_Out" 34.375
+cap "FD_v2_2/6" "FD_v2_2/GND" 37.7137
+cap "FD_v2_3/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "FD_v2_2/7" 22.4939
+cap "FD_v2_2/VDD" "FD_v2_4/7" 23.8827
+cap "FD_v2_2/GND" "FD_v2_2/7" 25.8788
+cap "FD_v2_2/7" "FD_v2_4/Clk_Out" 2.875
+cap "FD_v2_3/3" "FD_v5_0/Clkb_buf" 2.64
+cap "FD_v2_3/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "FD_v2_2/Clk_Out" 5.89315
+cap "FD_v2_2/GND" "FD_v2_2/Clk_Out" 76.8732
+cap "FD_v2_3/3" "FD_v2_4/2" 0.695783
+cap "FD_v2_3/3" "FD_v2_2/VDD" 21.0238
+cap "FD_v5_0/Clkb_buf" "FD_v2_2/GND" 5.47317
+cap "FD_v5_0/dus" "FD_v2_2/Clk_Out" 2.63359
+cap "FD_v2_3/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "FD_v2_2/VDD" 5.55612
+cap "FD_v2_4/6" "FD_v2_2/VDD" 9.59694
+cap "FD_v2_2/VDD" "FD_v2_4/Clk_Out" 78.4973
+cap "FD_v2_2/VDD" "FD_v2_2/2" 6.77581
+cap "FD_v5_0/Clk_In_buf" "FD_v2_2/6" 40.3918
+cap "FD_v2_2/5" "FD_v2_2/GND" 3.5625
+cap "FD_v5_0/Clk_In_buf" "FD_v2_2/7" 7.66667
+cap "FD_v5_0/Clk_In_buf" "FD_v2_2/Clk_Out" 7.89641
+cap "FD_v2_2/VDD" "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 2.77806
+cap "FD_v2_5/4" "FD_v2_2/VDD" 13.6446
+cap "FD_v5_0/3" "FD_v2_2/GND" 10.9463
+cap "FD_v5_0/3" "FD_v2_2/2" 3.06557
+cap "FD_v2_2/GND" "FD_v2_3/4" 8.28409
+cap "FD_v5_0/Clk_In_buf" "FD_v2_2/5" 0.236559
+cap "FD_v2_5/Clkb" "FD_v2_4/Clk_Out" 30.8478
+cap "FD_v2_5/3" "FD_v2_2/VDD" 21.0238
+cap "FD_v2_4/7" "FD_v2_4/Clk_Out" 12.937
+cap "FD_v2_3/Clkb" "FD_v2_2/7" 4.45238
+cap "FD_v2_3/Clkb" "FD_v2_2/Clk_Out" 71.7391
+cap "FD_v2_4/7" "FD_v2_5/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 2.96392
+cap "FD_v2_3/3" "FD_v2_2/GND" 11.5455
+cap "FD_v5_0/MNinv1/a_n73_37#" "FD_v2_2/6" 2.14286
+cap "FD_v2_2/6" "FD_v2_2/Clk_Out" 18.7444
+cap "FD_v2_2/7" "FD_v2_2/Clk_Out" 80.363
+cap "FD_v2_4/6" "FD_v2_4/Clk_Out" 3.3046
+cap "FD_v2_3/sky130_fd_pr__pfet_01v8_A7DS5R_1/a_n15_n133#" "FD_v2_2/GND" 6
+cap "FD_v2_2/GND" "FD_v2_2/2" 5.44323
+cap "FD_v2_3/Clkb" "FD_v2_2/VDD" 60.5129
+cap "FD_v5_0/Clk_In_buf" "FD_v2_2/sky130_fd_pr__nfet_01v8_NDE37H_1/a_n118_22#" 0.214953
+cap "FD_v5_0/Clkb_buf" "FD_v2_2/Clk_Out" 1.78571
+cap "FD_v2_2/VDD" "FD_v2_2/6" 30.6077
+cap "FD_v2_2/VDD" "FD_v2_2/7" 26.6608
+cap "FD_v2_2/VDD" "FD_v2_2/Clk_Out" 81.2753
+cap "FD_v2_2/VDD" "FD_v2_4/2" 6.64953
+cap "FD_v5_0/Clk_In_buf" "FD_v2_2/GND" 11.3614
+cap "FD_v5_0/3" "FD_v2_2/6" 2.18833
+cap "FD_v2_2/VDD" "FD_v2_2/5" 2.85
+cap "FD_v2_5/3" "FD_v2_4/Clk_Out" 14.7812
+cap "FD_v2_5/3" "FD_v2_2/2" 0.695783
+cap "FD_v2_3/Clkb" "FD_v2_4/7" 9.47414
+cap "FD_v2_5/Clkb" "FD_v2_2/6" 2.22581
+cap "FD_v2_5/Clkb" "FD_v2_2/7" 9.47414
+cap "FD_v2_4/7" "FD_v2_2/Clk_Out" 2.875
+cap "FD_v2_2/VDD" "FD_v2_3/4" 6.86786
+cap "FD_v2_3/Clkb" "FD_v2_4/6" 2.22581
+cap "FD_v2_3/Clkb" "FD_v2_2/GND" 29.5029
+cap "FD_v2_2/Clk_In" "FD_v5_0/a_2222_n669#" 81.9701
+cap "FD_v5_0/3" "FD_v2_2/GND" 177.766
+cap "FD_v2_2/2" "FD_v2_2/GND" 6
+cap "FD_v2_2/GND" "FD_v5_0/a_2222_n669#" 26.9811
+cap "FD_v2_5/5" "FD_v2_2/Clk_In" 4.4
+cap "FD_v2_5/Clk_Out" "FD_v2_5/7" 12.937
+cap "FD_v2_1/6" "FD_v2_2/Clk_In" 18.7444
+cap "FD_v2_1/6" "FD_v2_2/GND" 9.55283
+cap "FD_v2_2/5" "FD_v2_2/VDD" 20.3359
+cap "FD_v2_5/2" "FD_v2_2/VDD" 6.64953
+cap "FD_v2_2/Clk_In" "FD_v2_2/VDD" 106.66
+cap "FD_v2_2/Clk_In" "FD_v2_1/7" 80.363
+cap "FD_v2_5/6" "FD_v2_2/VDD" 17.8538
+cap "FD_v2_6/Clkb" "FD_v2_2/VDD" 45.2822
+cap "FD_v2_2/3" "FD_v5_0/4" 2.03077
+cap "FD_v2_6/Clkb" "FD_v2_1/7" 9.47414
+cap "FD_v2_1/7" "FD_v2_2/GND" 25.8788
+cap "FD_v2_2/4" "FD_v2_2/GND" 26.0114
+cap "FD_v2_2/Clkb" "FD_v2_2/Clk_In" 71.7391
+cap "FD_v2_5/6" "FD_v2_2/Clkb" 2.22581
+cap "FD_v2_2/Clkb" "FD_v2_2/GND" 43.4645
+cap "FD_v2_5/7" "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" 2.96392
+cap "FD_v2_5/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" "FD_v2_2/VDD" 43.6154
+cap "FD_v2_2/3" "FD_v5_0/3" 8.13086
+cap "FD_v2_2/2" "FD_v5_0/a_2222_n669#" 2.20447
+cap "FD_v2_5/Clk_Out" "FD_v2_2/VDD" 78.4973
+cap "FD_v2_5/7" "FD_v2_2/VDD" 23.8827
+cap "FD_v5_0/4" "FD_v2_1/7" 1.57143
+cap "FD_v2_5/Clk_Out" "FD_v2_1/7" 2.875
+cap "FD_v2_5/7" "FD_v2_2/Clkb" 9.47414
+cap "FD_v2_5/Clk_Out" "FD_v2_6/3" 14.7812
+cap "FD_v2_2/3" "FD_v2_2/VDD" 21.0238
+cap "FD_v2_2/2" "FD_v2_2/VDD" 5.55612
+cap "FD_v2_2/2" "FD_v2_1/7" 22.4939
+cap "FD_v2_2/4" "FD_v5_0/3" 4.36576
+cap "FD_v2_2/4" "FD_v5_0/a_2222_n669#" 2.20447
+cap "FD_v2_6/sky130_fd_pr__nfet_01v8_PW5BNL_1/a_n73_37#" "FD_v2_2/VDD" 2.77806
+cap "FD_v2_5/5" "FD_v2_2/VDD" 17.4046
+cap "FD_v2_2/Clkb" "FD_v5_0/3" 3.34177
+cap "FD_v2_2/Clkb" "FD_v5_0/a_2222_n669#" 128.661
+cap "FD_v2_2/5" "FD_v2_2/GND" 20.4033
+cap "FD_v2_1/6" "FD_v2_2/VDD" 8.41837
+cap "FD_v2_2/Clk_In" "FD_v2_2/GND" 76.8732
+cap "FD_v2_1/7" "FD_v2_2/VDD" 26.6608
+cap "FD_v2_2/4" "FD_v2_2/VDD" 23.2837
+cap "FD_v2_2/Clkb" "FD_v2_2/VDD" 60.5129
+cap "FD_v2_5/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" "FD_v2_2/5" 4.4
+cap "FD_v2_5/sky130_fd_pr__pfet_01v8_ACPHKB_1/a_n33_37#" "FD_v2_2/Clk_In" 10.7812
+cap "FD_v2_2/Clkb" "FD_v2_1/7" 4.45238
+cap "FD_v2_5/7" "FD_v2_2/Clk_In" 2.875
+cap "FD_v2_5/Clk_Out" "FD_v2_5/6" 3.3046
+cap "FD_v2_5/Clk_Out" "FD_v2_6/Clkb" 30.8478
+cap "FD_v2_2/VDD" "FD_v2_6/3" 7.11079
+cap "FD_v5_0/4" "FD_v2_2/GND" 21.8927
+cap "FD_v2_2/Clk_In" "FD_v5_0/5" 2.34426
+cap "FD_v5_0/5" "FD_v2_2/GND" 10.9463
+cap "FD_v2_2/VDD" "FD_v2_5/4" 0.547194
+cap "FD_v2_2/3" "FD_v2_5/2" 0.695783
+cap "FD_v2_2/5" "FD_v5_0/3" 3.7651
+cap "FD_v2_2/3" "FD_v2_2/Clk_In" 34.375
+cap "FD_v2_2/2" "FD_v2_2/Clk_In" 5.89315
+cap "FD_v2_2/5" "FD_v5_0/a_2222_n669#" 1.77419
+cap "FD_v2_2/Clk_In" "FD_v5_0/3" 9.51092
+cap "FD_v2_2/3" "FD_v2_2/GND" 11.5455
+cap "FD_v2_5/GND" "FD_v2_1/3" 11.5455
+cap "FD_v2_2/VDD" "FD_v2_6/7" 9.30132
+cap "FD_v2_5/Clk_Out" "FD_v2_2/VDD" 43.6154
+cap "FD_v2_6/Clkb" "FD_v2_2/VDD" 15.2308
+cap "FD_v2_2/VDD" "FD_v2_6/3" 13.9131
+cap "FD_v2_5/GND" "FD_v2_1/5" 23.9658
+cap "FD_v2_1/Clk_In" "FD_v5_0/Clkb_buf" 8.29184
+cap "FD_v2_5/GND" "FD_v5_0/Clkb_buf" 27.3962
+cap "FD_v2_1/2" "FD_v2_6/3" 0.695783
+cap "FD_v2_1/6" "FD_v5_0/Clkb_buf" 37.7139
+cap "FD_v2_1/3" "FD_v2_2/VDD" 21.0238
+cap "FD_v2_6/2" "FD_v2_2/VDD" 6.64953
+cap "FD_v2_1/4" "FD_v5_0/Clkb_buf" 2.20447
+cap "FD_v5_0/6" "FD_v2_1/5" 5.30025
+cap "FD_v5_0/Clkb_buf" "FD_v2_1/Clkb" 84.4225
+cap "FD_v2_1/Clk_In" "FD_v2_6/5" 4.4
+cap "FD_v2_1/5" "FD_v2_2/VDD" 23.1859
+cap "FD_v2_6/6" "FD_v2_1/Clkb" 2.22581
+cap "FD_v2_5/GND" "FD_v5_0/2" 10.9463
+cap "FD_v2_1/Clk_In" "FD_v2_5/GND" 20.4435
+cap "FD_v2_1/2" "FD_v5_0/Clkb_buf" 3.50625
+cap "FD_v2_6/6" "FD_v2_2/VDD" 17.8538
+cap "FD_v2_5/GND" "FD_v2_1/6" 28.1609
+cap "FD_v5_0/2" "FD_v2_1/Clkb" 1.80328
+cap "FD_v2_1/4" "FD_v2_5/GND" 26.0114
+cap "FD_v2_1/Clk_In" "FD_v5_0/6" 45.3525
+cap "FD_v2_6/5" "FD_v2_2/VDD" 17.4046
+cap "FD_v2_5/GND" "FD_v2_1/Clkb" 19.132
+cap "FD_v5_0/6" "FD_v2_5/GND" 25.6327
+cap "FD_v5_0/6" "FD_v2_1/6" 2.45093
+cap "FD_v2_1/Clk_In" "FD_v2_2/VDD" 48.919
+cap "FD_v2_1/5" "FD_v5_0/5" 8.13086
+cap "FD_v2_1/6" "FD_v2_2/VDD" 22.1893
+cap "FD_v2_5/Clk_Out" "FD_v2_1/5" 4.4
+cap "FD_v2_6/2" "FD_v2_1/3" 0.695783
+cap "FD_v2_1/4" "FD_v2_2/VDD" 23.2837
+cap "FD_v2_5/GND" "FD_v2_1/2" 11.4432
+cap "FD_v2_2/VDD" "FD_v2_1/Clkb" 22.7108
+cap "FD_v2_1/3" "FD_v5_0/Clkb_buf" 4.02151
+cap "FD_v2_1/Clk_In" "FD_v2_6/7" 2.875
+cap "FD_v2_1/2" "FD_v2_2/VDD" 12.3319
+cap "FD_v2_6/Clk_Out" "FD_v2_2/VDD" 6.52326
+cap "FD_v2_5/GND" "FD_v5_0/5" 177.766
+cap "FD_v2_5/Clk_Out" "FD_v2_1/Clk_In" 10.7812
+cap "FD_v2_1/6" "FD_v5_0/5" 7.99683
+cap "FD_v2_1/4" "FD_v5_0/5" 2.95331
+cap "FD_v2_6/Clkb" "FD_v2_1/6" 2.22581
+cap "FD_v2_6/7" "FD_v2_1/Clkb" 9.47414
+cap "FD_v2_6/4" "FD_v2_2/VDD" 14.1918
+cap "FD_v5_0/5" "FD_v2_1/Clkb" 9.18987
+cap "sky130_fd_sc_hd__clkbuf_16_3/X" "FD_v5_0/GND" 2.19415
+cap "FD_v2_6/VDD" "FD_v5_0/GND" 45.6124
+cap "FD_v5_0/MNbuf1/a_n73_37#" "FD_v5_0/GND" 1.0102
+cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "FD_v2_6/VDD" 29.7555
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_8_1/A" 6.8484
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "FD_v2_6/VDD" 63.3118
+cap "FD_v2_6/VDD" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 24
+cap "sky130_fd_sc_hd__clkbuf_16_3/A" "FD_v5_0/GND" 60.8993
+cap "FD_v5_0/Clk_Out" "FD_v5_0/GND" 124.164
+cap "FD_v2_6/VDD" "sky130_fd_sc_hd__clkbuf_8_1/A" 5.81607
+cap "FD_v2_6/VDD" "sky130_fd_sc_hd__clkbuf_16_3/X" 1.96107
+cap "FD_v5_0/GND" "sky130_fd_sc_hd__clkbuf_16_3/X" 11.3904
+cap "sky130_fd_sc_hd__clkbuf_16_3/A" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 1.2349
+cap "FD_v2_6/Clk_Out" "FD_v5_0/GND" 74.6465
+cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 2.11421
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "FD_v5_0/GND" 5.83377
+cap "FD_v2_6/VDD" "sky130_fd_sc_hd__clkbuf_16_3/A" 7.23176
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "FD_v2_6/Clk_Out" 2.4497
+cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "FD_v5_0/GND" 100.884
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 118.763
+cap "FD_v2_6/VDD" "FD_v5_0/Clk_Out" 0.252551
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 35.5947
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" 2.08516
+cap "FD_v5_0/GND" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 56.7732
+cap "FD_v2_6/VDD" "FD_v2_6/Clk_Out" 5.81161
+cap "FD_v2_6/VDD" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 100.332
+cap "sky130_fd_sc_hd__clkbuf_4_1/A" "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" 8.61495
+cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 18.5887
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" -86.6276
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_16_3/X" 0.551532
+cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/X" 3.66667
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_4_1/A" 6.8484
+cap "sky130_fd_sc_hd__clkbuf_16_3/X" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" 374.019
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_8_1/X" 1.95395
+cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 8.67403
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_16_3/VGND" 3.14714
+cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" 11.7315
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" 2.77852
+cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" 187.38
+cap "sky130_fd_sc_hd__clkbuf_16_3/VPWR" "sky130_fd_sc_hd__clkbuf_16_3/VGND" 4.75714
+cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" -1.81899e-12
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" 22.4136
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/A" 2.67915
+cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/X" 173.899
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_16_3/VGND" 0.174142
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 32.1735
+cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" 79.236
+cap "sky130_fd_sc_hd__clkbuf_8_1/A" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" 166.966
+cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_16_3/X" 15.5833
+cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" 38.1486
+cap "sky130_fd_sc_hd__clkbuf_16_3/X" "sky130_fd_sc_hd__clkbuf_16_3/VGND" 550.297
+cap "li_8587_462#" "sky130_fd_sc_hd__clkbuf_16_3/VPWR" -87.955
+cap "sky130_fd_sc_hd__clkbuf_8_1/X" "sky130_fd_sc_hd__clkbuf_16_3/VGND" 20.3747
+cap "sky130_fd_sc_hd__clkbuf_2_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" 5.77345
+cap "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/VGND" 207.187
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" 2.43529
+cap "sky130_fd_sc_hd__clkbuf_4_1/a_27_47#" "sky130_fd_sc_hd__clkbuf_8_1/A" 16.3188
+cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/X" -4.54747e-13
+cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 12.349
+cap "sky130_fd_sc_hd__clkbuf_16_3/X" "sky130_fd_sc_hd__clkbuf_16_3/VPB" 112.952
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/VPB" 109.547
+cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/VPB" -2.04636e-12
+cap "li_8587_462#" "sky130_fd_sc_hd__clkbuf_16_3/VPB" -87.955
+cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_3/VGND" 42.8826
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 18.5887
+cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 4.01342
+cap "sky130_fd_sc_hd__clkbuf_16_3/X" "sky130_fd_sc_hd__clkbuf_16_3/VGND" 453.974
+cap "sky130_fd_sc_hd__clkbuf_16_3/VPB" "sky130_fd_sc_hd__clkbuf_8_1/X" 16.8595
+cap "sky130_fd_sc_hd__clkbuf_8_1/a_110_47#" "sky130_fd_sc_hd__clkbuf_8_1/X" 8.67403
+cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/VGND" 221.213
+cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_3/a_110_47#" 38.1486
+cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_3/X" 18.7
+cap "sky130_fd_sc_hd__clkbuf_16_3/VGND" "sky130_fd_sc_hd__clkbuf_16_3/VPB" -2.4869e-14
+cap "sky130_fd_sc_hd__clkbuf_16_3/X" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 6.70188
+cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_3/VPB" 180.023
+cap "sky130_fd_sc_hd__clkbuf_16_0/VGND" "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" 85.5178
+cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" 6.70188
+cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_0/VGND" 20.6392
+cap "sky130_fd_sc_hd__clkbuf_16_0/VGND" "sky130_fd_sc_hd__clkbuf_16_0/VPB" 1.13687e-13
+cap "sky130_fd_sc_hd__clkbuf_16_2/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/VPB" 29.7555
+cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" 4.01342
+cap "sky130_fd_sc_hd__clkbuf_16_0/X" "sky130_fd_sc_hd__clkbuf_16_0/VPB" 180.381
+cap "sky130_fd_sc_hd__clkbuf_16_0/a_110_47#" "sky130_fd_sc_hd__clkbuf_16_0/VPB" 4.25665
+cap "3-stage_cs-vco_dp9_0/sel1" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" 133.343
+cap "3-stage_cs-vco_dp9_0/sel0" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" 81.739
+cap "3-stage_cs-vco_dp9_0/sel2" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" 130.64
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" "3-stage_cs-vco_dp9_0/sel3" 103.016
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" "3-stage_cs-vco_dp9_0/vco_switch_p_0/in" 245.05
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" "3-stage_cs-vco_dp9_0/sel0" 99.539
+cap "3-stage_cs-vco_dp9_0/sel1" "3-stage_cs-vco_dp9_0/sel0" 36.6895
+cap "3-stage_cs-vco_dp9_0/sel2" "3-stage_cs-vco_dp9_0/sel1" 21.9036
+cap "3-stage_cs-vco_dp9_0/sel1" "3-stage_cs-vco_dp9_0/sel3" 70.8562
+cap "3-stage_cs-vco_dp9_0/sel2" "3-stage_cs-vco_dp9_0/sel0" 43.8795
+cap "3-stage_cs-vco_dp9_0/sel0" "3-stage_cs-vco_dp9_0/sel3" 71.7863
+cap "3-stage_cs-vco_dp9_0/sel2" "3-stage_cs-vco_dp9_0/sel3" 18.1536
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_p_0/in" 11.0169
+cap "3-stage_cs-vco_dp9_0/pg1" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" 28.3946
+cap "3-stage_cs-vco_dp9_0/pg0" "m1_n1029_1423#" 7.61076
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_2/sel" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" 12.5
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/in" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" 4.54747e-13
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_p_0/sel" 18.377
+cap "3-stage_cs-vco_dp9_0/pg0" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" 28.773
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_0/in" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" 22.0339
+cap "3-stage_cs-vco_dp9_0/sel3" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" -1.3874
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_1/sel" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" 14.0684
+cap "m1_n1029_1423#" "3-stage_cs-vco_dp9_0/pg1" 7.37105
+cap "3-stage_cs-vco_dp9_0/vdd" "3-stage_cs-vco_dp9_0/pg3" 5.28455
+cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_p_2/sel" 1.51515
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_3/sel" "3-stage_cs-vco_dp9_0/vss" 13.1673
+cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/pg2" 20.9677
+cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/pg3" 20.7447
+cap "3-stage_cs-vco_dp9_0/out" "3-stage_cs-vco_dp9_0/XM12/a_15_n240#" 0.456221
+cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_p_2/in" 11.0169
+cap "3-stage_cs-vco_dp9_0/out" "3-stage_cs-vco_dp9_0/vdd" 52.005
+cap "3-stage_cs-vco_dp9_0/vco_switch_p_3/sel" "3-stage_cs-vco_dp9_0/vdd" 0.114213
+cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/vdd" 80.1058
+cap "3-stage_cs-vco_dp9_0/vdd" "3-stage_cs-vco_dp9_0/pg2" 5.31335
+cap "3-stage_cs-vco_dp9_0/vss" "FD_v5_0/VDD" 147.001
+cap "3-stage_cs-vco_dp9_0/out" "3-stage_cs-vco_dp9_0/vss" -10.163
+cap "FD_v5_0/Clkb_int" "3-stage_cs-vco_dp9_0/out" 1.03321
+cap "3-stage_cs-vco_dp9_0/vss" "FD_v5_0/VDD" 359.705
+cap "3-stage_cs-vco_dp9_0/out" "FD_v2_3/GND" 1.72174
+cap "FD_v2_3/GND" "FD_v5_0/dus" 196.424
+cap "FD_v5_0/Clkb_int" "FD_v5_0/VDD" 127.175
+cap "3-stage_cs-vco_dp9_0/out" "FD_v5_0/dus" 0.876106
+cap "FD_v2_3/GND" "FD_v5_0/VDD" 139.832
+cap "FD_v5_0/Clkb_int" "FD_v2_3/GND" 34.128
+cap "3-stage_cs-vco_dp9_0/out" "3-stage_cs-vco_dp9_0/vss" -148.437
+cap "3-stage_cs-vco_dp9_0/out" "FD_v5_0/VDD" 227.47
+cap "FD_v5_0/7" "FD_v5_0/Clk_Out" 3.88522
+cap "3-stage_cs-vco_dp9_0/pg0" "m1_n1029_1423#" 7.61076
+cap "m1_n1029_1423#" "3-stage_cs-vco_dp9_0/pg1" 7.37105
+cap "vdd_2" "3-stage_cs-vco_dp9_0/vss" 14.2258
+cap "3-stage_cs-vco_dp9_0/vss" "3-stage_cs-vco_dp9_0/vdd" 6.50972
+cap "3-stage_cs-vco_dp9_0/pg3" "3-stage_cs-vco_dp9_0/vdd" 5.28455
+cap "3-stage_cs-vco_dp9_0/vdd" "3-stage_cs-vco_dp9_0/pg2" 5.31335
+cap "3-stage_cs-vco_dp9_0/vss" "vdd_2" 32.2683
+merge "FD_v5_0/VDD" "sky130_fd_sc_hd__clkbuf_16_2/VPWR" -11554.9 0 0 0 0 -999772 -47606 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -278714 -964 2467033 -71964 190919 -2440 590598 -654 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__clkbuf_16_2/VPWR" "sky130_fd_sc_hd__clkbuf_16_2/VPB"
+merge "sky130_fd_sc_hd__clkbuf_16_2/VPB" "sky130_fd_sc_hd__clkbuf_16_3/VPWR"
+merge "sky130_fd_sc_hd__clkbuf_16_3/VPWR" "sky130_fd_sc_hd__clkbuf_16_3/VPB"
+merge "sky130_fd_sc_hd__clkbuf_16_3/VPB" "sky130_fd_sc_hd__clkbuf_16_1/VPWR"
+merge "sky130_fd_sc_hd__clkbuf_16_1/VPWR" "sky130_fd_sc_hd__clkbuf_16_1/VPB"
+merge "sky130_fd_sc_hd__clkbuf_16_1/VPB" "sky130_fd_sc_hd__clkbuf_16_0/VPWR"
+merge "sky130_fd_sc_hd__clkbuf_16_0/VPWR" "sky130_fd_sc_hd__clkbuf_16_0/VPB"
+merge "sky130_fd_sc_hd__clkbuf_16_0/VPB" "sky130_fd_sc_hd__clkbuf_2_0/VPWR"
+merge "sky130_fd_sc_hd__clkbuf_2_0/VPWR" "sky130_fd_sc_hd__clkbuf_2_0/VPB"
+merge "sky130_fd_sc_hd__clkbuf_2_0/VPB" "sky130_fd_sc_hd__clkbuf_2_1/VPWR"
+merge "sky130_fd_sc_hd__clkbuf_2_1/VPWR" "sky130_fd_sc_hd__clkbuf_2_1/VPB"
+merge "sky130_fd_sc_hd__clkbuf_2_1/VPB" "sky130_fd_sc_hd__clkbuf_4_0/VPWR"
+merge "sky130_fd_sc_hd__clkbuf_4_0/VPWR" "sky130_fd_sc_hd__clkbuf_4_0/VPB"
+merge "sky130_fd_sc_hd__clkbuf_4_0/VPB" "sky130_fd_sc_hd__clkbuf_4_1/VPWR"
+merge "sky130_fd_sc_hd__clkbuf_4_1/VPWR" "sky130_fd_sc_hd__clkbuf_4_1/VPB"
+merge "sky130_fd_sc_hd__clkbuf_4_1/VPB" "sky130_fd_sc_hd__clkbuf_8_0/VPWR"
+merge "sky130_fd_sc_hd__clkbuf_8_0/VPWR" "sky130_fd_sc_hd__clkbuf_8_0/VPB"
+merge "sky130_fd_sc_hd__clkbuf_8_0/VPB" "sky130_fd_sc_hd__clkbuf_8_1/VPWR"
+merge "sky130_fd_sc_hd__clkbuf_8_1/VPWR" "sky130_fd_sc_hd__clkbuf_8_1/VPB"
+merge "sky130_fd_sc_hd__clkbuf_8_1/VPB" "FD_v2_1/VDD"
+merge "FD_v2_1/VDD" "FD_v2_6/VDD"
+merge "FD_v2_6/VDD" "FD_v2_7/VDD"
+merge "FD_v2_7/VDD" "FD_v2_8/VDD"
+merge "FD_v2_8/VDD" "FD_v2_5/VDD"
+merge "FD_v2_5/VDD" "FD_v2_2/VDD"
+merge "FD_v2_2/VDD" "FD_v2_9/VDD"
+merge "FD_v2_9/VDD" "FD_v2_4/VDD"
+merge "FD_v2_4/VDD" "FD_v2_3/VDD"
+merge "FD_v2_3/VDD" "vdd_2"
+merge "sky130_fd_sc_hd__clkbuf_16_2/VNB" "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" -18662.3 0 0 0 0 0 0 0 0 0 0 -239136 -408 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -362814 -964 3802687 -72752 -18842 -5624 -8749 -2516 0 0 0 0 0 0
+merge "3-stage_cs-vco_dp9_0/vco_switch_p_0/vss" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss"
+merge "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vss" "m1_n1047_2276#"
+merge "m1_n1047_2276#" "vss"
+merge "vss" "sky130_fd_sc_hd__clkbuf_16_2/VGND"
+merge "sky130_fd_sc_hd__clkbuf_16_2/VGND" "sky130_fd_sc_hd__clkbuf_16_3/VNB"
+merge "sky130_fd_sc_hd__clkbuf_16_3/VNB" "sky130_fd_sc_hd__clkbuf_16_3/VGND"
+merge "sky130_fd_sc_hd__clkbuf_16_3/VGND" "FD_v5_0/GND"
+merge "FD_v5_0/GND" "sky130_fd_sc_hd__clkbuf_16_1/VNB"
+merge "sky130_fd_sc_hd__clkbuf_16_1/VNB" "sky130_fd_sc_hd__clkbuf_16_1/VGND"
+merge "sky130_fd_sc_hd__clkbuf_16_1/VGND" "sky130_fd_sc_hd__clkbuf_16_0/VNB"
+merge "sky130_fd_sc_hd__clkbuf_16_0/VNB" "sky130_fd_sc_hd__clkbuf_16_0/VGND"
+merge "sky130_fd_sc_hd__clkbuf_16_0/VGND" "sky130_fd_sc_hd__clkbuf_2_0/VNB"
+merge "sky130_fd_sc_hd__clkbuf_2_0/VNB" "sky130_fd_sc_hd__clkbuf_2_0/VGND"
+merge "sky130_fd_sc_hd__clkbuf_2_0/VGND" "sky130_fd_sc_hd__clkbuf_2_1/VNB"
+merge "sky130_fd_sc_hd__clkbuf_2_1/VNB" "sky130_fd_sc_hd__clkbuf_2_1/VGND"
+merge "sky130_fd_sc_hd__clkbuf_2_1/VGND" "sky130_fd_sc_hd__clkbuf_4_0/VNB"
+merge "sky130_fd_sc_hd__clkbuf_4_0/VNB" "sky130_fd_sc_hd__clkbuf_4_0/VGND"
+merge "sky130_fd_sc_hd__clkbuf_4_0/VGND" "sky130_fd_sc_hd__clkbuf_4_1/VNB"
+merge "sky130_fd_sc_hd__clkbuf_4_1/VNB" "sky130_fd_sc_hd__clkbuf_4_1/VGND"
+merge "sky130_fd_sc_hd__clkbuf_4_1/VGND" "sky130_fd_sc_hd__clkbuf_8_0/VNB"
+merge "sky130_fd_sc_hd__clkbuf_8_0/VNB" "sky130_fd_sc_hd__clkbuf_8_0/VGND"
+merge "sky130_fd_sc_hd__clkbuf_8_0/VGND" "sky130_fd_sc_hd__clkbuf_8_1/VNB"
+merge "sky130_fd_sc_hd__clkbuf_8_1/VNB" "sky130_fd_sc_hd__clkbuf_8_1/VGND"
+merge "sky130_fd_sc_hd__clkbuf_8_1/VGND" "FD_v2_1/GND"
+merge "FD_v2_1/GND" "FD_v2_6/GND"
+merge "FD_v2_6/GND" "FD_v2_7/GND"
+merge "FD_v2_7/GND" "FD_v2_8/GND"
+merge "FD_v2_8/GND" "FD_v2_5/GND"
+merge "FD_v2_5/GND" "FD_v2_2/GND"
+merge "FD_v2_2/GND" "FD_v2_9/GND"
+merge "FD_v2_9/GND" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vss"
+merge "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vss" "m1_1968_n594#"
+merge "m1_1968_n594#" "FD_v2_4/GND"
+merge "FD_v2_4/GND" "FD_v2_3/GND"
+merge "FD_v2_3/GND" "3-stage_cs-vco_dp9_0/vss"
+merge "3-stage_cs-vco_dp9_0/vss" "vss_2"
+merge "3-stage_cs-vco_dp9_0/vco_switch_p_2/sel" "3-stage_cs-vco_dp9_0/sel2" -103.843 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -924 -122 10800 -320 0 0 0 0 0 0 0 0
+merge "3-stage_cs-vco_dp9_0/sel2" "vsel2"
+merge "vsel2" "m2_n2159_1718#"
+merge "sky130_fd_sc_hd__clkbuf_16_2/X" "sky130_fd_sc_hd__clkbuf_16_3/X" -412.327 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -10134 -924 0 0 120594 -2116 39240 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__clkbuf_16_3/X" "out_div128_buf"
+merge "FD_v5_0/Clk_Out" "FD_v2_1/Clk_In" -40.9159 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -12486 -160 0 0 0 0 0 0 0 0 0 0
+merge "FD_v2_1/Clk_In" "m1_7680_300#"
+merge "FD_v2_6/Clk_In" "FD_v2_5/Clk_Out" -1.239 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19540 -68 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__clkbuf_2_0/X" "sky130_fd_sc_hd__clkbuf_4_0/A" -114.439 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -14144 -272 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__clkbuf_4_0/A" "li_8782_n1210#"
+merge "FD_v2_1/Clk_Out" "FD_v2_2/Clk_In" -5.6304 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12784 -68 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__clkbuf_16_2/A" "sky130_fd_sc_hd__clkbuf_16_3/A" -619.566 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -26456 -746 0 0 -120400 -5600 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__clkbuf_16_3/A" "sky130_fd_sc_hd__clkbuf_16_0/X"
+merge "sky130_fd_sc_hd__clkbuf_16_0/X" "li_8587_462#"
+merge "3-stage_cs-vco_dp9_0/vctrl" "vctrl" -110.952 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -14444 -430 0 0 0 0 0 0 0 0 0 0
+merge "vctrl" "m1_n2159_n461#"
+merge "sky130_fd_sc_hd__clkbuf_2_1/A" "FD_v2_6/Clk_Out" -93.6667 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -4252 -136 819 -136 0 0 0 0 0 0 0 0 0 0
+merge "FD_v2_6/Clk_Out" "FD_v2_7/Clk_In"
+merge "FD_v2_7/Clk_In" "li_8577_n451#"
+merge "3-stage_cs-vco_dp9_0/vco_switch_p_0/vdd" "m1_1704_1531#" -3052.87 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1121 -2800 258222 -6284 139976 -3166 0 0 0 0 0 0
+merge "m1_1704_1531#" "vdd"
+merge "vdd" "m1_n889_1476#"
+merge "m1_n889_1476#" "3-stage_cs-vco_dp9_0/vdd"
+merge "3-stage_cs-vco_dp9_0/vdd" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd"
+merge "3-stage_cs-vco_dp9_0/vco_switch_n_v2_2/vdd" "m1_1702_n1381#"
+merge "m1_1702_n1381#" "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd"
+merge "3-stage_cs-vco_dp9_0/vco_switch_n_v2_0/vdd" "m1_n1029_1423#"
+merge "sky130_fd_sc_hd__clkbuf_4_1/X" "sky130_fd_sc_hd__clkbuf_8_1/A" -71.3998 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 71217 -272 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__clkbuf_8_1/A" "li_9325_n451#"
+merge "3-stage_cs-vco_dp9_0/sel0" "vsel0" -81.2058 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -832 -116 -4200 -290 0 0 0 0 0 0 0 0
+merge "vsel0" "m2_n2159_1958#"
+merge "sky130_fd_sc_hd__clkbuf_2_0/A" "FD_v2_7/Clk_Out" -244.308 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2304 -192 58310 -482 47520 0 0 0 0 0 0 0 0 0
+merge "FD_v2_7/Clk_Out" "FD_v2_8/Clk_In"
+merge "FD_v2_8/Clk_In" "li_8588_n1221#"
+merge "3-stage_cs-vco_dp9_0/sel1" "vsel1" -98.7726 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -924 -122 -4480 -304 0 0 0 0 0 0 0 0
+merge "vsel1" "m2_n2159_1798#"
+merge "3-stage_cs-vco_dp9_0/sel3" "vsel3" -109.501 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11484 -122 8560 -290 0 0 0 0 0 0 0 0
+merge "vsel3" "m2_n2159_1638#"
+merge "FD_v2_9/Clk_In" "FD_v2_8/Clk_Out" -13.94 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -68 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__clkbuf_4_0/X" "sky130_fd_sc_hd__clkbuf_8_0/A" -106.451 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -13158 -272 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__clkbuf_8_0/A" "li_9326_n1210#"
+merge "FD_v2_5/Clk_In" "FD_v2_4/Clk_Out" -22.3913 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -13002 -68 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__clkbuf_16_1/X" "out_div256_buf" -132.952 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -30832 -338 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FD_v2_3/Clk_In" "FD_v2_2/Clk_Out" -13.94 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -68 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__clkbuf_2_1/X" "sky130_fd_sc_hd__clkbuf_4_1/A" -115.438 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 32143 -272 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__clkbuf_4_1/A" "li_8789_n451#"
+merge "FD_v2_3/Clk_Out" "FD_v2_4/Clk_In" -59.5376 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8696 -318 0 0 0 0 0 0 0 0 0 0
+merge "FD_v2_4/Clk_In" "m1_2161_286#"
+merge "sky130_fd_sc_hd__clkbuf_16_1/A" "sky130_fd_sc_hd__clkbuf_8_0/X" -74.8368 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -18292 -408 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__clkbuf_8_0/X" "li_10187_n1210#"
+merge "sky130_fd_sc_hd__clkbuf_16_0/A" "sky130_fd_sc_hd__clkbuf_8_1/X" -175.416 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -11590 -408 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__clkbuf_8_1/X" "li_10185_n451#"
+merge "FD_v5_0/Clk_In" "3-stage_cs-vco_dp9_0/out" -155.652 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -89099 -472 0 0 0 0 0 0 0 0 0 0 0 0
+merge "3-stage_cs-vco_dp9_0/out" "out"
diff --git a/mag/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.mag b/mag/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.mag
new file mode 100644
index 0000000..697ed5c
--- /dev/null
+++ b/mag/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.mag
@@ -0,0 +1,963 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1647887906
+<< nwell >>
+rect 7680 243 8547 284
+rect 12276 243 12404 426
+rect 7680 -396 12404 243
+rect 7680 -1770 12404 -1178
+<< pwell >>
+rect 8161 692 12404 1138
+rect 7680 426 12404 692
+rect 7680 284 8522 426
+rect 7659 -1178 12404 -396
+<< psubdiff >>
+rect 8547 764 8593 798
+rect 12126 764 12184 798
+rect 8547 -771 8593 -737
+rect 12126 -771 12184 -737
+<< nsubdiff >>
+rect 8512 -16 8552 18
+rect 12137 -16 12192 18
+rect 8512 -1619 8552 -1585
+rect 12137 -1619 12192 -1585
+<< psubdiffcont >>
+rect 8593 764 12126 798
+rect 8593 -771 12126 -737
+<< nsubdiffcont >>
+rect 8552 -16 12137 18
+rect 8552 -1619 12137 -1585
+<< locali >>
+rect 2131 1117 2393 1122
+rect 1728 1082 2393 1117
+rect 1728 1077 2171 1082
+rect 1728 1040 1768 1077
+rect 8567 764 8593 798
+rect 12126 764 12151 798
+rect 10365 505 10435 540
+rect 10365 343 10435 381
+rect 8533 -16 8552 18
+rect 12137 -16 12164 18
+rect 12029 -436 12062 -402
+rect 8567 -771 8593 -737
+rect 12126 -771 12151 -737
+rect 8533 -1619 8552 -1585
+rect 12137 -1619 12164 -1585
+<< viali >>
+rect 8593 764 12126 798
+rect 8587 462 8621 496
+rect 10328 381 10466 505
+rect 12179 450 12213 484
+rect 8552 -16 12137 18
+rect 8577 -451 8611 -417
+rect 8789 -451 8823 -417
+rect 8948 -451 8982 -417
+rect 9325 -451 9359 -417
+rect 9439 -451 9473 -417
+rect 10185 -451 10219 -417
+rect 10286 -451 10320 -417
+rect 10429 -451 10463 -417
+rect 11995 -436 12029 -402
+rect 12074 -436 12108 -402
+rect 12155 -436 12189 -402
+rect 8593 -771 12126 -737
+rect 8588 -1221 8636 -1173
+rect 8782 -1210 8816 -1176
+rect 8952 -1210 8986 -1176
+rect 9326 -1210 9360 -1176
+rect 9436 -1210 9470 -1176
+rect 10187 -1210 10221 -1176
+rect 10293 -1210 10327 -1176
+rect 10427 -1210 10461 -1176
+rect 12043 -1247 12130 -1165
+rect 8552 -1619 12137 -1585
+<< metal1 >>
+rect 1989 2686 2059 2724
+rect 1726 2424 1796 2462
+rect -1047 2372 -957 2378
+rect -1047 2276 -957 2282
+rect -96 2372 -6 2378
+rect -96 2276 -6 2282
+rect 987 2372 1077 2378
+rect 987 2276 1077 2282
+rect 2594 2240 2782 2278
+rect 2594 2140 2638 2240
+rect 2738 2140 2782 2240
+rect 2594 2098 2782 2140
+rect 2276 2006 2464 2030
+rect 2276 1906 2320 2006
+rect 2420 1906 2464 2006
+rect 2276 1850 2464 1906
+rect -1409 1755 -1383 1787
+rect -1332 1602 -1304 1635
+rect -1251 1531 -1223 1564
+rect -1029 1519 -939 1525
+rect -1163 1448 -1135 1481
+rect -889 1476 -787 1566
+rect 1704 1546 1848 1723
+rect 2160 1686 8161 1723
+rect 2160 1596 2233 1686
+rect 2323 1596 3977 1686
+rect 4067 1596 5786 1686
+rect 5876 1604 8020 1686
+rect 5876 1596 7009 1604
+rect 2160 1546 7009 1596
+rect 8110 1604 8161 1686
+rect 8020 1590 8110 1596
+rect 1704 1531 1847 1546
+rect -1029 1423 -939 1429
+rect 8161 1076 8263 1122
+rect 2144 691 2235 749
+rect 2249 724 2339 730
+rect 2144 659 2249 691
+rect 2144 600 2235 659
+rect 3987 724 4077 730
+rect 2339 659 3987 691
+rect 2249 628 2339 634
+rect 5820 724 5910 730
+rect 4077 659 5820 691
+rect 3987 628 4077 634
+rect 7006 691 7173 749
+rect 7556 724 7646 730
+rect 5910 659 7556 691
+rect 7006 658 7556 659
+rect 5820 628 5910 634
+rect 7646 658 7680 691
+rect 7556 628 7646 634
+rect 2161 352 2235 358
+rect 2161 292 2168 352
+rect 2228 292 2277 352
+rect 8217 346 8263 1076
+rect 8560 798 12240 810
+rect 8560 764 8593 798
+rect 12126 764 12240 798
+rect 8560 735 12240 764
+rect 8880 724 8970 730
+rect 8880 628 8970 634
+rect 9405 724 9495 730
+rect 9405 628 9495 634
+rect 10347 724 10437 730
+rect 10347 628 10437 634
+rect 10978 724 11068 730
+rect 10978 628 11068 634
+rect 11625 724 11715 730
+rect 11625 628 11715 634
+rect 12119 724 12209 730
+rect 12119 628 12209 634
+rect 10312 505 10480 521
+rect 8575 500 8633 502
+rect 7680 300 8263 346
+rect 8379 496 8633 500
+rect 8379 462 8587 496
+rect 8621 462 8633 496
+rect 8379 457 8633 462
+rect 2161 286 2235 292
+rect 8379 117 8422 457
+rect 8575 456 8633 457
+rect 10312 381 10328 505
+rect 10466 381 10480 505
+rect 12167 484 12225 490
+rect 12167 450 12179 484
+rect 12213 450 12330 484
+rect 12167 444 12225 450
+rect 10312 365 10480 381
+rect 12296 117 12330 450
+rect 8374 111 8426 117
+rect 12287 111 12339 117
+rect 8374 53 8426 59
+rect 2114 -36 2235 20
+rect 8488 18 12240 95
+rect 12287 53 12339 59
+rect 2248 -12 2338 -6
+rect 2114 -38 2245 -36
+rect 2114 -74 2248 -38
+rect 2114 -132 2245 -74
+rect 3983 -12 4073 -6
+rect 2338 -74 3983 -38
+rect 2248 -108 2338 -102
+rect 5815 -12 5905 -6
+rect 4073 -74 5815 -38
+rect 3983 -108 4073 -102
+rect 7560 -12 7650 -6
+rect 5905 -74 7560 -38
+rect 5815 -108 5905 -102
+rect 8488 -16 8552 18
+rect 12137 -12 12240 18
+rect 7650 -74 7680 -38
+rect 8488 -65 8553 -16
+rect 7560 -108 7650 -102
+rect 8643 -65 9062 -16
+rect 8553 -108 8643 -102
+rect 9152 -65 9788 -16
+rect 9062 -108 9152 -102
+rect 9878 -65 10355 -16
+rect 9788 -108 9878 -102
+rect 10445 -65 10949 -16
+rect 10355 -108 10445 -102
+rect 11039 -65 11680 -16
+rect 10949 -108 11039 -102
+rect 11770 -65 12115 -16
+rect 11680 -108 11770 -102
+rect 12205 -65 12240 -12
+rect 12115 -108 12205 -102
+rect -2159 -461 -1723 -391
+rect 11978 -396 12200 -380
+rect 11978 -402 12201 -396
+rect 12296 -402 12330 53
+rect 2172 -409 2224 -403
+rect 2224 -458 2248 -412
+rect 8565 -417 8623 -411
+rect 7680 -451 8577 -417
+rect 8611 -451 8623 -417
+rect 2172 -467 2224 -461
+rect -1724 -522 -1630 -476
+rect -942 -497 -878 -491
+rect -942 -567 -878 -561
+rect 1968 -594 2080 -554
+rect 2170 -744 2236 -713
+rect 2170 -771 2237 -744
+rect 2252 -745 2342 -739
+rect 2170 -803 2252 -771
+rect 2170 -863 2237 -803
+rect 3993 -745 4083 -739
+rect 2342 -803 3993 -771
+rect 2252 -841 2342 -835
+rect 5806 -745 5896 -739
+rect 4083 -803 5806 -771
+rect 3993 -841 4083 -835
+rect 7547 -745 7637 -739
+rect 5896 -803 7547 -771
+rect 5806 -841 5896 -835
+rect 7637 -803 7680 -771
+rect 7547 -841 7637 -835
+rect 5810 -1113 5930 -1111
+rect 5810 -1169 5843 -1113
+rect 5897 -1123 5930 -1113
+rect 7722 -1123 7756 -451
+rect 8565 -457 8623 -451
+rect 8777 -417 8835 -411
+rect 8936 -417 8994 -411
+rect 8777 -451 8789 -417
+rect 8823 -451 8948 -417
+rect 8982 -451 8994 -417
+rect 8777 -457 8835 -451
+rect 8936 -457 8994 -451
+rect 9313 -417 9371 -411
+rect 9427 -417 9485 -411
+rect 9313 -451 9325 -417
+rect 9359 -451 9439 -417
+rect 9473 -451 9485 -417
+rect 9313 -457 9371 -451
+rect 9427 -457 9485 -451
+rect 10173 -417 10231 -411
+rect 10274 -417 10332 -411
+rect 10417 -417 10475 -411
+rect 10173 -451 10185 -417
+rect 10219 -451 10286 -417
+rect 10320 -451 10429 -417
+rect 10463 -451 10475 -417
+rect 10173 -457 10231 -451
+rect 10274 -457 10332 -451
+rect 10417 -457 10475 -451
+rect 11978 -436 11995 -402
+rect 12029 -436 12074 -402
+rect 12108 -436 12155 -402
+rect 12189 -436 12330 -402
+rect 11978 -442 12201 -436
+rect 11978 -456 12200 -442
+rect 8488 -737 12240 -705
+rect 8488 -771 8593 -737
+rect 12126 -745 12240 -737
+rect 8488 -835 9047 -771
+rect 9137 -835 9777 -771
+rect 9867 -835 10347 -771
+rect 10437 -835 10982 -771
+rect 11072 -835 11589 -771
+rect 11679 -835 12101 -771
+rect 12191 -835 12240 -745
+rect 8488 -914 12240 -835
+rect 5897 -1157 5935 -1123
+rect 7680 -1157 7756 -1123
+rect 5897 -1169 5930 -1157
+rect 8582 -1167 8642 -1161
+rect 8770 -1176 8828 -1170
+rect 8940 -1176 8998 -1170
+rect 8770 -1210 8782 -1176
+rect 8816 -1210 8952 -1176
+rect 8986 -1210 8998 -1176
+rect 8770 -1216 8828 -1210
+rect 8940 -1216 8998 -1210
+rect 9314 -1176 9366 -1164
+rect 12032 -1165 12143 -1152
+rect 9424 -1176 9482 -1170
+rect 9314 -1210 9326 -1176
+rect 9360 -1210 9436 -1176
+rect 9470 -1210 9482 -1176
+rect 9314 -1222 9366 -1210
+rect 9424 -1216 9482 -1210
+rect 10175 -1176 10233 -1170
+rect 10281 -1176 10339 -1170
+rect 10415 -1176 10473 -1170
+rect 10175 -1210 10187 -1176
+rect 10221 -1210 10293 -1176
+rect 10327 -1210 10427 -1176
+rect 10461 -1210 10473 -1176
+rect 10175 -1216 10233 -1210
+rect 10281 -1216 10339 -1210
+rect 10415 -1216 10473 -1210
+rect 8582 -1233 8642 -1227
+rect 12032 -1247 12043 -1165
+rect 12130 -1247 12143 -1165
+rect 12032 -1261 12143 -1247
+rect -303 -1307 -213 -1301
+rect -983 -1397 -977 -1307
+rect -887 -1397 -881 -1307
+rect -303 -1403 -213 -1397
+rect 718 -1307 808 -1301
+rect 1703 -1312 1826 -1262
+rect 1702 -1381 1826 -1312
+rect 718 -1403 808 -1397
+rect 1703 -1424 1826 -1381
+rect 2154 -1465 7680 -1442
+rect 2154 -1555 2233 -1465
+rect 2323 -1555 3985 -1465
+rect 4075 -1555 5888 -1465
+rect 5978 -1555 7584 -1465
+rect 7674 -1555 7680 -1465
+rect 8547 -1465 8637 -1459
+rect 2154 -1565 7680 -1555
+rect 8488 -1555 8547 -1554
+rect 9009 -1465 9099 -1459
+rect 8637 -1555 9009 -1554
+rect 9804 -1465 9894 -1459
+rect 9099 -1555 9804 -1554
+rect 10357 -1465 10447 -1459
+rect 9894 -1555 10357 -1554
+rect 11017 -1465 11107 -1459
+rect 10447 -1555 11017 -1554
+rect 11656 -1465 11746 -1459
+rect 11107 -1555 11656 -1554
+rect 12091 -1465 12181 -1459
+rect 11746 -1555 12091 -1554
+rect 12181 -1555 12240 -1554
+rect 8488 -1585 12240 -1555
+rect 8488 -1619 8552 -1585
+rect 12137 -1619 12240 -1585
+rect 8488 -1652 12240 -1619
+<< via1 >>
+rect -1047 2282 -957 2372
+rect -96 2282 -6 2372
+rect 987 2282 1077 2372
+rect 2638 2140 2738 2240
+rect 2320 1906 2420 2006
+rect -1029 1429 -939 1519
+rect 2233 1596 2323 1686
+rect 3977 1596 4067 1686
+rect 5786 1596 5876 1686
+rect 8020 1596 8110 1686
+rect 2249 634 2339 724
+rect 3987 634 4077 724
+rect 5820 634 5910 724
+rect 7556 634 7646 724
+rect 2168 292 2228 352
+rect 8880 634 8970 724
+rect 9405 634 9495 724
+rect 10347 634 10437 724
+rect 10978 634 11068 724
+rect 11625 634 11715 724
+rect 12119 634 12209 724
+rect 10328 381 10466 505
+rect 8374 59 8426 111
+rect 12287 59 12339 111
+rect 2248 -102 2338 -12
+rect 3983 -102 4073 -12
+rect 5815 -102 5905 -12
+rect 7560 -102 7650 -12
+rect 8553 -16 8643 -12
+rect 9062 -16 9152 -12
+rect 9788 -16 9878 -12
+rect 10355 -16 10445 -12
+rect 10949 -16 11039 -12
+rect 11680 -16 11770 -12
+rect 12115 -16 12137 -12
+rect 12137 -16 12205 -12
+rect 8553 -102 8643 -16
+rect 9062 -102 9152 -16
+rect 9788 -102 9878 -16
+rect 10355 -102 10445 -16
+rect 10949 -102 11039 -16
+rect 11680 -102 11770 -16
+rect 12115 -102 12205 -16
+rect 2172 -461 2224 -409
+rect -942 -561 -878 -497
+rect 2252 -835 2342 -745
+rect 3993 -835 4083 -745
+rect 5806 -835 5896 -745
+rect 7547 -835 7637 -745
+rect 5843 -1169 5897 -1113
+rect 9047 -771 9137 -745
+rect 9777 -771 9867 -745
+rect 10347 -771 10437 -745
+rect 10982 -771 11072 -745
+rect 11589 -771 11679 -745
+rect 12101 -771 12126 -745
+rect 12126 -771 12191 -745
+rect 9047 -835 9137 -771
+rect 9777 -835 9867 -771
+rect 10347 -835 10437 -771
+rect 10982 -835 11072 -771
+rect 11589 -835 11679 -771
+rect 12101 -835 12191 -771
+rect 8582 -1173 8642 -1167
+rect 8582 -1221 8588 -1173
+rect 8588 -1221 8636 -1173
+rect 8636 -1221 8642 -1173
+rect 8582 -1227 8642 -1221
+rect 12043 -1247 12130 -1165
+rect -977 -1397 -887 -1307
+rect -303 -1397 -213 -1307
+rect 718 -1397 808 -1307
+rect 2233 -1555 2323 -1465
+rect 3985 -1555 4075 -1465
+rect 5888 -1555 5978 -1465
+rect 7584 -1555 7674 -1465
+rect 8547 -1555 8637 -1465
+rect 9009 -1555 9099 -1465
+rect 9804 -1555 9894 -1465
+rect 10357 -1555 10447 -1465
+rect 11017 -1555 11107 -1465
+rect 11656 -1555 11746 -1465
+rect 12091 -1555 12181 -1465
+<< metal2 >>
+rect 8497 2813 8587 2822
+rect -1834 2723 -1825 2813
+rect -1735 2723 2138 2813
+rect 2578 2811 8497 2813
+rect 2578 2723 2643 2811
+rect 2634 2721 2643 2723
+rect 2733 2723 8497 2811
+rect 8587 2723 12657 2813
+rect 12747 2723 12756 2813
+rect 2733 2721 2742 2723
+rect 8497 2714 8587 2723
+rect -2052 2553 -1944 2563
+rect 8321 2553 8411 2562
+rect -2052 2463 -2043 2553
+rect -1953 2463 1900 2553
+rect 2268 2551 8321 2553
+rect 2268 2463 2325 2551
+rect -2052 2454 -1944 2463
+rect 2316 2461 2325 2463
+rect 2415 2463 8321 2551
+rect 8411 2463 12421 2553
+rect 12511 2463 12520 2553
+rect 2415 2461 2424 2463
+rect 8321 2454 8411 2463
+rect -1820 2372 -1740 2376
+rect -1825 2367 -1047 2372
+rect -1825 2287 -1820 2367
+rect -1740 2287 -1047 2367
+rect -1825 2282 -1047 2287
+rect -957 2282 -96 2372
+rect -6 2282 987 2372
+rect 1077 2282 2098 2372
+rect -1820 2278 -1740 2282
+rect 2620 2240 2756 2260
+rect 2620 2140 2638 2240
+rect 2738 2140 2756 2240
+rect 2620 2126 2756 2140
+rect 2298 2006 2434 2018
+rect -2159 1958 -1323 1998
+rect 2298 1906 2320 2006
+rect 2420 1906 2434 2006
+rect 2298 1884 2434 1906
+rect -2159 1798 -1238 1838
+rect -2159 1718 -1149 1758
+rect 2310 1692 2430 1700
+rect 2310 1686 2320 1692
+rect 2420 1686 2430 1692
+rect 3977 1686 4067 1692
+rect 8321 1686 8415 1690
+rect -2159 1638 -1078 1678
+rect 2227 1596 2233 1686
+rect 2420 1596 3977 1686
+rect 4067 1596 5786 1686
+rect 5876 1596 8020 1686
+rect 8110 1681 8415 1686
+rect 8110 1601 8326 1681
+rect 8406 1601 8415 1681
+rect 8110 1596 8415 1601
+rect 2310 1592 2320 1596
+rect 2420 1592 2430 1596
+rect 2310 1580 2430 1592
+rect 3977 1590 4067 1596
+rect 8321 1591 8415 1596
+rect -2038 1519 -1958 1523
+rect -2043 1514 -1029 1519
+rect -2043 1434 -2038 1514
+rect -1958 1434 -1029 1514
+rect -2043 1429 -1029 1434
+rect -939 1429 -933 1519
+rect -2038 1425 -1958 1429
+rect 10345 949 10447 958
+rect 10345 865 10354 949
+rect 10438 865 12877 949
+rect 10345 856 10447 865
+rect 2628 728 2746 738
+rect 2628 724 2638 728
+rect 2243 634 2249 724
+rect 2339 634 2638 724
+rect 2628 628 2638 634
+rect 2738 724 2746 728
+rect 8502 724 8582 728
+rect 2738 634 3987 724
+rect 4077 634 5820 724
+rect 5910 634 7556 724
+rect 7646 719 8880 724
+rect 7646 639 8502 719
+rect 8582 639 8880 719
+rect 7646 634 8880 639
+rect 8970 634 9405 724
+rect 9495 634 10347 724
+rect 10437 634 10978 724
+rect 11068 634 11625 724
+rect 11715 634 12119 724
+rect 12209 634 12657 724
+rect 12747 634 12756 724
+rect 2738 628 2746 634
+rect 8502 630 8582 634
+rect 2628 618 2746 628
+rect 10316 505 10477 518
+rect 10316 381 10328 505
+rect 10466 381 10477 505
+rect 10316 370 10477 381
+rect 2161 352 2235 358
+rect 2161 292 2168 352
+rect 2228 292 2235 352
+rect 2161 286 2235 292
+rect 8368 59 8374 111
+rect 8426 106 8432 111
+rect 12281 106 12287 111
+rect 8426 63 12287 106
+rect 8426 59 8432 63
+rect 12281 59 12287 63
+rect 12339 59 12345 111
+rect 2310 -10 2430 0
+rect 2310 -12 2320 -10
+rect 2420 -12 2430 -10
+rect 8321 -12 8415 -7
+rect 2242 -102 2248 -12
+rect 2420 -102 3983 -12
+rect 4073 -102 5815 -12
+rect 5905 -102 7560 -12
+rect 7650 -17 8553 -12
+rect 7650 -97 8326 -17
+rect 8406 -97 8553 -17
+rect 7650 -102 8553 -97
+rect 8643 -102 9062 -12
+rect 9152 -102 9788 -12
+rect 9878 -102 10355 -12
+rect 10445 -102 10949 -12
+rect 11039 -102 11680 -12
+rect 11770 -102 12115 -12
+rect 12205 -102 12421 -12
+rect 12511 -102 12520 -12
+rect 2310 -110 2320 -102
+rect 2420 -110 2430 -102
+rect 8321 -106 8415 -102
+rect 2310 -120 2430 -110
+rect 2159 -465 2168 -405
+rect 2228 -465 2237 -405
+rect -1823 -561 -1814 -497
+rect -1750 -561 -942 -497
+rect -878 -561 -872 -497
+rect 2634 -745 2643 -743
+rect 2246 -835 2252 -745
+rect 2342 -833 2643 -745
+rect 2733 -745 2742 -743
+rect 8502 -745 8582 -741
+rect 2733 -833 3993 -745
+rect 2342 -835 3993 -833
+rect 4083 -835 5806 -745
+rect 5896 -835 7547 -745
+rect 7637 -750 9047 -745
+rect 7637 -830 8502 -750
+rect 8582 -830 9047 -750
+rect 7637 -835 9047 -830
+rect 9137 -835 9777 -745
+rect 9867 -835 10347 -745
+rect 10437 -835 10982 -745
+rect 11072 -835 11589 -745
+rect 11679 -835 12101 -745
+rect 12191 -835 12657 -745
+rect 12747 -835 12756 -745
+rect 8502 -839 8582 -835
+rect 5834 -1113 5908 -1103
+rect 5834 -1169 5843 -1113
+rect 5899 -1169 5908 -1113
+rect 12032 -1164 12143 -1152
+rect 12032 -1165 12877 -1164
+rect 7937 -1169 8582 -1167
+rect 5834 -1178 5908 -1169
+rect 7930 -1225 7939 -1169
+rect 7995 -1225 8582 -1169
+rect 7937 -1227 8582 -1225
+rect 8642 -1227 8648 -1167
+rect 12032 -1247 12043 -1165
+rect 12130 -1247 12877 -1165
+rect 12032 -1261 12143 -1247
+rect -2038 -1307 -1958 -1303
+rect -977 -1307 -887 -1301
+rect -2043 -1312 -977 -1307
+rect -2043 -1392 -2038 -1312
+rect -1958 -1392 -977 -1312
+rect -2043 -1397 -977 -1392
+rect -887 -1397 -303 -1307
+rect -213 -1397 718 -1307
+rect 808 -1397 1839 -1307
+rect -2038 -1401 -1958 -1397
+rect -977 -1403 -887 -1397
+rect 2310 -1462 2430 -1452
+rect 2310 -1465 2320 -1462
+rect 2420 -1465 2430 -1462
+rect 8326 -1465 8406 -1461
+rect 2227 -1555 2233 -1465
+rect 2420 -1555 3985 -1465
+rect 4075 -1555 5888 -1465
+rect 5978 -1555 7584 -1465
+rect 7674 -1470 8547 -1465
+rect 7674 -1550 8326 -1470
+rect 8406 -1550 8547 -1470
+rect 7674 -1555 8547 -1550
+rect 8637 -1555 9009 -1465
+rect 9099 -1555 9804 -1465
+rect 9894 -1555 10357 -1465
+rect 10447 -1555 11017 -1465
+rect 11107 -1555 11656 -1465
+rect 11746 -1555 12091 -1465
+rect 12181 -1555 12421 -1465
+rect 12511 -1555 12520 -1465
+rect 2310 -1562 2320 -1555
+rect 2420 -1562 2430 -1555
+rect 8326 -1559 8406 -1555
+rect 2310 -1572 2430 -1562
+rect 7925 -1672 8006 -1663
+rect 5840 -1674 7937 -1672
+rect 5833 -1730 5842 -1674
+rect 5898 -1730 7937 -1674
+rect 5840 -1732 7937 -1730
+rect 7997 -1732 8006 -1672
+rect 7925 -1742 8006 -1732
+<< via2 >>
+rect -1825 2723 -1735 2813
+rect 2643 2721 2733 2811
+rect 8497 2723 8587 2813
+rect 12657 2723 12747 2813
+rect -2043 2463 -1953 2553
+rect 2325 2461 2415 2551
+rect 8321 2463 8411 2553
+rect 12421 2463 12511 2553
+rect -1820 2287 -1740 2367
+rect 2643 2145 2733 2235
+rect 2325 1911 2415 2001
+rect 2320 1686 2420 1692
+rect 2320 1596 2323 1686
+rect 2323 1596 2420 1686
+rect 8326 1601 8406 1681
+rect 2320 1592 2420 1596
+rect -2038 1434 -1958 1514
+rect 10354 865 10438 949
+rect 2638 628 2738 728
+rect 8502 639 8582 719
+rect 12657 634 12747 724
+rect 10328 381 10466 505
+rect 2170 294 2226 350
+rect 2320 -12 2420 -10
+rect 2320 -102 2338 -12
+rect 2338 -102 2420 -12
+rect 8326 -97 8406 -17
+rect 12421 -102 12511 -12
+rect 2320 -110 2420 -102
+rect 2168 -409 2228 -405
+rect 2168 -461 2172 -409
+rect 2172 -461 2224 -409
+rect 2224 -461 2228 -409
+rect 2168 -465 2228 -461
+rect -1814 -561 -1750 -497
+rect 2643 -833 2733 -743
+rect 8502 -830 8582 -750
+rect 12657 -835 12747 -745
+rect 5843 -1169 5897 -1113
+rect 5897 -1169 5899 -1113
+rect 7939 -1225 7995 -1169
+rect -2038 -1392 -1958 -1312
+rect 2320 -1465 2420 -1462
+rect 2320 -1555 2323 -1465
+rect 2323 -1555 2420 -1465
+rect 8326 -1550 8406 -1470
+rect 12421 -1555 12511 -1465
+rect 2320 -1562 2420 -1555
+rect 5842 -1730 5898 -1674
+rect 7937 -1732 7997 -1672
+<< metal3 >>
+rect -1830 2813 -1730 2818
+rect -1830 2723 -1825 2813
+rect -1735 2723 -1730 2813
+rect -1830 2718 -1730 2723
+rect 2638 2811 2738 2816
+rect 2638 2721 2643 2811
+rect 2733 2721 2738 2811
+rect -2048 2553 -1948 2558
+rect -2048 2463 -2043 2553
+rect -1953 2463 -1948 2553
+rect -2048 2458 -1948 2463
+rect -2043 1514 -1953 2458
+rect -2043 1434 -2038 1514
+rect -1958 1434 -1953 1514
+rect -2043 -1312 -1953 1434
+rect -1825 2367 -1735 2718
+rect -1825 2287 -1820 2367
+rect -1740 2287 -1735 2367
+rect -1825 -497 -1735 2287
+rect 2320 2551 2420 2556
+rect 2320 2461 2325 2551
+rect 2415 2461 2420 2551
+rect 2320 2001 2420 2461
+rect 2320 1911 2325 2001
+rect 2415 1911 2420 2001
+rect 2320 1697 2420 1911
+rect 2638 2235 2738 2721
+rect 8492 2813 8592 2818
+rect 8492 2723 8497 2813
+rect 8587 2723 8592 2813
+rect 8492 2718 8592 2723
+rect 12652 2813 12752 2818
+rect 12652 2723 12657 2813
+rect 12747 2723 12752 2813
+rect 12652 2718 12752 2723
+rect 8316 2553 8416 2558
+rect 8316 2463 8321 2553
+rect 8411 2463 8416 2553
+rect 8316 2458 8416 2463
+rect 2638 2145 2643 2235
+rect 2733 2145 2738 2235
+rect 2315 1692 2425 1697
+rect 2315 1592 2320 1692
+rect 2420 1592 2425 1692
+rect 2315 1587 2425 1592
+rect 2165 350 2231 355
+rect 2165 294 2170 350
+rect 2226 294 2231 350
+rect 2165 289 2231 294
+rect 2168 -400 2228 289
+rect 2320 -5 2420 1587
+rect 2638 733 2738 2145
+rect 8321 1681 8411 2458
+rect 8321 1601 8326 1681
+rect 8406 1601 8411 1681
+rect 2633 728 2743 733
+rect 2633 628 2638 728
+rect 2738 628 2743 728
+rect 2633 623 2743 628
+rect 2315 -10 2425 -5
+rect 2315 -110 2320 -10
+rect 2420 -110 2425 -10
+rect 2315 -115 2425 -110
+rect 2163 -405 2233 -400
+rect 2163 -465 2168 -405
+rect 2228 -465 2233 -405
+rect 2163 -470 2233 -465
+rect -1825 -561 -1814 -497
+rect -1750 -561 -1735 -497
+rect -1825 -582 -1735 -561
+rect -2043 -1392 -2038 -1312
+rect -1958 -1392 -1953 -1312
+rect -2043 -1397 -1953 -1392
+rect 2320 -1457 2420 -115
+rect 2638 -743 2738 623
+rect 2638 -833 2643 -743
+rect 2733 -833 2738 -743
+rect 2638 -838 2738 -833
+rect 8321 -17 8411 1601
+rect 8321 -97 8326 -17
+rect 8406 -97 8411 -17
+rect 5835 -1113 5905 -1105
+rect 5835 -1169 5843 -1113
+rect 5899 -1169 5905 -1113
+rect 5835 -1175 5905 -1169
+rect 7934 -1169 8000 -1164
+rect 2315 -1462 2425 -1457
+rect 2315 -1562 2320 -1462
+rect 2420 -1562 2425 -1462
+rect 2315 -1567 2425 -1562
+rect 5840 -1669 5900 -1175
+rect 7934 -1225 7939 -1169
+rect 7995 -1225 8000 -1169
+rect 7934 -1230 8000 -1225
+rect 7937 -1667 7997 -1230
+rect 8321 -1470 8411 -97
+rect 8497 719 8587 2718
+rect 12416 2553 12516 2558
+rect 12416 2463 12421 2553
+rect 12511 2463 12516 2553
+rect 12416 2458 12516 2463
+rect 10345 949 10447 958
+rect 10345 865 10354 949
+rect 10438 865 10447 949
+rect 10345 856 10447 865
+rect 8497 639 8502 719
+rect 8582 639 8587 719
+rect 8497 -750 8587 639
+rect 10354 518 10438 856
+rect 12421 729 12511 2458
+rect 12657 729 12747 2718
+rect 12416 629 12516 729
+rect 12652 724 12752 729
+rect 12652 634 12657 724
+rect 12747 634 12752 724
+rect 12652 629 12752 634
+rect 10316 505 10477 518
+rect 10316 381 10328 505
+rect 10466 381 10477 505
+rect 10316 370 10477 381
+rect 12421 -7 12511 629
+rect 12416 -12 12516 -7
+rect 12416 -102 12421 -12
+rect 12511 -102 12516 -12
+rect 12416 -107 12516 -102
+rect 8497 -830 8502 -750
+rect 8582 -830 8587 -750
+rect 8497 -835 8587 -830
+rect 12421 -1460 12511 -107
+rect 12657 -740 12747 629
+rect 12652 -745 12752 -740
+rect 12652 -835 12657 -745
+rect 12747 -835 12752 -745
+rect 12652 -840 12752 -835
+rect 12657 -895 12747 -840
+rect 8321 -1550 8326 -1470
+rect 8406 -1550 8411 -1470
+rect 8321 -1555 8411 -1550
+rect 12416 -1465 12516 -1460
+rect 12416 -1555 12421 -1465
+rect 12511 -1555 12516 -1465
+rect 12416 -1560 12516 -1555
+rect 12421 -1634 12511 -1560
+rect 5837 -1674 5903 -1669
+rect 5837 -1730 5842 -1674
+rect 5898 -1730 5903 -1674
+rect 5837 -1735 5903 -1730
+rect 7932 -1672 8002 -1667
+rect 7932 -1732 7937 -1672
+rect 7997 -1732 8002 -1672
+rect 7932 -1737 8002 -1732
+use 3-stage_cs-vco_dp9  3-stage_cs-vco_dp9_0
+timestamp 1647637375
+transform 1 0 25 0 1 226
+box -1753 -1641 2093 2641
+use FD_v2  FD_v2_1
+timestamp 1647637375
+transform -1 0 7748 0 -1 -29
+box 68 -697 1883 34
+use FD_v2  FD_v2_2
+timestamp 1647637375
+transform -1 0 5933 0 -1 -29
+box 68 -697 1883 34
+use FD_v2  FD_v2_3
+timestamp 1647637375
+transform -1 0 4118 0 -1 -29
+box 68 -697 1883 34
+use FD_v2  FD_v2_4
+timestamp 1647637375
+transform 1 0 2167 0 1 -83
+box 68 -697 1883 34
+use FD_v2  FD_v2_5
+timestamp 1647637375
+transform 1 0 3982 0 1 -83
+box 68 -697 1883 34
+use FD_v2  FD_v2_6
+timestamp 1647637375
+transform 1 0 5797 0 1 -83
+box 68 -697 1883 34
+use FD_v2  FD_v2_7
+timestamp 1647637375
+transform -1 0 7748 0 -1 -1491
+box 68 -697 1883 34
+use FD_v2  FD_v2_8
+timestamp 1647637375
+transform -1 0 5933 0 -1 -1491
+box 68 -697 1883 34
+use FD_v2  FD_v2_9
+timestamp 1647637375
+transform -1 0 4118 0 -1 -1491
+box 68 -697 1883 34
+use FD_v5  FD_v5_0
+timestamp 1647637375
+transform 1 0 2617 0 1 1451
+box -383 -769 5544 178
+use sky130_fd_sc_hd__clkbuf_2  sky130_fd_sc_hd__clkbuf_2_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646908997
+transform 1 0 8488 0 -1 -962
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_2  sky130_fd_sc_hd__clkbuf_2_1
+timestamp 1646908997
+transform 1 0 8488 0 1 -657
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_4  sky130_fd_sc_hd__clkbuf_4_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646908997
+transform 1 0 8856 0 -1 -962
+box -38 -48 590 592
+use sky130_fd_sc_hd__clkbuf_4  sky130_fd_sc_hd__clkbuf_4_1
+timestamp 1646908997
+transform 1 0 8856 0 1 -657
+box -38 -48 590 592
+use sky130_fd_sc_hd__clkbuf_8  sky130_fd_sc_hd__clkbuf_8_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646908997
+transform 1 0 9408 0 -1 -962
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkbuf_8  sky130_fd_sc_hd__clkbuf_8_1
+timestamp 1646908997
+transform 1 0 9408 0 1 -657
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkbuf_16  sky130_fd_sc_hd__clkbuf_16_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1646908997
+transform 1 0 10400 0 1 -657
+box -38 -48 1878 592
+use sky130_fd_sc_hd__clkbuf_16  sky130_fd_sc_hd__clkbuf_16_1
+timestamp 1646908997
+transform 1 0 10400 0 -1 -962
+box -38 -48 1878 592
+use sky130_fd_sc_hd__clkbuf_16  sky130_fd_sc_hd__clkbuf_16_2
+timestamp 1646908997
+transform -1 0 12240 0 -1 687
+box -38 -48 1878 592
+use sky130_fd_sc_hd__clkbuf_16  sky130_fd_sc_hd__clkbuf_16_3
+timestamp 1646908997
+transform 1 0 8560 0 -1 687
+box -38 -48 1878 592
+<< labels >>
+rlabel metal1 1732 2426 1789 2460 1 vdd
+port 3 n
+rlabel metal1 -1407 1757 -1384 1783 1 vsel0
+port 5 n
+rlabel metal1 -1330 1605 -1307 1631 1 vsel1
+port 6 n
+rlabel metal1 -1248 1534 -1225 1560 1 vsel2
+port 7 n
+rlabel metal1 -1161 1449 -1138 1475 1 vsel3
+port 8 n
+rlabel metal1 -1702 -522 -1659 -476 1 vctrl
+port 1 n
+rlabel locali 1902 1080 1947 1117 1 out
+rlabel metal1 1994 2687 2051 2721 1 vss
+port 4 n
+rlabel metal2 12803 879 12857 931 1 out_div128_buf
+port 2 n
+rlabel metal2 12793 -1239 12853 -1185 1 out_div256_buf
+port 9 n
+rlabel metal3 2344 1858 2398 1896 1 vdd_2
+port 10 n
+rlabel metal3 2650 2102 2718 2134 1 vss_2
+port 11 n
+<< end >>
diff --git a/mag/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.spice b/mag/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.spice
new file mode 100644
index 0000000..d5c1831
--- /dev/null
+++ b/mag/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.spice
@@ -0,0 +1,434 @@
+* NGSPICE file created from vco_with_fdivs_split_supplies.ext - technology: sky130A
+
+.subckt sky130_fd_sc_hd__clkbuf_8 A VGND VPWR X VNB VPB
+X0 VPWR A a_110_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=1.65e+12p pd=1.53e+07u as=2.8e+11p ps=2.56e+06u w=1e+06u l=150000u
+X1 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.12e+12p ps=1.024e+07u w=1e+06u l=150000u
+X2 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 a_110_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X4 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=4.704e+11p pd=5.6e+06u as=6.951e+11p ps=8.35e+06u w=420000u l=150000u
+X5 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X6 VGND a_110_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X7 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X8 VGND A a_110_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.176e+11p ps=1.4e+06u w=420000u l=150000u
+X9 VGND a_110_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X10 a_110_47# A VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X11 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X12 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X13 VGND a_110_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X14 VGND a_110_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X15 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X16 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X17 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X18 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X19 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_NDE37H a_15_n115# a_n118_22# a_n73_n115# VSUBS
+X0 a_15_n115# a_n118_22# a_n73_n115# VSUBS sky130_fd_pr__nfet_01v8 ad=2.436e+11p pd=2.26e+06u as=2.436e+11p ps=2.26e+06u w=840000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_A7DS5R a_15_n36# a_n73_n36# w_n109_n86# a_n15_n133#
+X0 a_15_n36# a_n15_n133# a_n73_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=2.088e+11p pd=2.02e+06u as=2.088e+11p ps=2.02e+06u w=720000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_PW5BNL a_15_n79# a_n73_37# a_n73_n79# VSUBS
+X0 a_15_n79# a_n73_37# a_n73_n79# VSUBS sky130_fd_pr__nfet_01v8 ad=1.218e+11p pd=1.42e+06u as=1.218e+11p ps=1.42e+06u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ACPHKB a_n33_37# a_15_n78# a_n73_n78# w_n109_n140#
+X0 a_15_n78# a_n33_37# a_n73_n78# w_n109_n140# sky130_fd_pr__pfet_01v8 ad=1.218e+11p pd=1.42e+06u as=1.218e+11p ps=1.42e+06u w=420000u l=150000u
+.ends
+
+.subckt FD_v2 Clk_In VDD GND Clk_Out
+Xsky130_fd_pr__nfet_01v8_NDE37H_0 4 Clk_In 3 GND sky130_fd_pr__nfet_01v8_NDE37H
+Xsky130_fd_pr__nfet_01v8_NDE37H_1 6 Clkb 5 GND sky130_fd_pr__nfet_01v8_NDE37H
+Xsky130_fd_pr__pfet_01v8_A7DS5R_0 Clkb VDD VDD Clk_In sky130_fd_pr__pfet_01v8_A7DS5R
+Xsky130_fd_pr__pfet_01v8_A7DS5R_1 3 VDD VDD 2 sky130_fd_pr__pfet_01v8_A7DS5R
+Xsky130_fd_pr__pfet_01v8_A7DS5R_2 5 VDD VDD 4 sky130_fd_pr__pfet_01v8_A7DS5R
+Xsky130_fd_pr__pfet_01v8_A7DS5R_3 2 VDD VDD 6 sky130_fd_pr__pfet_01v8_A7DS5R
+Xsky130_fd_pr__pfet_01v8_A7DS5R_5 Clk_Out VDD VDD 7 sky130_fd_pr__pfet_01v8_A7DS5R
+Xsky130_fd_pr__pfet_01v8_A7DS5R_4 VDD 7 VDD 6 sky130_fd_pr__pfet_01v8_A7DS5R
+Xsky130_fd_pr__nfet_01v8_PW5BNL_1 3 2 GND GND sky130_fd_pr__nfet_01v8_PW5BNL
+Xsky130_fd_pr__nfet_01v8_PW5BNL_0 Clkb Clk_In GND GND sky130_fd_pr__nfet_01v8_PW5BNL
+Xsky130_fd_pr__nfet_01v8_PW5BNL_2 5 4 GND GND sky130_fd_pr__nfet_01v8_PW5BNL
+Xsky130_fd_pr__nfet_01v8_PW5BNL_3 2 6 GND GND sky130_fd_pr__nfet_01v8_PW5BNL
+Xsky130_fd_pr__nfet_01v8_PW5BNL_4 GND 6 7 GND sky130_fd_pr__nfet_01v8_PW5BNL
+Xsky130_fd_pr__pfet_01v8_ACPHKB_1 Clk_In 6 5 VDD sky130_fd_pr__pfet_01v8_ACPHKB
+Xsky130_fd_pr__pfet_01v8_ACPHKB_0 Clkb 4 3 VDD sky130_fd_pr__pfet_01v8_ACPHKB
+Xsky130_fd_pr__nfet_01v8_PW5BNL_5 Clk_Out 7 GND GND sky130_fd_pr__nfet_01v8_PW5BNL
+.ends
+
+.subckt sky130_fd_sc_hd__clkbuf_4 A VGND VPWR X VNB VPB
+X0 VPWR A a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=9.1e+11p pd=7.82e+06u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X1 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 ad=3.801e+11p pd=4.33e+06u as=2.352e+11p ps=2.8e+06u w=420000u l=150000u
+X2 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=5.6e+11p pd=5.12e+06u as=0p ps=0u w=1e+06u l=150000u
+X4 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X5 VGND A a_27_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X6 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X7 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X8 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X9 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hd__clkbuf_2 A VGND VPWR X VNB VPB
+X0 VPWR A a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=5.85e+11p pd=5.17e+06u as=2.65e+11p ps=2.53e+06u w=1e+06u l=150000u
+X1 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X2 VGND A a_27_47# VNB sky130_fd_pr__nfet_01v8 ad=2.457e+11p pd=2.85e+06u as=1.113e+11p ps=1.37e+06u w=420000u l=150000u
+X3 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X4 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=1.134e+11p pd=1.38e+06u as=0p ps=0u w=420000u l=150000u
+X5 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_PW6BNL a_103_n163# a_191_n163# a_n73_n163# a_n73_37#
++ a_15_n163# VSUBS
+X0 a_103_n163# a_n73_37# a_15_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=2.436e+11p pd=2.26e+06u as=2.436e+11p ps=2.26e+06u w=840000u l=150000u
+X1 a_15_n163# a_n73_37# a_n73_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.436e+11p ps=2.26e+06u w=840000u l=150000u
+X2 a_191_n163# a_n73_37# a_103_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=2.436e+11p pd=2.26e+06u as=0p ps=0u w=840000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_A4DS5R a_279_n36# a_15_n36# a_103_n36# a_367_n36#
++ a_455_n36# a_n73_n36# a_543_n36# a_191_n36# w_n109_n86# a_n15_n133#
+X0 a_543_n36# a_n15_n133# a_455_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=4.176e+11p pd=3.46e+06u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+X1 a_279_n36# a_n15_n133# a_191_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=4.176e+11p pd=3.46e+06u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+X2 a_103_n36# a_n15_n133# a_15_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=4.176e+11p pd=3.46e+06u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+X3 a_455_n36# a_n15_n133# a_367_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+X4 a_15_n36# a_n15_n133# a_n73_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+X5 a_191_n36# a_n15_n133# a_103_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.44e+06u l=150000u
+X6 a_367_n36# a_n15_n133# a_279_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.44e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_PW7BNL a_n73_n163# a_n73_37# a_15_n163# VSUBS
+X0 a_15_n163# a_n73_37# a_n73_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=2.436e+11p pd=2.26e+06u as=2.436e+11p ps=2.26e+06u w=840000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_PW8BNL a_103_n163# a_n73_n163# a_n73_37# a_15_n163#
++ VSUBS
+X0 a_103_n163# a_n73_37# a_15_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=2.436e+11p pd=2.26e+06u as=2.436e+11p ps=2.26e+06u w=840000u l=150000u
+X1 a_15_n163# a_n73_37# a_n73_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.436e+11p ps=2.26e+06u w=840000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_A8DS5R a_279_n36# a_15_n36# a_103_n36# a_n73_n36#
++ a_191_n36# w_n109_n86# a_n15_n133#
+X0 a_279_n36# a_n15_n133# a_191_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=4.176e+11p pd=3.46e+06u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+X1 a_103_n36# a_n15_n133# a_15_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=4.176e+11p pd=3.46e+06u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+X2 a_15_n36# a_n15_n133# a_n73_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+X3 a_191_n36# a_n15_n133# a_103_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.44e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_A2DS5R a_279_n36# a_15_n36# a_103_n36# a_367_n36#
++ a_n15_n81# a_n73_n36# a_191_n36# w_n109_n86#
+X0 a_279_n36# a_n15_n81# a_191_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=4.176e+11p pd=3.46e+06u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+X1 a_103_n36# a_n15_n81# a_15_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=4.176e+11p pd=3.46e+06u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+X2 a_15_n36# a_n15_n81# a_n73_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+X3 a_191_n36# a_n15_n81# a_103_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.44e+06u l=150000u
+X4 a_367_n36# a_n15_n81# a_279_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=4.176e+11p pd=3.46e+06u as=0p ps=0u w=1.44e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_A1DS5R a_15_n36# a_103_n36# a_n73_n36# w_n109_n86#
++ a_n15_n133#
+X0 a_103_n36# a_n15_n133# a_15_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=4.176e+11p pd=3.46e+06u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+X1 a_15_n36# a_n15_n133# a_n73_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_PW9BNL a_103_n163# a_279_n163# a_n15_n199# a_543_n163#
++ a_191_n163# a_n73_n163# a_367_n163# a_631_n163# a_15_n163# a_455_n163# VSUBS
+X0 a_543_n163# a_n15_n199# a_455_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=2.436e+11p pd=2.26e+06u as=2.436e+11p ps=2.26e+06u w=840000u l=150000u
+X1 a_103_n163# a_n15_n199# a_15_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=2.436e+11p pd=2.26e+06u as=2.436e+11p ps=2.26e+06u w=840000u l=150000u
+X2 a_279_n163# a_n15_n199# a_191_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=2.436e+11p pd=2.26e+06u as=2.436e+11p ps=2.26e+06u w=840000u l=150000u
+X3 a_455_n163# a_n15_n199# a_367_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.436e+11p ps=2.26e+06u w=840000u l=150000u
+X4 a_631_n163# a_n15_n199# a_543_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=2.436e+11p pd=2.26e+06u as=0p ps=0u w=840000u l=150000u
+X5 a_15_n163# a_n15_n199# a_n73_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.436e+11p ps=2.26e+06u w=840000u l=150000u
+X6 a_367_n163# a_n15_n199# a_279_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X7 a_191_n163# a_n15_n199# a_103_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_A9DS5R a_15_n36# a_n73_n36# w_n109_n86# a_n15_n133#
+X0 a_15_n36# a_n15_n133# a_n73_n36# w_n109_n86# sky130_fd_pr__pfet_01v8 ad=4.176e+11p pd=3.46e+06u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_PW4BNL a_103_n163# a_279_n163# a_191_n163# a_n73_n163#
++ a_n73_37# a_367_n163# a_15_n163# VSUBS
+X0 a_103_n163# a_n73_37# a_15_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=2.436e+11p pd=2.26e+06u as=2.436e+11p ps=2.26e+06u w=840000u l=150000u
+X1 a_279_n163# a_n73_37# a_191_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=2.436e+11p pd=2.26e+06u as=2.436e+11p ps=2.26e+06u w=840000u l=150000u
+X2 a_15_n163# a_n73_37# a_n73_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=2.436e+11p ps=2.26e+06u w=840000u l=150000u
+X3 a_367_n163# a_n73_37# a_279_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=2.436e+11p pd=2.26e+06u as=0p ps=0u w=840000u l=150000u
+X4 a_191_n163# a_n73_37# a_103_n163# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+.ends
+
+.subckt FD_v5 Clk_In VDD GND Clk_Out
+XMNinv2 GND 5 GND 4 5 GND sky130_fd_pr__nfet_01v8_PW6BNL
+XMNinv1 GND 3 GND 2 3 GND sky130_fd_pr__nfet_01v8_PW6BNL
+XMNClkin GND Clk_In_buf GND Clkb_buf Clk_In_buf GND sky130_fd_pr__nfet_01v8_PW6BNL
+Xsky130_fd_pr__nfet_01v8_PW6BNL_0 GND dus GND Clkb_int dus GND sky130_fd_pr__nfet_01v8_PW6BNL
+Xsky130_fd_pr__pfet_01v8_A4DS5R_0 VDD Clkb_buf VDD Clkb_buf VDD VDD Clkb_buf Clkb_buf
++ VDD dus sky130_fd_pr__pfet_01v8_A4DS5R
+XMNbuf1 7 6 GND GND sky130_fd_pr__nfet_01v8_PW7BNL
+XMNbuf2 GND GND 7 Clk_Out GND sky130_fd_pr__nfet_01v8_PW8BNL
+XMPfb VDD 2 VDD VDD 2 VDD 6 sky130_fd_pr__pfet_01v8_A8DS5R
+Xsky130_fd_pr__pfet_01v8_A2DS5R_0 VDD dus VDD dus Clkb_int VDD dus VDD sky130_fd_pr__pfet_01v8_A2DS5R
+Xsky130_fd_pr__pfet_01v8_A1DS5R_0 Clkb_int VDD VDD VDD Clk_In sky130_fd_pr__pfet_01v8_A1DS5R
+XMNfb GND 2 GND 6 2 GND sky130_fd_pr__nfet_01v8_PW6BNL
+XMPinv1 VDD 3 VDD VDD 3 VDD 2 sky130_fd_pr__pfet_01v8_A8DS5R
+XMPinv2 VDD 5 VDD VDD 5 VDD 4 sky130_fd_pr__pfet_01v8_A8DS5R
+XMPClkin VDD Clk_In_buf VDD VDD Clk_In_buf VDD Clkb_buf sky130_fd_pr__pfet_01v8_A8DS5R
+XMPTgate1 3 4 3 4 Clkb_buf 3 4 VDD sky130_fd_pr__pfet_01v8_A2DS5R
+Xsky130_fd_pr__nfet_01v8_PW8BNL_0 GND GND Clk_In Clkb_int GND sky130_fd_pr__nfet_01v8_PW8BNL
+XMPTgate2 5 6 5 6 Clk_In_buf 5 6 VDD sky130_fd_pr__pfet_01v8_A2DS5R
+XMNTgate1 3 3 Clk_In_buf 4 4 3 4 3 4 3 GND sky130_fd_pr__nfet_01v8_PW9BNL
+XMPbuf1 VDD 7 VDD 6 sky130_fd_pr__pfet_01v8_A9DS5R
+XMNTgate2 5 5 Clkb_buf 6 6 5 6 5 6 5 GND sky130_fd_pr__nfet_01v8_PW9BNL
+XMPbuf2 Clk_Out VDD VDD VDD 7 sky130_fd_pr__pfet_01v8_A1DS5R
+Xsky130_fd_pr__nfet_01v8_PW4BNL_0 GND GND Clkb_buf GND dus Clkb_buf Clkb_buf GND sky130_fd_pr__nfet_01v8_PW4BNL
+.ends
+
+.subckt sky130_fd_sc_hd__clkbuf_16 A VGND VPWR X VNB VPB
+X0 VPWR A a_110_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=3.045e+12p pd=2.809e+07u as=5.6e+11p ps=5.12e+06u w=1e+06u l=150000u
+X1 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=2.24e+12p ps=2.048e+07u w=1e+06u l=150000u
+X2 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X4 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X5 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X6 a_110_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X7 a_110_47# A VGND VNB sky130_fd_pr__nfet_01v8 ad=2.352e+11p pd=2.8e+06u as=1.2789e+12p ps=1.533e+07u w=420000u l=150000u
+X8 VGND a_110_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=9.408e+11p ps=1.12e+07u w=420000u l=150000u
+X9 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X10 VGND a_110_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X11 a_110_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X12 VGND A a_110_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X13 VGND a_110_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X14 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X15 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X16 VGND A a_110_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X17 VGND a_110_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X18 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X19 VGND a_110_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X20 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X21 a_110_47# A VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X22 VPWR A a_110_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X23 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X24 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X25 VGND a_110_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X26 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X27 VGND a_110_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X28 VGND a_110_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X29 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X30 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X31 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X32 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X33 VPWR a_110_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X34 X a_110_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X35 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X36 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X37 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X38 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X39 X a_110_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_UUCHZP a_n173_n220# a_n129_n366# a_n33_310# a_63_n366#
++ a_18_n220# a_114_n220# w_n209_n320# a_n78_n220#
+X0 a_114_n220# a_63_n366# a_18_n220# w_n209_n320# sky130_fd_pr__pfet_01v8 ad=6.49e+11p pd=4.99e+06u as=6.6e+11p ps=5e+06u w=2.2e+06u l=180000u
+X1 a_n78_n220# a_n129_n366# a_n173_n220# w_n209_n320# sky130_fd_pr__pfet_01v8 ad=6.6e+11p pd=5e+06u as=6.49e+11p ps=4.99e+06u w=2.2e+06u l=180000u
+X2 a_18_n220# a_n33_310# a_n78_n220# w_n209_n320# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=2.2e+06u l=180000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_NC2CGG a_15_n240# w_n109_n340# a_n73_n240# a_n33_n337#
+X0 a_15_n240# a_n33_n337# a_n73_n240# w_n109_n340# sky130_fd_pr__pfet_01v8 ad=6.96e+11p pd=5.38e+06u as=6.96e+11p ps=5.38e+06u w=2.4e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_XZZ25Z a_18_n136# a_n33_95# w_n112_n198# a_n76_n136#
+X0 a_18_n136# a_n33_95# a_n76_n136# w_n112_n198# sky130_fd_pr__pfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=180000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_TUVSF7 a_n33_n217# a_n76_n129# a_18_n129# VSUBS
+X0 a_18_n129# a_n33_n217# a_n76_n129# VSUBS sky130_fd_pr__nfet_01v8 ad=3.741e+11p pd=3.16e+06u as=3.741e+11p ps=3.16e+06u w=1.29e+06u l=180000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_44BYND a_n73_n120# a_15_n120# a_n33_142# VSUBS
+X0 a_15_n120# a_n33_142# a_n73_n120# VSUBS sky130_fd_pr__nfet_01v8 ad=3.48e+11p pd=2.98e+06u as=3.48e+11p ps=2.98e+06u w=1.2e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_B87NCT a_n76_n69# a_18_n69# a_n33_n157# VSUBS
+X0 a_18_n69# a_n33_n157# a_n76_n69# VSUBS sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=180000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_NNRSEG a_18_n29# a_n33_n117# a_n76_n29# VSUBS
+X0 a_18_n29# a_n33_n117# a_n76_n29# VSUBS sky130_fd_pr__nfet_01v8 ad=1.74e+11p pd=1.78e+06u as=1.74e+11p ps=1.78e+06u w=600000u l=180000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_26QSQN a_n76_n209# a_18_n209# a_n33_n297# VSUBS
+X0 a_18_n209# a_n33_n297# a_n76_n209# VSUBS sky130_fd_pr__nfet_01v8 ad=6.96e+11p pd=5.38e+06u as=6.96e+11p ps=5.38e+06u w=2.4e+06u l=180000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_LS30AB a_n73_n80# a_n33_33# a_15_n80# VSUBS
+X0 a_15_n80# a_n33_33# a_n73_n80# VSUBS sky130_fd_pr__nfet_01v8 ad=1.218e+11p pd=1.42e+06u as=1.218e+11p ps=1.42e+06u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ACAZ2B w_n112_n170# a_n76_n108# a_18_n108# a_n33_67#
+X0 a_18_n108# a_n33_67# a_n76_n108# w_n112_n170# sky130_fd_pr__pfet_01v8 ad=2.088e+11p pd=2.02e+06u as=2.088e+11p ps=2.02e+06u w=720000u l=180000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_hvt_N83GLL a_n73_n100# a_15_n100# w_n109_n136# a_n15_n132#
+X0 a_15_n100# a_n15_n132# a_n73_n100# w_n109_n136# sky130_fd_pr__pfet_01v8_hvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_M34CP3 a_15_n96# a_n73_56# a_n73_n96# VSUBS
+X0 a_15_n96# a_n73_56# a_n73_n96# VSUBS sky130_fd_pr__nfet_01v8 ad=1.885e+11p pd=1.88e+06u as=1.885e+11p ps=1.88e+06u w=650000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_HGTGXE_v2 a_18_n73# a_n18_n99# a_n76_n73# VSUBS
+X0 a_18_n73# a_n18_n99# a_n76_n73# VSUBS sky130_fd_pr__nfet_01v8 ad=1.218e+11p pd=1.42e+06u as=1.218e+11p ps=1.42e+06u w=420000u l=180000u
+.ends
+
+.subckt vco_switch_n_v2 in sel out vss vdd
+XXM25 vdd in out selb sky130_fd_pr__pfet_01v8_ACAZ2B
+Xsky130_fd_pr__pfet_01v8_hvt_N83GLL_0 vdd selb vdd sel sky130_fd_pr__pfet_01v8_hvt_N83GLL
+Xsky130_fd_pr__nfet_01v8_M34CP3_0 selb sel vss vss sky130_fd_pr__nfet_01v8_M34CP3
+Xsky130_fd_pr__nfet_01v8_HGTGXE_v2_0 in sel out vss sky130_fd_pr__nfet_01v8_HGTGXE_v2
+Xsky130_fd_pr__nfet_01v8_HGTGXE_v2_1 vss selb out vss sky130_fd_pr__nfet_01v8_HGTGXE_v2
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_TPJM7Z a_18_n276# w_n112_n338# a_n33_235# a_n76_n276#
+X0 a_18_n276# a_n33_235# a_n76_n276# w_n112_n338# sky130_fd_pr__pfet_01v8 ad=6.96e+11p pd=5.38e+06u as=6.96e+11p ps=5.38e+06u w=2.4e+06u l=180000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_MP1P4U a_n73_n144# a_n33_n241# a_15_n144# w_n109_n244#
+X0 a_15_n144# a_n33_n241# a_n73_n144# w_n109_n244# sky130_fd_pr__pfet_01v8 ad=4.06e+11p pd=3.38e+06u as=4.06e+11p ps=3.38e+06u w=1.4e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_TWMWTA a_n76_n209# a_18_n209# a_n33_n297# VSUBS
+X0 a_18_n209# a_n33_n297# a_n76_n209# VSUBS sky130_fd_pr__nfet_01v8 ad=6.96e+11p pd=5.38e+06u as=6.96e+11p ps=5.38e+06u w=2.4e+06u l=180000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_EMZ8SC a_n73_n103# a_15_n103# a_n33_63# VSUBS
+X0 a_15_n103# a_n33_63# a_n73_n103# VSUBS sky130_fd_pr__nfet_01v8 ad=2.088e+11p pd=2.02e+06u as=2.088e+11p ps=2.02e+06u w=720000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_MP0P75 a_n73_n64# a_n33_n161# w_n109_n164# a_15_n64#
+X0 a_15_n64# a_n33_n161# a_n73_n64# w_n109_n164# sky130_fd_pr__pfet_01v8 ad=2.175e+11p pd=2.08e+06u as=2.175e+11p ps=2.08e+06u w=750000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_MP0P50 a_n33_33# a_15_n96# a_n73_n96# VSUBS
+X0 a_15_n96# a_n33_33# a_n73_n96# VSUBS sky130_fd_pr__nfet_01v8 ad=1.45e+11p pd=1.58e+06u as=1.45e+11p ps=1.58e+06u w=500000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_MP3P0U a_n73_n236# w_n109_n298# a_n33_395# a_15_n236#
+X0 a_15_n236# a_n33_395# a_n73_n236# w_n109_n298# sky130_fd_pr__pfet_01v8 ad=8.7e+11p pd=6.58e+06u as=8.7e+11p ps=6.58e+06u w=3e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_8T82FM a_n33_135# a_15_n175# a_n73_n175# VSUBS
+X0 a_15_n175# a_n33_135# a_n73_n175# VSUBS sky130_fd_pr__nfet_01v8 ad=4.176e+11p pd=3.46e+06u as=4.176e+11p ps=3.46e+06u w=1.44e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_MV8TJR a_n76_n89# a_18_n89# a_n33_n177# VSUBS
+X0 a_18_n89# a_n33_n177# a_n76_n89# VSUBS sky130_fd_pr__nfet_01v8 ad=3.48e+11p pd=2.98e+06u as=3.48e+11p ps=2.98e+06u w=1.2e+06u l=180000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_5YXW2B a_18_n72# w_n112_n134# a_n18_n98# a_n76_n72#
+X0 a_18_n72# a_n18_n98# a_n76_n72# w_n112_n134# sky130_fd_pr__pfet_01v8 ad=2.088e+11p pd=2.02e+06u as=2.088e+11p ps=2.02e+06u w=720000u l=180000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ACAZ2B_v2 w_n112_n170# a_n68_67# a_n76_n108# a_18_n108#
+X0 a_18_n108# a_n68_67# a_n76_n108# w_n112_n170# sky130_fd_pr__pfet_01v8 ad=2.088e+11p pd=2.02e+06u as=2.088e+11p ps=2.02e+06u w=720000u l=180000u
+.ends
+
+.subckt vco_switch_p in sel out vss vdd
+Xsky130_fd_pr__pfet_01v8_5YXW2B_0 vdd vdd sel out sky130_fd_pr__pfet_01v8_5YXW2B
+Xsky130_fd_pr__pfet_01v8_hvt_N83GLL_0 vdd selb vdd sel sky130_fd_pr__pfet_01v8_hvt_N83GLL
+Xsky130_fd_pr__nfet_01v8_M34CP3_0 selb sel vss vss sky130_fd_pr__nfet_01v8_M34CP3
+Xsky130_fd_pr__pfet_01v8_ACAZ2B_v2_0 vdd selb in out sky130_fd_pr__pfet_01v8_ACAZ2B_v2
+Xsky130_fd_pr__nfet_01v8_HGTGXE_v2_0 in sel out vss sky130_fd_pr__nfet_01v8_HGTGXE_v2
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4XEGTB a_18_n96# w_n112_n158# a_n33_55# a_n76_n96#
+X0 a_18_n96# a_n33_55# a_n76_n96# w_n112_n158# sky130_fd_pr__pfet_01v8 ad=1.74e+11p pd=1.78e+06u as=1.74e+11p ps=1.78e+06u w=600000u l=180000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_KQRM7Z a_n76_n156# a_18_n156# w_n112_n218# a_n33_115#
+X0 a_18_n156# a_n33_115# a_n76_n156# w_n112_n218# sky130_fd_pr__pfet_01v8 ad=3.48e+11p pd=2.98e+06u as=3.48e+11p ps=2.98e+06u w=1.2e+06u l=180000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_AZHELG w_n109_n58# a_15_n22# a_n72_n22# a_n15_n53#
+X0 a_15_n22# a_n15_n53# a_n72_n22# w_n109_n58# sky130_fd_pr__pfet_01v8 ad=2.32e+11p pd=2.18e+06u as=2.28e+11p ps=2.17e+06u w=800000u l=150000u
+.ends
+
+.subckt x3-stage_cs-vco_dp9 out vctrl sel0 sel1 sel3 sel2 vdd vss
+XXM23 vdd net7 net7 net7 vdd out vdd out sky130_fd_pr__pfet_01v8_UUCHZP
+XXM12 net7 vdd vdd net6 sky130_fd_pr__pfet_01v8_NC2CGG
+XXM25 vdd vgp vdd vgp sky130_fd_pr__pfet_01v8_XZZ25Z
+XXM24 net7 vss out vss sky130_fd_pr__nfet_01v8_TUVSF7
+XXM13 vss net7 net6 vss sky130_fd_pr__nfet_01v8_44BYND
+XXM26 vgp vss vctrl vss sky130_fd_pr__nfet_01v8_B87NCT
+XXM16 net8 vctrl vss vss sky130_fd_pr__nfet_01v8_NNRSEG
+XXM16D_1 net8 vss ng3 vss sky130_fd_pr__nfet_01v8_26QSQN
+XXM22_0p42 vss net5 net6 vss sky130_fd_pr__nfet_01v8_LS30AB
+XXM16D_2 net8 vss ng3 vss sky130_fd_pr__nfet_01v8_26QSQN
+XXMDUM26B vss vss vss vss sky130_fd_pr__nfet_01v8_B87NCT
+Xvco_switch_n_v2_0 vctrl sel0 ng0 vss vdd vco_switch_n_v2
+XXMDUM25B vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_XZZ25Z
+XXMDUM11 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_TPJM7Z
+Xvco_switch_n_v2_1 vctrl sel1 ng1 vss vdd vco_switch_n_v2
+Xvco_switch_n_v2_2 vctrl sel2 ng2 vss vdd vco_switch_n_v2
+Xvco_switch_n_v2_3 vctrl sel3 ng3 vss vdd vco_switch_n_v2
+XXMDUM25 vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_XZZ25Z
+XXM1 net2 net5 net3 vdd sky130_fd_pr__pfet_01v8_MP1P4U
+XXMDUM26 vss vss vss vss sky130_fd_pr__nfet_01v8_B87NCT
+XXMDUM16 vss vss vss vss sky130_fd_pr__nfet_01v8_TWMWTA
+XXM2 net8 net3 net5 vss sky130_fd_pr__nfet_01v8_EMZ8SC
+XXM3 vdd net3 vdd net4 sky130_fd_pr__pfet_01v8_MP0P75
+XXM11D_1 net2 vdd pg3 vdd sky130_fd_pr__pfet_01v8_TPJM7Z
+XXM4 net3 net4 vss vss sky130_fd_pr__nfet_01v8_MP0P50
+XXM11D_2 vdd vdd pg3 net2 sky130_fd_pr__pfet_01v8_TPJM7Z
+XXM5 net5 vdd net4 vdd sky130_fd_pr__pfet_01v8_MP3P0U
+XXM6 net4 net5 vss vss sky130_fd_pr__nfet_01v8_8T82FM
+XXMDUM16B vss vss vss vss sky130_fd_pr__nfet_01v8_26QSQN
+XXM16B net8 vss ng1 vss sky130_fd_pr__nfet_01v8_MV8TJR
+XXM16A net8 ng0 vss vss sky130_fd_pr__nfet_01v8_NNRSEG
+XXM16C net8 vss ng2 vss sky130_fd_pr__nfet_01v8_26QSQN
+XXMDUM11B vdd vdd vdd vdd sky130_fd_pr__pfet_01v8_TPJM7Z
+Xvco_switch_p_0 vgp sel0 pg0 vss vdd vco_switch_p
+XXM11A vdd vdd pg0 net2 sky130_fd_pr__pfet_01v8_4XEGTB
+Xvco_switch_p_2 vgp sel2 pg2 vss vdd vco_switch_p
+XXM11B vdd net2 vdd pg1 sky130_fd_pr__pfet_01v8_KQRM7Z
+Xvco_switch_p_1 vgp sel1 pg1 vss vdd vco_switch_p
+XXM21 vdd net6 vdd net5 sky130_fd_pr__pfet_01v8_AZHELG
+Xvco_switch_p_3 vgp sel3 pg3 vss vdd vco_switch_p
+XXM11 vdd vdd vgp net2 sky130_fd_pr__pfet_01v8_4XEGTB
+XXM11C vdd vdd pg2 net2 sky130_fd_pr__pfet_01v8_TPJM7Z
+.ends
+
+.subckt vco_with_fdivs_split_supplies vctrl out_div128_buf vdd vsel0 vsel1 vsel2 vsel3
++ out_div256_buf vdd_2 vss_2
+Xsky130_fd_sc_hd__clkbuf_8_1 sky130_fd_sc_hd__clkbuf_8_1/A vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_8_1/X
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_8
+XFD_v2_3 FD_v2_3/Clk_In vdd_2 vss_2 FD_v2_4/Clk_In FD_v2
+XFD_v2_4 FD_v2_4/Clk_In vdd_2 vss_2 FD_v2_5/Clk_In FD_v2
+XFD_v2_5 FD_v2_5/Clk_In vdd_2 vss_2 FD_v2_6/Clk_In FD_v2
+XFD_v2_6 FD_v2_6/Clk_In vdd_2 vss_2 FD_v2_7/Clk_In FD_v2
+XFD_v2_7 FD_v2_7/Clk_In vdd_2 vss_2 FD_v2_8/Clk_In FD_v2
+XFD_v2_8 FD_v2_8/Clk_In vdd_2 vss_2 FD_v2_9/Clk_In FD_v2
+Xsky130_fd_sc_hd__clkbuf_4_0 sky130_fd_sc_hd__clkbuf_4_0/A vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_8_0/A
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_4
+XFD_v2_9 FD_v2_9/Clk_In vdd_2 vss_2 FD_v2_9/Clk_Out FD_v2
+Xsky130_fd_sc_hd__clkbuf_4_1 sky130_fd_sc_hd__clkbuf_4_1/A vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_8_1/A
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_4
+Xsky130_fd_sc_hd__clkbuf_2_0 FD_v2_8/Clk_In vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_4_0/A
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_2
+Xsky130_fd_sc_hd__clkbuf_2_1 FD_v2_7/Clk_In vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_4_1/A
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_2
+XFD_v5_0 out vdd_2 vss_2 FD_v2_1/Clk_In FD_v5
+Xsky130_fd_sc_hd__clkbuf_16_0 sky130_fd_sc_hd__clkbuf_8_1/X vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_16_3/A
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_16
+Xsky130_fd_sc_hd__clkbuf_16_1 sky130_fd_sc_hd__clkbuf_8_0/X vss_2 vdd_2 out_div256_buf
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_16
+Xsky130_fd_sc_hd__clkbuf_16_2 sky130_fd_sc_hd__clkbuf_16_3/A vss_2 vdd_2 out_div128_buf
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_16
+Xsky130_fd_sc_hd__clkbuf_16_3 sky130_fd_sc_hd__clkbuf_16_3/A vss_2 vdd_2 out_div128_buf
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_16
+X3-stage_cs-vco_dp9_0 out vctrl vsel0 vsel1 vsel3 vsel2 vdd vss_2 x3-stage_cs-vco_dp9
+XFD_v2_1 FD_v2_1/Clk_In vdd_2 vss_2 FD_v2_2/Clk_In FD_v2
+Xsky130_fd_sc_hd__clkbuf_8_0 sky130_fd_sc_hd__clkbuf_8_0/A vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_8_0/X
++ vss_2 vdd_2 sky130_fd_sc_hd__clkbuf_8
+XFD_v2_2 FD_v2_2/Clk_In vdd_2 vss_2 FD_v2_3/Clk_In FD_v2
+.ends
+
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index 2370e4c..21fcf63 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1647618066
+timestamp 1647637489
 << metal1 >>
 rect 34624 510217 34765 510287
 rect 34835 510217 34841 510287
@@ -1111,7 +1111,7 @@
 rect 584000 0 584100 704000
 rect -100 -100 584100 0
 use vco_with_fdivs  vco_with_fdivs_0 ~/Desktop/GitSandboxes/caravel_user_project_analog_vco/mag/3-stage_cs-vco_dp9
-timestamp 1647616692
+timestamp 1647637419
 transform -1 0 32535 0 1 510678
 box -2159 -1770 12877 2867
 << labels >>
diff --git a/mag/user_analog_project_wrapper_-_1st_tapeout_job_succeeded.mag b/mag/user_analog_project_wrapper_-_1st_tapeout_job_succeeded.mag
new file mode 100644
index 0000000..21fcf63
--- /dev/null
+++ b/mag/user_analog_project_wrapper_-_1st_tapeout_job_succeeded.mag
@@ -0,0 +1,2500 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1647637489
+<< metal1 >>
+rect 34624 510217 34765 510287
+rect 34835 510217 34841 510287
+<< via1 >>
+rect 34765 510217 34835 510287
+<< metal2 >>
+rect 36395 512676 36404 512686
+rect 34654 512636 36404 512676
+rect 36395 512626 36404 512636
+rect 36464 512626 36473 512686
+rect 36169 512516 36178 512526
+rect 34650 512476 36178 512516
+rect 36169 512466 36178 512476
+rect 36238 512466 36247 512526
+rect 34650 512396 35978 512436
+rect 34654 512316 35744 512356
+rect 35938 512349 35978 512396
+rect 35704 512207 35744 512316
+rect 35928 512340 35988 512349
+rect 35928 512271 35988 512280
+rect 35694 512198 35754 512207
+rect 35694 512129 35754 512138
+rect 2486 511530 19754 511642
+rect 1685 506914 1787 506918
+rect 2486 506914 2598 511530
+rect 34765 510287 34835 510293
+rect 34835 510217 35039 510287
+rect 35109 510217 35118 510287
+rect 34765 510211 34835 510217
+rect 18912 509517 19760 509522
+rect 18908 509427 18917 509517
+rect 19007 509427 19760 509517
+rect 18912 509422 19760 509427
+rect 1680 506909 2598 506914
+rect 1680 506807 1685 506909
+rect 1787 506807 2598 506909
+rect 1680 506802 2598 506807
+rect 1685 506798 1787 506802
+rect 1705 505732 1807 505736
+rect 1700 505727 43605 505732
+rect 1700 505625 1705 505727
+rect 1807 505625 43605 505727
+rect 1700 505620 43605 505625
+rect 43717 505620 43726 505732
+rect 1705 505616 1807 505620
+rect 18917 468412 19007 468416
+rect 3243 468407 19012 468412
+rect 3243 468317 18917 468407
+rect 19007 468317 19012 468407
+rect 3243 468312 19012 468317
+rect 1781 463692 1883 463696
+rect 3243 463692 3355 468312
+rect 18917 468308 19007 468312
+rect 1776 463687 3355 463692
+rect 1776 463585 1781 463687
+rect 1883 463585 3355 463687
+rect 1776 463580 3355 463585
+rect 1781 463576 1883 463580
+rect 1815 462510 1917 462514
+rect 1810 462505 43598 462510
+rect 1810 462403 1815 462505
+rect 1917 462403 43598 462505
+rect 1810 462398 43598 462403
+rect 43710 462398 43719 462510
+rect 1815 462394 1917 462398
+rect 3066 425115 3075 425185
+rect 3145 425180 35109 425185
+rect 3145 425120 35044 425180
+rect 35104 425120 35113 425180
+rect 3145 425115 35109 425120
+rect 36406 378398 36462 378405
+rect 2961 378338 2970 378398
+rect 3030 378396 36464 378398
+rect 3030 378340 36406 378396
+rect 36462 378340 36464 378396
+rect 3030 378338 36464 378340
+rect 36406 378331 36462 378338
+rect 2891 376066 2993 376070
+rect 2886 376061 44616 376066
+rect 2886 375959 2891 376061
+rect 2993 375959 44616 376061
+rect 2886 375954 44616 375959
+rect 44728 375954 44737 376066
+rect 2891 375950 2993 375954
+rect 36180 335178 36236 335185
+rect 2901 335118 2910 335178
+rect 2970 335176 36238 335178
+rect 2970 335120 36180 335176
+rect 36236 335120 36238 335176
+rect 2970 335118 36238 335120
+rect 36180 335111 36236 335118
+rect 2769 332844 2871 332848
+rect 2764 332839 44594 332844
+rect 2764 332737 2769 332839
+rect 2871 332737 44594 332839
+rect 2764 332732 44594 332737
+rect 44706 332732 44715 332844
+rect 2769 332728 2871 332732
+rect 3093 291894 3102 291954
+rect 3162 291952 35988 291954
+rect 3162 291896 35930 291952
+rect 35986 291896 35995 291952
+rect 3162 291894 35988 291896
+rect 3141 289622 3243 289626
+rect 3136 289617 44584 289622
+rect 3136 289515 3141 289617
+rect 3243 289515 44584 289617
+rect 3136 289510 44584 289515
+rect 44696 289510 44705 289622
+rect 3141 289506 3243 289510
+rect 35696 248930 35752 248937
+rect 3119 248870 3128 248930
+rect 3188 248928 35754 248930
+rect 3188 248872 35696 248928
+rect 35752 248872 35754 248928
+rect 3188 248870 35754 248872
+rect 35696 248863 35752 248870
+rect 3103 246600 3205 246604
+rect 3098 246595 44578 246600
+rect 3098 246493 3103 246595
+rect 3205 246493 44578 246595
+rect 3098 246488 44578 246493
+rect 44690 246488 44699 246600
+rect 3103 246484 3205 246488
+rect 524 -800 636 480
+rect 1706 -800 1818 480
+rect 2888 -800 3000 480
+rect 4070 -800 4182 480
+rect 5252 -800 5364 480
+rect 6434 -800 6546 480
+rect 7616 -800 7728 480
+rect 8798 -800 8910 480
+rect 9980 -800 10092 480
+rect 11162 -800 11274 480
+rect 12344 -800 12456 480
+rect 13526 -800 13638 480
+rect 14708 -800 14820 480
+rect 15890 -800 16002 480
+rect 17072 -800 17184 480
+rect 18254 -800 18366 480
+rect 19436 -800 19548 480
+rect 20618 -800 20730 480
+rect 21800 -800 21912 480
+rect 22982 -800 23094 480
+rect 24164 -800 24276 480
+rect 25346 -800 25458 480
+rect 26528 -800 26640 480
+rect 27710 -800 27822 480
+rect 28892 -800 29004 480
+rect 30074 -800 30186 480
+rect 31256 -800 31368 480
+rect 32438 -800 32550 480
+rect 33620 -800 33732 480
+rect 34802 -800 34914 480
+rect 35984 -800 36096 480
+rect 37166 -800 37278 480
+rect 38348 -800 38460 480
+rect 39530 -800 39642 480
+rect 40712 -800 40824 480
+rect 41894 -800 42006 480
+rect 43076 -800 43188 480
+rect 44258 -800 44370 480
+rect 45440 -800 45552 480
+rect 46622 -800 46734 480
+rect 47804 -800 47916 480
+rect 48986 -800 49098 480
+rect 50168 -800 50280 480
+rect 51350 -800 51462 480
+rect 52532 -800 52644 480
+rect 53714 -800 53826 480
+rect 54896 -800 55008 480
+rect 56078 -800 56190 480
+rect 57260 -800 57372 480
+rect 58442 -800 58554 480
+rect 59624 -800 59736 480
+rect 60806 -800 60918 480
+rect 61988 -800 62100 480
+rect 63170 -800 63282 480
+rect 64352 -800 64464 480
+rect 65534 -800 65646 480
+rect 66716 -800 66828 480
+rect 67898 -800 68010 480
+rect 69080 -800 69192 480
+rect 70262 -800 70374 480
+rect 71444 -800 71556 480
+rect 72626 -800 72738 480
+rect 73808 -800 73920 480
+rect 74990 -800 75102 480
+rect 76172 -800 76284 480
+rect 77354 -800 77466 480
+rect 78536 -800 78648 480
+rect 79718 -800 79830 480
+rect 80900 -800 81012 480
+rect 82082 -800 82194 480
+rect 83264 -800 83376 480
+rect 84446 -800 84558 480
+rect 85628 -800 85740 480
+rect 86810 -800 86922 480
+rect 87992 -800 88104 480
+rect 89174 -800 89286 480
+rect 90356 -800 90468 480
+rect 91538 -800 91650 480
+rect 92720 -800 92832 480
+rect 93902 -800 94014 480
+rect 95084 -800 95196 480
+rect 96266 -800 96378 480
+rect 97448 -800 97560 480
+rect 98630 -800 98742 480
+rect 99812 -800 99924 480
+rect 100994 -800 101106 480
+rect 102176 -800 102288 480
+rect 103358 -800 103470 480
+rect 104540 -800 104652 480
+rect 105722 -800 105834 480
+rect 106904 -800 107016 480
+rect 108086 -800 108198 480
+rect 109268 -800 109380 480
+rect 110450 -800 110562 480
+rect 111632 -800 111744 480
+rect 112814 -800 112926 480
+rect 113996 -800 114108 480
+rect 115178 -800 115290 480
+rect 116360 -800 116472 480
+rect 117542 -800 117654 480
+rect 118724 -800 118836 480
+rect 119906 -800 120018 480
+rect 121088 -800 121200 480
+rect 122270 -800 122382 480
+rect 123452 -800 123564 480
+rect 124634 -800 124746 480
+rect 125816 -800 125928 480
+rect 126998 -800 127110 480
+rect 128180 -800 128292 480
+rect 129362 -800 129474 480
+rect 130544 -800 130656 480
+rect 131726 -800 131838 480
+rect 132908 -800 133020 480
+rect 134090 -800 134202 480
+rect 135272 -800 135384 480
+rect 136454 -800 136566 480
+rect 137636 -800 137748 480
+rect 138818 -800 138930 480
+rect 140000 -800 140112 480
+rect 141182 -800 141294 480
+rect 142364 -800 142476 480
+rect 143546 -800 143658 480
+rect 144728 -800 144840 480
+rect 145910 -800 146022 480
+rect 147092 -800 147204 480
+rect 148274 -800 148386 480
+rect 149456 -800 149568 480
+rect 150638 -800 150750 480
+rect 151820 -800 151932 480
+rect 153002 -800 153114 480
+rect 154184 -800 154296 480
+rect 155366 -800 155478 480
+rect 156548 -800 156660 480
+rect 157730 -800 157842 480
+rect 158912 -800 159024 480
+rect 160094 -800 160206 480
+rect 161276 -800 161388 480
+rect 162458 -800 162570 480
+rect 163640 -800 163752 480
+rect 164822 -800 164934 480
+rect 166004 -800 166116 480
+rect 167186 -800 167298 480
+rect 168368 -800 168480 480
+rect 169550 -800 169662 480
+rect 170732 -800 170844 480
+rect 171914 -800 172026 480
+rect 173096 -800 173208 480
+rect 174278 -800 174390 480
+rect 175460 -800 175572 480
+rect 176642 -800 176754 480
+rect 177824 -800 177936 480
+rect 179006 -800 179118 480
+rect 180188 -800 180300 480
+rect 181370 -800 181482 480
+rect 182552 -800 182664 480
+rect 183734 -800 183846 480
+rect 184916 -800 185028 480
+rect 186098 -800 186210 480
+rect 187280 -800 187392 480
+rect 188462 -800 188574 480
+rect 189644 -800 189756 480
+rect 190826 -800 190938 480
+rect 192008 -800 192120 480
+rect 193190 -800 193302 480
+rect 194372 -800 194484 480
+rect 195554 -800 195666 480
+rect 196736 -800 196848 480
+rect 197918 -800 198030 480
+rect 199100 -800 199212 480
+rect 200282 -800 200394 480
+rect 201464 -800 201576 480
+rect 202646 -800 202758 480
+rect 203828 -800 203940 480
+rect 205010 -800 205122 480
+rect 206192 -800 206304 480
+rect 207374 -800 207486 480
+rect 208556 -800 208668 480
+rect 209738 -800 209850 480
+rect 210920 -800 211032 480
+rect 212102 -800 212214 480
+rect 213284 -800 213396 480
+rect 214466 -800 214578 480
+rect 215648 -800 215760 480
+rect 216830 -800 216942 480
+rect 218012 -800 218124 480
+rect 219194 -800 219306 480
+rect 220376 -800 220488 480
+rect 221558 -800 221670 480
+rect 222740 -800 222852 480
+rect 223922 -800 224034 480
+rect 225104 -800 225216 480
+rect 226286 -800 226398 480
+rect 227468 -800 227580 480
+rect 228650 -800 228762 480
+rect 229832 -800 229944 480
+rect 231014 -800 231126 480
+rect 232196 -800 232308 480
+rect 233378 -800 233490 480
+rect 234560 -800 234672 480
+rect 235742 -800 235854 480
+rect 236924 -800 237036 480
+rect 238106 -800 238218 480
+rect 239288 -800 239400 480
+rect 240470 -800 240582 480
+rect 241652 -800 241764 480
+rect 242834 -800 242946 480
+rect 244016 -800 244128 480
+rect 245198 -800 245310 480
+rect 246380 -800 246492 480
+rect 247562 -800 247674 480
+rect 248744 -800 248856 480
+rect 249926 -800 250038 480
+rect 251108 -800 251220 480
+rect 252290 -800 252402 480
+rect 253472 -800 253584 480
+rect 254654 -800 254766 480
+rect 255836 -800 255948 480
+rect 257018 -800 257130 480
+rect 258200 -800 258312 480
+rect 259382 -800 259494 480
+rect 260564 -800 260676 480
+rect 261746 -800 261858 480
+rect 262928 -800 263040 480
+rect 264110 -800 264222 480
+rect 265292 -800 265404 480
+rect 266474 -800 266586 480
+rect 267656 -800 267768 480
+rect 268838 -800 268950 480
+rect 270020 -800 270132 480
+rect 271202 -800 271314 480
+rect 272384 -800 272496 480
+rect 273566 -800 273678 480
+rect 274748 -800 274860 480
+rect 275930 -800 276042 480
+rect 277112 -800 277224 480
+rect 278294 -800 278406 480
+rect 279476 -800 279588 480
+rect 280658 -800 280770 480
+rect 281840 -800 281952 480
+rect 283022 -800 283134 480
+rect 284204 -800 284316 480
+rect 285386 -800 285498 480
+rect 286568 -800 286680 480
+rect 287750 -800 287862 480
+rect 288932 -800 289044 480
+rect 290114 -800 290226 480
+rect 291296 -800 291408 480
+rect 292478 -800 292590 480
+rect 293660 -800 293772 480
+rect 294842 -800 294954 480
+rect 296024 -800 296136 480
+rect 297206 -800 297318 480
+rect 298388 -800 298500 480
+rect 299570 -800 299682 480
+rect 300752 -800 300864 480
+rect 301934 -800 302046 480
+rect 303116 -800 303228 480
+rect 304298 -800 304410 480
+rect 305480 -800 305592 480
+rect 306662 -800 306774 480
+rect 307844 -800 307956 480
+rect 309026 -800 309138 480
+rect 310208 -800 310320 480
+rect 311390 -800 311502 480
+rect 312572 -800 312684 480
+rect 313754 -800 313866 480
+rect 314936 -800 315048 480
+rect 316118 -800 316230 480
+rect 317300 -800 317412 480
+rect 318482 -800 318594 480
+rect 319664 -800 319776 480
+rect 320846 -800 320958 480
+rect 322028 -800 322140 480
+rect 323210 -800 323322 480
+rect 324392 -800 324504 480
+rect 325574 -800 325686 480
+rect 326756 -800 326868 480
+rect 327938 -800 328050 480
+rect 329120 -800 329232 480
+rect 330302 -800 330414 480
+rect 331484 -800 331596 480
+rect 332666 -800 332778 480
+rect 333848 -800 333960 480
+rect 335030 -800 335142 480
+rect 336212 -800 336324 480
+rect 337394 -800 337506 480
+rect 338576 -800 338688 480
+rect 339758 -800 339870 480
+rect 340940 -800 341052 480
+rect 342122 -800 342234 480
+rect 343304 -800 343416 480
+rect 344486 -800 344598 480
+rect 345668 -800 345780 480
+rect 346850 -800 346962 480
+rect 348032 -800 348144 480
+rect 349214 -800 349326 480
+rect 350396 -800 350508 480
+rect 351578 -800 351690 480
+rect 352760 -800 352872 480
+rect 353942 -800 354054 480
+rect 355124 -800 355236 480
+rect 356306 -800 356418 480
+rect 357488 -800 357600 480
+rect 358670 -800 358782 480
+rect 359852 -800 359964 480
+rect 361034 -800 361146 480
+rect 362216 -800 362328 480
+rect 363398 -800 363510 480
+rect 364580 -800 364692 480
+rect 365762 -800 365874 480
+rect 366944 -800 367056 480
+rect 368126 -800 368238 480
+rect 369308 -800 369420 480
+rect 370490 -800 370602 480
+rect 371672 -800 371784 480
+rect 372854 -800 372966 480
+rect 374036 -800 374148 480
+rect 375218 -800 375330 480
+rect 376400 -800 376512 480
+rect 377582 -800 377694 480
+rect 378764 -800 378876 480
+rect 379946 -800 380058 480
+rect 381128 -800 381240 480
+rect 382310 -800 382422 480
+rect 383492 -800 383604 480
+rect 384674 -800 384786 480
+rect 385856 -800 385968 480
+rect 387038 -800 387150 480
+rect 388220 -800 388332 480
+rect 389402 -800 389514 480
+rect 390584 -800 390696 480
+rect 391766 -800 391878 480
+rect 392948 -800 393060 480
+rect 394130 -800 394242 480
+rect 395312 -800 395424 480
+rect 396494 -800 396606 480
+rect 397676 -800 397788 480
+rect 398858 -800 398970 480
+rect 400040 -800 400152 480
+rect 401222 -800 401334 480
+rect 402404 -800 402516 480
+rect 403586 -800 403698 480
+rect 404768 -800 404880 480
+rect 405950 -800 406062 480
+rect 407132 -800 407244 480
+rect 408314 -800 408426 480
+rect 409496 -800 409608 480
+rect 410678 -800 410790 480
+rect 411860 -800 411972 480
+rect 413042 -800 413154 480
+rect 414224 -800 414336 480
+rect 415406 -800 415518 480
+rect 416588 -800 416700 480
+rect 417770 -800 417882 480
+rect 418952 -800 419064 480
+rect 420134 -800 420246 480
+rect 421316 -800 421428 480
+rect 422498 -800 422610 480
+rect 423680 -800 423792 480
+rect 424862 -800 424974 480
+rect 426044 -800 426156 480
+rect 427226 -800 427338 480
+rect 428408 -800 428520 480
+rect 429590 -800 429702 480
+rect 430772 -800 430884 480
+rect 431954 -800 432066 480
+rect 433136 -800 433248 480
+rect 434318 -800 434430 480
+rect 435500 -800 435612 480
+rect 436682 -800 436794 480
+rect 437864 -800 437976 480
+rect 439046 -800 439158 480
+rect 440228 -800 440340 480
+rect 441410 -800 441522 480
+rect 442592 -800 442704 480
+rect 443774 -800 443886 480
+rect 444956 -800 445068 480
+rect 446138 -800 446250 480
+rect 447320 -800 447432 480
+rect 448502 -800 448614 480
+rect 449684 -800 449796 480
+rect 450866 -800 450978 480
+rect 452048 -800 452160 480
+rect 453230 -800 453342 480
+rect 454412 -800 454524 480
+rect 455594 -800 455706 480
+rect 456776 -800 456888 480
+rect 457958 -800 458070 480
+rect 459140 -800 459252 480
+rect 460322 -800 460434 480
+rect 461504 -800 461616 480
+rect 462686 -800 462798 480
+rect 463868 -800 463980 480
+rect 465050 -800 465162 480
+rect 466232 -800 466344 480
+rect 467414 -800 467526 480
+rect 468596 -800 468708 480
+rect 469778 -800 469890 480
+rect 470960 -800 471072 480
+rect 472142 -800 472254 480
+rect 473324 -800 473436 480
+rect 474506 -800 474618 480
+rect 475688 -800 475800 480
+rect 476870 -800 476982 480
+rect 478052 -800 478164 480
+rect 479234 -800 479346 480
+rect 480416 -800 480528 480
+rect 481598 -800 481710 480
+rect 482780 -800 482892 480
+rect 483962 -800 484074 480
+rect 485144 -800 485256 480
+rect 486326 -800 486438 480
+rect 487508 -800 487620 480
+rect 488690 -800 488802 480
+rect 489872 -800 489984 480
+rect 491054 -800 491166 480
+rect 492236 -800 492348 480
+rect 493418 -800 493530 480
+rect 494600 -800 494712 480
+rect 495782 -800 495894 480
+rect 496964 -800 497076 480
+rect 498146 -800 498258 480
+rect 499328 -800 499440 480
+rect 500510 -800 500622 480
+rect 501692 -800 501804 480
+rect 502874 -800 502986 480
+rect 504056 -800 504168 480
+rect 505238 -800 505350 480
+rect 506420 -800 506532 480
+rect 507602 -800 507714 480
+rect 508784 -800 508896 480
+rect 509966 -800 510078 480
+rect 511148 -800 511260 480
+rect 512330 -800 512442 480
+rect 513512 -800 513624 480
+rect 514694 -800 514806 480
+rect 515876 -800 515988 480
+rect 517058 -800 517170 480
+rect 518240 -800 518352 480
+rect 519422 -800 519534 480
+rect 520604 -800 520716 480
+rect 521786 -800 521898 480
+rect 522968 -800 523080 480
+rect 524150 -800 524262 480
+rect 525332 -800 525444 480
+rect 526514 -800 526626 480
+rect 527696 -800 527808 480
+rect 528878 -800 528990 480
+rect 530060 -800 530172 480
+rect 531242 -800 531354 480
+rect 532424 -800 532536 480
+rect 533606 -800 533718 480
+rect 534788 -800 534900 480
+rect 535970 -800 536082 480
+rect 537152 -800 537264 480
+rect 538334 -800 538446 480
+rect 539516 -800 539628 480
+rect 540698 -800 540810 480
+rect 541880 -800 541992 480
+rect 543062 -800 543174 480
+rect 544244 -800 544356 480
+rect 545426 -800 545538 480
+rect 546608 -800 546720 480
+rect 547790 -800 547902 480
+rect 548972 -800 549084 480
+rect 550154 -800 550266 480
+rect 551336 -800 551448 480
+rect 552518 -800 552630 480
+rect 553700 -800 553812 480
+rect 554882 -800 554994 480
+rect 556064 -800 556176 480
+rect 557246 -800 557358 480
+rect 558428 -800 558540 480
+rect 559610 -800 559722 480
+rect 560792 -800 560904 480
+rect 561974 -800 562086 480
+rect 563156 -800 563268 480
+rect 564338 -800 564450 480
+rect 565520 -800 565632 480
+rect 566702 -800 566814 480
+rect 567884 -800 567996 480
+rect 569066 -800 569178 480
+rect 570248 -800 570360 480
+rect 571430 -800 571542 480
+rect 572612 -800 572724 480
+rect 573794 -800 573906 480
+rect 574976 -800 575088 480
+rect 576158 -800 576270 480
+rect 577340 -800 577452 480
+rect 578522 -800 578634 480
+rect 579704 -800 579816 480
+rect 580886 -800 580998 480
+rect 582068 -800 582180 480
+rect 583250 -800 583362 480
+<< via2 >>
+rect 36404 512626 36464 512686
+rect 36178 512466 36238 512526
+rect 35928 512280 35988 512340
+rect 35694 512138 35754 512198
+rect 35039 510217 35109 510287
+rect 18917 509427 19007 509517
+rect 1685 506807 1787 506909
+rect 1705 505625 1807 505727
+rect 43605 505620 43717 505732
+rect 18917 468317 19007 468407
+rect 1781 463585 1883 463687
+rect 1815 462403 1917 462505
+rect 43598 462398 43710 462510
+rect 3075 425115 3145 425185
+rect 35044 425120 35104 425180
+rect 2970 378338 3030 378398
+rect 36406 378340 36462 378396
+rect 2891 375959 2993 376061
+rect 44616 375954 44728 376066
+rect 2910 335118 2970 335178
+rect 36180 335120 36236 335176
+rect 2769 332737 2871 332839
+rect 44594 332732 44706 332844
+rect 3102 291894 3162 291954
+rect 35930 291896 35986 291952
+rect 3141 289515 3243 289617
+rect 44584 289510 44696 289622
+rect 3128 248870 3188 248930
+rect 35696 248872 35752 248928
+rect 3103 246493 3205 246595
+rect 44578 246488 44690 246600
+<< metal3 >>
+rect 16194 702300 21194 704800
+rect 68194 702300 73194 704800
+rect 120194 702300 125194 704800
+rect 165594 702300 170594 704800
+rect 170894 702300 173094 704800
+rect 173394 702300 175594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 222594 702300 224794 704800
+rect 225094 702300 227294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 324294 702300 326494 704800
+rect 326794 702300 328994 704800
+rect 329294 702300 334294 704800
+rect 413394 702300 418394 704800
+rect 465394 702300 470394 704800
+rect -800 680242 1700 685242
+rect 510594 676686 515394 704800
+rect 81134 675221 84248 675227
+rect -800 643842 1660 648642
+rect -800 633842 1660 638642
+rect -800 559442 1660 564242
+rect 43472 554961 43478 555307
+rect 30252 554460 30600 554466
+rect -800 549442 1660 554242
+rect 19562 554035 19908 554041
+rect 19562 513394 19908 549799
+rect 23700 554025 24046 554031
+rect 19998 534729 20004 535075
+rect 20350 534729 20356 535075
+rect -800 511530 1236 511642
+rect -800 510348 480 510460
+rect 19712 509794 19880 513394
+rect 20004 513122 20350 528679
+rect 23700 513394 24046 549887
+rect 24110 535041 24456 535047
+rect 18912 509517 19012 509522
+rect 18912 509427 18917 509517
+rect 19007 509427 19012 509517
+rect -800 509166 480 509278
+rect -800 507984 480 508096
+rect -800 506909 1792 506914
+rect -800 506807 1685 506909
+rect 1787 506807 1792 506909
+rect -800 506802 1792 506807
+rect -800 505620 1347 505732
+rect 1403 505727 1812 505732
+rect 1403 505625 1705 505727
+rect 1807 505625 1812 505727
+rect 1403 505620 1812 505625
+rect -800 468308 1923 468420
+rect 18912 468407 19012 509427
+rect 20018 509100 20186 513122
+rect 23872 509794 24040 513394
+rect 24110 513122 24456 528799
+rect 30252 513360 30600 549550
+rect 34030 554219 34400 554225
+rect 24118 509100 24286 513122
+rect 30432 510078 30600 513360
+rect 30674 534955 31020 534961
+rect 30674 513078 31020 529251
+rect 34030 513396 34400 549657
+rect 43824 554961 43830 555307
+rect 81134 553720 84248 672107
+rect 510594 671880 515394 671886
+rect 520594 676686 525394 704800
+rect 566594 702300 571594 704800
+rect 582300 677984 584800 682984
+rect 520594 671880 525394 671886
+rect 556464 658524 561264 658530
+rect 547564 658198 552364 658204
+rect 90730 657063 93844 657069
+rect 34472 534501 34478 534847
+rect 30712 509272 30880 513078
+rect 34232 510064 34400 513396
+rect 34824 534501 34830 534847
+rect 34478 513120 34824 529317
+rect 34494 509264 34662 513120
+rect 36399 512686 36469 512691
+rect 36399 512626 36404 512686
+rect 36464 512626 36469 512686
+rect 36399 512621 36469 512626
+rect 36173 512526 36243 512531
+rect 36173 512466 36178 512526
+rect 36238 512466 36243 512526
+rect 36173 512461 36243 512466
+rect 35923 512340 35993 512345
+rect 35923 512280 35928 512340
+rect 35988 512280 35993 512340
+rect 35923 512275 35993 512280
+rect 35689 512198 35759 512203
+rect 35689 512138 35694 512198
+rect 35754 512138 35759 512198
+rect 35689 512133 35759 512138
+rect 35034 510287 35114 510292
+rect 35034 510217 35039 510287
+rect 35109 510217 35114 510287
+rect 35034 510212 35114 510217
+rect 18912 468317 18917 468407
+rect 19007 468317 19012 468407
+rect 18912 468312 19012 468317
+rect -800 467126 480 467238
+rect -800 465944 480 466056
+rect -800 464762 480 464874
+rect -800 463687 1888 463692
+rect -800 463585 1781 463687
+rect 1883 463585 1888 463687
+rect -800 463580 1888 463585
+rect -800 462398 1453 462510
+rect 1509 462505 1922 462510
+rect 1509 462403 1815 462505
+rect 1917 462403 1922 462505
+rect 1509 462398 1922 462403
+rect -800 425185 2480 425198
+rect 3070 425185 3150 425190
+rect -800 425115 3075 425185
+rect 3145 425115 3150 425185
+rect 35039 425180 35109 510212
+rect 35039 425120 35044 425180
+rect 35104 425120 35109 425180
+rect 35039 425115 35109 425120
+rect -800 425086 2480 425115
+rect 3070 425110 3150 425115
+rect -800 423904 480 424016
+rect -800 422722 480 422834
+rect -800 421540 480 421652
+rect -800 420358 480 420470
+rect -800 419176 480 419288
+rect -800 381864 480 381976
+rect -800 380682 480 380794
+rect -800 379500 480 379612
+rect -800 378398 2480 378430
+rect 2965 378398 3035 378403
+rect -800 378338 2970 378398
+rect 3030 378338 3035 378398
+rect -800 378318 2480 378338
+rect 2965 378333 3035 378338
+rect -800 377136 480 377248
+rect -800 375954 1892 376066
+rect 1948 376061 2998 376066
+rect 1948 375959 2891 376061
+rect 2993 375959 2998 376061
+rect 1948 375954 2998 375959
+rect -800 338642 480 338754
+rect -800 337460 480 337572
+rect -800 336278 480 336390
+rect -800 335178 2480 335208
+rect 2905 335178 2975 335183
+rect -800 335118 2910 335178
+rect 2970 335118 2975 335178
+rect -800 335096 2480 335118
+rect 2905 335113 2975 335118
+rect -800 333914 480 334026
+rect -800 332732 1978 332844
+rect 2034 332839 2876 332844
+rect 2034 332737 2769 332839
+rect 2871 332737 2876 332839
+rect 2034 332732 2876 332737
+rect -800 295420 480 295532
+rect -800 294238 480 294350
+rect -800 293056 480 293168
+rect -800 291954 2480 291986
+rect 3097 291954 3167 291959
+rect -800 291894 3102 291954
+rect 3162 291894 3167 291954
+rect -800 291874 2480 291894
+rect 3097 291889 3167 291894
+rect -800 290692 480 290804
+rect -800 289510 2504 289622
+rect 2560 289617 3248 289622
+rect 2560 289515 3141 289617
+rect 3243 289515 3248 289617
+rect 2560 289510 3248 289515
+rect -800 252398 480 252510
+rect -800 251216 480 251328
+rect -800 250034 480 250146
+rect -800 248930 2480 248964
+rect 3123 248930 3193 248935
+rect 35694 248933 35754 512133
+rect 35928 291957 35988 512275
+rect 36178 335181 36238 512461
+rect 36404 378401 36464 512621
+rect 43478 505732 43824 549777
+rect 80712 553262 84938 553720
+rect 80712 550148 81134 553262
+rect 84248 550148 84938 553262
+rect 80712 549724 84938 550148
+rect 44472 534501 44478 534847
+rect 43478 505620 43605 505732
+rect 43717 505620 43824 505732
+rect 43478 462510 43824 505620
+rect 43478 462398 43598 462510
+rect 43710 462398 43824 462510
+rect 43478 462136 43824 462398
+rect 44824 534501 44830 534847
+rect 90730 533778 93844 653949
+rect 547564 634584 552364 653398
+rect 556464 644584 561264 653724
+rect 556464 639784 584800 644584
+rect 547564 629784 584800 634584
+rect 583520 589472 584800 589584
+rect 583520 588290 584800 588402
+rect 583520 587108 584800 587220
+rect 583520 585926 584800 586038
+rect 583520 584744 584800 584856
+rect 583520 583562 584800 583674
+rect 582340 550562 584800 555362
+rect 582340 540562 584800 545362
+rect 90152 533488 94378 533778
+rect 90152 530374 90730 533488
+rect 93844 530374 94378 533488
+rect 90152 529782 94378 530374
+rect 36401 378396 36467 378401
+rect 36401 378340 36406 378396
+rect 36462 378340 36467 378396
+rect 36401 378335 36467 378340
+rect 44478 376066 44824 529317
+rect 583520 500050 584800 500162
+rect 583520 498868 584800 498980
+rect 583520 497686 584800 497798
+rect 583520 496504 584800 496616
+rect 583520 495322 584800 495434
+rect 583520 494140 584800 494252
+rect 583520 455628 584800 455740
+rect 583520 454446 584800 454558
+rect 583520 453264 584800 453376
+rect 583520 452082 584800 452194
+rect 583520 450900 584800 451012
+rect 583520 449718 584800 449830
+rect 583520 411206 584800 411318
+rect 583520 410024 584800 410136
+rect 583520 408842 584800 408954
+rect 583520 407660 584800 407772
+rect 583520 406478 584800 406590
+rect 583520 405296 584800 405408
+rect 44478 375954 44616 376066
+rect 44728 375954 44824 376066
+rect 36175 335176 36241 335181
+rect 36175 335120 36180 335176
+rect 36236 335120 36241 335176
+rect 36175 335115 36241 335120
+rect 44478 332844 44824 375954
+rect 583520 364784 584800 364896
+rect 583520 363602 584800 363714
+rect 583520 362420 584800 362532
+rect 583520 361238 584800 361350
+rect 583520 360056 584800 360168
+rect 583520 358874 584800 358986
+rect 44478 332732 44594 332844
+rect 44706 332732 44824 332844
+rect 35925 291952 35991 291957
+rect 35925 291896 35930 291952
+rect 35986 291896 35991 291952
+rect 35925 291891 35991 291896
+rect 44478 289622 44824 332732
+rect 583520 319562 584800 319674
+rect 583520 318380 584800 318492
+rect 583520 317198 584800 317310
+rect 583520 316016 584800 316128
+rect 583520 314834 584800 314946
+rect 583520 313652 584800 313764
+rect 44478 289510 44584 289622
+rect 44696 289510 44824 289622
+rect -800 248870 3128 248930
+rect 3188 248870 3193 248930
+rect -800 248852 2480 248870
+rect 3123 248865 3193 248870
+rect 35691 248928 35757 248933
+rect 35691 248872 35696 248928
+rect 35752 248872 35757 248928
+rect 35691 248867 35757 248872
+rect -800 247670 480 247782
+rect 44478 246600 44824 289510
+rect 583520 275140 584800 275252
+rect 583520 273958 584800 274070
+rect 583520 272776 584800 272888
+rect 583520 271594 584800 271706
+rect 583520 270412 584800 270524
+rect 583520 269230 584800 269342
+rect -800 246488 2660 246600
+rect 2716 246595 3210 246600
+rect 2716 246493 3103 246595
+rect 3205 246493 3210 246595
+rect 2716 246488 3210 246493
+rect 44478 246488 44578 246600
+rect 44690 246488 44824 246600
+rect 44478 241883 44824 246488
+rect 582340 235230 584800 240030
+rect 582340 225230 584800 230030
+rect -800 214888 1660 219688
+rect -800 204888 1660 209688
+rect 582340 191430 584800 196230
+rect 582340 181430 584800 186230
+rect -800 172888 1660 177688
+rect -800 162888 1660 167688
+rect 582340 146830 584800 151630
+rect 582340 136830 584800 141630
+rect -800 124776 480 124888
+rect -800 123594 480 123706
+rect -800 122412 480 122524
+rect -800 121230 480 121342
+rect -800 120048 480 120160
+rect -800 118866 480 118978
+rect 583520 95118 584800 95230
+rect 583520 93936 584800 94048
+rect 583520 92754 584800 92866
+rect 583520 91572 584800 91684
+rect -800 81554 480 81666
+rect -800 80372 480 80484
+rect -800 79190 480 79302
+rect -800 78008 480 78120
+rect -800 76826 480 76938
+rect -800 75644 480 75756
+rect 583520 50460 584800 50572
+rect 583520 49278 584800 49390
+rect 583520 48096 584800 48208
+rect 583520 46914 584800 47026
+rect -800 38332 480 38444
+rect -800 37150 480 37262
+rect -800 35968 480 36080
+rect -800 34786 480 34898
+rect -800 33604 480 33716
+rect -800 32422 480 32534
+rect 583520 24002 584800 24114
+rect 583520 22820 584800 22932
+rect 583520 21638 584800 21750
+rect 583520 20456 584800 20568
+rect 583520 19274 584800 19386
+rect 583520 18092 584800 18204
+rect -800 16910 480 17022
+rect 583520 16910 584800 17022
+rect -800 15728 480 15840
+rect 583520 15728 584800 15840
+rect -800 14546 480 14658
+rect 583520 14546 584800 14658
+rect -800 13364 480 13476
+rect 583520 13364 584800 13476
+rect -800 12182 480 12294
+rect 583520 12182 584800 12294
+rect -800 11000 480 11112
+rect 583520 11000 584800 11112
+rect -800 9818 480 9930
+rect 583520 9818 584800 9930
+rect -800 8636 480 8748
+rect 583520 8636 584800 8748
+rect -800 7454 480 7566
+rect 583520 7454 584800 7566
+rect -800 6272 480 6384
+rect 583520 6272 584800 6384
+rect -800 5090 480 5202
+rect 583520 5090 584800 5202
+rect -800 3908 480 4020
+rect 583520 3908 584800 4020
+rect -800 2726 480 2838
+rect 583520 2726 584800 2838
+rect -800 1544 480 1656
+rect 583520 1544 584800 1656
+<< rmetal3 >>
+rect 1347 505620 1403 505732
+rect 1453 462398 1509 462510
+rect 1892 375954 1948 376066
+rect 1978 332732 2034 332844
+rect 2504 289510 2560 289622
+rect 2660 246488 2716 246600
+<< via3 >>
+rect 81134 672107 84248 675221
+rect 19562 549799 19908 554035
+rect 23700 549887 24046 554025
+rect 20004 528679 20350 535075
+rect 30252 549550 30600 554460
+rect 24110 528799 24456 535041
+rect 34030 549657 34400 554219
+rect 30674 529251 31020 534955
+rect 43478 549777 43824 555307
+rect 510594 671886 515394 676686
+rect 520594 671886 525394 676686
+rect 90730 653949 93844 657063
+rect 34478 529317 34824 534847
+rect 81134 550148 84248 553262
+rect 44478 529317 44824 534847
+rect 547564 653398 552364 658198
+rect 556464 653724 561264 658524
+rect 90730 530374 93844 533488
+<< metal4 >>
+rect 165594 702300 170594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 329294 702300 334294 704800
+rect 44232 676686 529086 678872
+rect 44232 675221 510594 676686
+rect 44232 672107 81134 675221
+rect 84248 672107 510594 675221
+rect 44232 671886 510594 672107
+rect 515394 671886 520594 676686
+rect 525394 671886 529086 676686
+rect 44232 669948 529086 671886
+rect 51894 658524 569258 660668
+rect 51894 658198 556464 658524
+rect 51894 657063 547564 658198
+rect 51894 653949 90730 657063
+rect 93844 653949 547564 657063
+rect 51894 653398 547564 653949
+rect 552364 653724 556464 658198
+rect 561264 653724 569258 658524
+rect 552364 653398 569258 653724
+rect 51894 651744 569258 653398
+rect 11894 555307 101738 556668
+rect 11894 554460 43478 555307
+rect 11894 554035 30252 554460
+rect 11894 549799 19562 554035
+rect 19908 554025 30252 554035
+rect 19908 549887 23700 554025
+rect 24046 549887 30252 554025
+rect 19908 549799 30252 549887
+rect 11894 549550 30252 549799
+rect 30600 554219 43478 554460
+rect 30600 549657 34030 554219
+rect 34400 549777 43478 554219
+rect 43824 553262 101738 555307
+rect 43824 550148 81134 553262
+rect 84248 550148 101738 553262
+rect 43824 549777 101738 550148
+rect 34400 549657 101738 549777
+rect 30600 549550 101738 549657
+rect 11894 547744 101738 549550
+rect 11894 535075 101738 536668
+rect 11894 528679 20004 535075
+rect 20350 535041 101738 535075
+rect 20350 528799 24110 535041
+rect 24456 534955 101738 535041
+rect 24456 529251 30674 534955
+rect 31020 534847 101738 534955
+rect 31020 529317 34478 534847
+rect 34824 529317 44478 534847
+rect 44824 533488 101738 534847
+rect 44824 530374 90730 533488
+rect 93844 530374 101738 533488
+rect 44824 529317 101738 530374
+rect 31020 529251 101738 529317
+rect 24456 528799 101738 529251
+rect 20350 528679 101738 528799
+rect 11894 527744 101738 528679
+<< metal5 >>
+rect 165594 702300 170594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 329294 702300 334294 704800
+<< comment >>
+rect -100 704000 584100 704100
+rect -100 0 0 704000
+rect 584000 0 584100 704000
+rect -100 -100 584100 0
+use vco_with_fdivs  vco_with_fdivs_0 ~/Desktop/GitSandboxes/caravel_user_project_analog_vco/mag/3-stage_cs-vco_dp9
+timestamp 1647637419
+transform -1 0 32535 0 1 510678
+box -2159 -1770 12877 2867
+<< labels >>
+flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
+port 0 nsew signal bidirectional
+flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
+port 1 nsew signal bidirectional
+flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
+port 2 nsew signal bidirectional
+flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
+port 3 nsew signal bidirectional
+flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
+port 4 nsew signal bidirectional
+flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
+port 5 nsew signal bidirectional
+flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
+port 6 nsew signal bidirectional
+flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
+port 7 nsew signal bidirectional
+flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
+port 8 nsew signal bidirectional
+flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
+port 9 nsew signal bidirectional
+flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
+port 10 nsew signal bidirectional
+flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
+port 11 nsew signal bidirectional
+flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
+port 12 nsew signal bidirectional
+flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
+port 13 nsew signal bidirectional
+flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
+port 14 nsew signal bidirectional
+flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
+port 15 nsew signal bidirectional
+flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
+port 16 nsew signal bidirectional
+flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
+port 17 nsew signal bidirectional
+flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
+port 18 nsew signal bidirectional
+flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
+port 19 nsew signal bidirectional
+flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
+port 20 nsew signal bidirectional
+flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
+port 21 nsew signal bidirectional
+flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
+port 22 nsew signal bidirectional
+flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
+port 23 nsew signal bidirectional
+flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
+port 24 nsew signal bidirectional
+flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
+port 25 nsew signal bidirectional
+flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
+port 26 nsew signal bidirectional
+flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
+port 27 nsew signal bidirectional
+flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
+port 28 nsew signal bidirectional
+flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
+port 29 nsew signal bidirectional
+flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
+port 30 nsew signal bidirectional
+flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
+port 31 nsew signal bidirectional
+flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
+port 32 nsew signal bidirectional
+flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
+port 33 nsew signal bidirectional
+flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
+port 34 nsew signal bidirectional
+flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
+port 35 nsew signal bidirectional
+flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
+port 36 nsew signal bidirectional
+flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
+port 37 nsew signal bidirectional
+flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
+port 38 nsew signal bidirectional
+flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
+port 39 nsew signal bidirectional
+flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3]
+port 40 nsew signal bidirectional
+flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal4 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal5 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal4 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal5 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal4 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal5 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
+port 44 nsew signal bidirectional
+flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
+port 45 nsew signal bidirectional
+flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
+port 46 nsew signal bidirectional
+flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal4 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal5 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal4 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal4 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal5 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
+port 50 nsew signal bidirectional
+flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
+port 51 nsew signal bidirectional
+flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
+port 52 nsew signal bidirectional
+flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
+port 53 nsew signal bidirectional
+flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
+port 54 nsew signal bidirectional
+flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
+port 55 nsew signal bidirectional
+flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
+port 56 nsew signal input
+flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
+port 57 nsew signal input
+flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
+port 58 nsew signal input
+flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
+port 59 nsew signal input
+flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
+port 60 nsew signal input
+flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
+port 61 nsew signal input
+flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
+port 62 nsew signal input
+flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
+port 63 nsew signal input
+flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
+port 64 nsew signal input
+flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
+port 65 nsew signal input
+flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
+port 66 nsew signal input
+flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
+port 67 nsew signal input
+flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
+port 68 nsew signal input
+flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
+port 69 nsew signal input
+flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
+port 70 nsew signal input
+flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
+port 71 nsew signal input
+flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
+port 72 nsew signal input
+flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
+port 73 nsew signal input
+flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
+port 74 nsew signal input
+flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
+port 75 nsew signal input
+flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
+port 76 nsew signal input
+flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
+port 77 nsew signal input
+flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
+port 78 nsew signal input
+flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
+port 79 nsew signal input
+flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
+port 80 nsew signal input
+flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
+port 81 nsew signal input
+flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
+port 82 nsew signal input
+flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
+port 83 nsew signal input
+flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
+port 84 nsew signal input
+flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
+port 85 nsew signal input
+flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
+port 86 nsew signal input
+flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
+port 87 nsew signal input
+flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
+port 88 nsew signal input
+flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
+port 89 nsew signal input
+flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
+port 90 nsew signal input
+flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
+port 91 nsew signal input
+flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
+port 92 nsew signal input
+flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
+port 93 nsew signal input
+flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
+port 94 nsew signal input
+flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
+port 95 nsew signal input
+flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
+port 96 nsew signal input
+flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
+port 97 nsew signal input
+flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
+port 98 nsew signal input
+flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
+port 99 nsew signal input
+flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
+port 100 nsew signal input
+flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
+port 101 nsew signal input
+flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
+port 102 nsew signal input
+flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
+port 103 nsew signal input
+flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
+port 104 nsew signal input
+flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
+port 105 nsew signal input
+flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
+port 106 nsew signal input
+flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
+port 107 nsew signal input
+flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
+port 108 nsew signal input
+flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
+port 109 nsew signal input
+flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
+port 110 nsew signal tristate
+flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
+port 111 nsew signal tristate
+flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
+port 112 nsew signal tristate
+flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
+port 113 nsew signal tristate
+flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
+port 114 nsew signal tristate
+flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
+port 115 nsew signal tristate
+flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
+port 116 nsew signal tristate
+flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
+port 117 nsew signal tristate
+flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
+port 118 nsew signal tristate
+flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
+port 119 nsew signal tristate
+flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
+port 120 nsew signal tristate
+flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
+port 121 nsew signal tristate
+flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
+port 122 nsew signal tristate
+flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
+port 123 nsew signal tristate
+flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
+port 124 nsew signal tristate
+flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
+port 125 nsew signal tristate
+flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
+port 126 nsew signal tristate
+flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
+port 127 nsew signal tristate
+flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
+port 128 nsew signal tristate
+flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
+port 129 nsew signal tristate
+flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
+port 130 nsew signal tristate
+flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
+port 131 nsew signal tristate
+flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
+port 132 nsew signal tristate
+flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
+port 133 nsew signal tristate
+flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
+port 134 nsew signal tristate
+flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
+port 135 nsew signal tristate
+flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
+port 136 nsew signal tristate
+flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
+port 137 nsew signal tristate
+flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
+port 138 nsew signal tristate
+flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
+port 139 nsew signal tristate
+flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
+port 140 nsew signal tristate
+flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
+port 141 nsew signal tristate
+flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
+port 142 nsew signal tristate
+flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
+port 143 nsew signal tristate
+flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
+port 144 nsew signal tristate
+flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
+port 145 nsew signal tristate
+flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
+port 146 nsew signal tristate
+flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
+port 147 nsew signal tristate
+flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
+port 148 nsew signal tristate
+flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
+port 149 nsew signal tristate
+flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
+port 150 nsew signal tristate
+flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
+port 151 nsew signal tristate
+flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
+port 152 nsew signal tristate
+flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
+port 153 nsew signal tristate
+flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
+port 154 nsew signal tristate
+flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
+port 155 nsew signal tristate
+flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
+port 156 nsew signal tristate
+flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
+port 157 nsew signal tristate
+flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
+port 158 nsew signal tristate
+flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
+port 159 nsew signal tristate
+flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
+port 160 nsew signal tristate
+flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
+port 161 nsew signal tristate
+flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
+port 162 nsew signal tristate
+flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
+port 163 nsew signal tristate
+flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
+port 164 nsew signal input
+flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
+port 165 nsew signal input
+flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
+port 166 nsew signal input
+flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
+port 167 nsew signal input
+flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
+port 168 nsew signal input
+flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
+port 169 nsew signal input
+flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
+port 170 nsew signal input
+flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
+port 171 nsew signal input
+flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
+port 172 nsew signal input
+flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
+port 173 nsew signal input
+flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
+port 174 nsew signal input
+flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
+port 175 nsew signal input
+flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
+port 176 nsew signal input
+flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
+port 177 nsew signal input
+flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
+port 178 nsew signal input
+flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
+port 179 nsew signal input
+flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
+port 180 nsew signal input
+flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
+port 181 nsew signal input
+flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
+port 182 nsew signal input
+flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
+port 183 nsew signal input
+flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
+port 184 nsew signal input
+flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
+port 185 nsew signal input
+flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
+port 186 nsew signal input
+flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
+port 187 nsew signal input
+flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
+port 188 nsew signal input
+flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
+port 189 nsew signal input
+flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
+port 190 nsew signal input
+flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
+port 191 nsew signal input
+flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
+port 192 nsew signal input
+flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
+port 193 nsew signal input
+flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
+port 194 nsew signal input
+flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
+port 195 nsew signal input
+flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
+port 196 nsew signal input
+flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
+port 197 nsew signal input
+flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
+port 198 nsew signal input
+flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
+port 199 nsew signal input
+flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
+port 200 nsew signal input
+flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
+port 201 nsew signal input
+flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
+port 202 nsew signal input
+flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
+port 203 nsew signal input
+flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
+port 204 nsew signal input
+flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
+port 205 nsew signal input
+flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
+port 206 nsew signal input
+flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
+port 207 nsew signal input
+flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
+port 208 nsew signal input
+flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
+port 209 nsew signal input
+flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
+port 210 nsew signal input
+flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
+port 211 nsew signal input
+flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
+port 212 nsew signal input
+flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
+port 213 nsew signal input
+flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
+port 214 nsew signal input
+flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
+port 215 nsew signal input
+flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
+port 216 nsew signal input
+flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
+port 217 nsew signal input
+flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
+port 218 nsew signal input
+flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
+port 219 nsew signal input
+flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
+port 220 nsew signal input
+flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
+port 221 nsew signal input
+flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
+port 222 nsew signal input
+flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
+port 223 nsew signal input
+flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
+port 224 nsew signal input
+flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
+port 225 nsew signal input
+flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
+port 226 nsew signal input
+flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
+port 227 nsew signal input
+flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
+port 228 nsew signal input
+flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
+port 229 nsew signal input
+flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
+port 230 nsew signal input
+flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
+port 231 nsew signal input
+flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
+port 232 nsew signal input
+flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
+port 233 nsew signal input
+flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
+port 234 nsew signal input
+flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
+port 235 nsew signal input
+flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
+port 236 nsew signal input
+flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
+port 237 nsew signal input
+flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
+port 238 nsew signal input
+flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
+port 239 nsew signal input
+flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
+port 240 nsew signal input
+flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
+port 241 nsew signal input
+flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
+port 242 nsew signal input
+flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
+port 243 nsew signal input
+flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
+port 244 nsew signal input
+flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
+port 245 nsew signal input
+flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
+port 246 nsew signal input
+flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
+port 247 nsew signal input
+flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
+port 248 nsew signal input
+flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
+port 249 nsew signal input
+flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
+port 250 nsew signal input
+flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
+port 251 nsew signal input
+flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
+port 252 nsew signal input
+flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
+port 253 nsew signal input
+flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
+port 254 nsew signal input
+flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
+port 255 nsew signal input
+flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
+port 256 nsew signal input
+flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
+port 257 nsew signal input
+flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
+port 258 nsew signal input
+flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
+port 259 nsew signal input
+flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
+port 260 nsew signal input
+flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
+port 261 nsew signal input
+flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
+port 262 nsew signal input
+flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
+port 263 nsew signal input
+flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
+port 264 nsew signal input
+flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
+port 265 nsew signal input
+flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
+port 266 nsew signal input
+flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
+port 267 nsew signal input
+flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
+port 268 nsew signal input
+flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
+port 269 nsew signal input
+flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
+port 270 nsew signal input
+flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
+port 271 nsew signal input
+flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
+port 272 nsew signal input
+flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
+port 273 nsew signal input
+flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
+port 274 nsew signal input
+flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
+port 275 nsew signal input
+flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
+port 276 nsew signal input
+flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
+port 277 nsew signal input
+flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
+port 278 nsew signal input
+flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
+port 279 nsew signal input
+flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
+port 280 nsew signal input
+flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
+port 281 nsew signal input
+flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
+port 282 nsew signal input
+flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
+port 283 nsew signal input
+flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
+port 284 nsew signal input
+flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
+port 285 nsew signal input
+flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
+port 286 nsew signal input
+flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
+port 287 nsew signal input
+flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
+port 288 nsew signal input
+flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
+port 289 nsew signal input
+flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
+port 290 nsew signal input
+flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
+port 291 nsew signal input
+flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
+port 292 nsew signal tristate
+flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
+port 293 nsew signal tristate
+flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
+port 294 nsew signal tristate
+flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
+port 295 nsew signal tristate
+flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
+port 296 nsew signal tristate
+flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
+port 297 nsew signal tristate
+flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
+port 298 nsew signal tristate
+flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
+port 299 nsew signal tristate
+flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
+port 300 nsew signal tristate
+flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
+port 301 nsew signal tristate
+flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
+port 302 nsew signal tristate
+flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
+port 303 nsew signal tristate
+flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
+port 304 nsew signal tristate
+flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
+port 305 nsew signal tristate
+flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
+port 306 nsew signal tristate
+flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
+port 307 nsew signal tristate
+flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
+port 308 nsew signal tristate
+flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
+port 309 nsew signal tristate
+flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
+port 310 nsew signal tristate
+flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
+port 311 nsew signal tristate
+flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
+port 312 nsew signal tristate
+flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
+port 313 nsew signal tristate
+flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
+port 314 nsew signal tristate
+flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
+port 315 nsew signal tristate
+flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
+port 316 nsew signal tristate
+flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
+port 317 nsew signal tristate
+flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
+port 318 nsew signal tristate
+flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
+port 319 nsew signal tristate
+flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
+port 320 nsew signal tristate
+flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
+port 321 nsew signal tristate
+flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
+port 322 nsew signal tristate
+flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
+port 323 nsew signal tristate
+flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
+port 324 nsew signal tristate
+flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
+port 325 nsew signal tristate
+flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
+port 326 nsew signal tristate
+flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
+port 327 nsew signal tristate
+flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
+port 328 nsew signal tristate
+flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
+port 329 nsew signal tristate
+flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
+port 330 nsew signal tristate
+flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
+port 331 nsew signal tristate
+flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
+port 332 nsew signal tristate
+flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
+port 333 nsew signal tristate
+flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
+port 334 nsew signal tristate
+flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
+port 335 nsew signal tristate
+flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
+port 336 nsew signal tristate
+flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
+port 337 nsew signal tristate
+flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
+port 338 nsew signal tristate
+flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
+port 339 nsew signal tristate
+flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
+port 340 nsew signal tristate
+flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
+port 341 nsew signal tristate
+flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
+port 342 nsew signal tristate
+flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
+port 343 nsew signal tristate
+flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
+port 344 nsew signal tristate
+flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
+port 345 nsew signal tristate
+flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
+port 346 nsew signal tristate
+flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
+port 347 nsew signal tristate
+flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
+port 348 nsew signal tristate
+flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
+port 349 nsew signal tristate
+flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
+port 350 nsew signal tristate
+flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
+port 351 nsew signal tristate
+flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
+port 352 nsew signal tristate
+flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
+port 353 nsew signal tristate
+flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
+port 354 nsew signal tristate
+flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
+port 355 nsew signal tristate
+flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
+port 356 nsew signal tristate
+flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
+port 357 nsew signal tristate
+flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
+port 358 nsew signal tristate
+flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
+port 359 nsew signal tristate
+flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
+port 360 nsew signal tristate
+flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
+port 361 nsew signal tristate
+flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
+port 362 nsew signal tristate
+flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
+port 363 nsew signal tristate
+flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
+port 364 nsew signal tristate
+flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
+port 365 nsew signal tristate
+flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
+port 366 nsew signal tristate
+flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
+port 367 nsew signal tristate
+flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
+port 368 nsew signal tristate
+flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
+port 369 nsew signal tristate
+flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
+port 370 nsew signal tristate
+flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
+port 371 nsew signal tristate
+flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
+port 372 nsew signal tristate
+flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
+port 373 nsew signal tristate
+flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
+port 374 nsew signal tristate
+flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
+port 375 nsew signal tristate
+flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
+port 376 nsew signal tristate
+flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
+port 377 nsew signal tristate
+flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
+port 378 nsew signal tristate
+flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
+port 379 nsew signal tristate
+flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
+port 380 nsew signal tristate
+flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
+port 381 nsew signal tristate
+flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
+port 382 nsew signal tristate
+flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
+port 383 nsew signal tristate
+flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
+port 384 nsew signal tristate
+flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
+port 385 nsew signal tristate
+flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
+port 386 nsew signal tristate
+flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
+port 387 nsew signal tristate
+flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
+port 388 nsew signal tristate
+flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
+port 389 nsew signal tristate
+flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
+port 390 nsew signal tristate
+flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
+port 391 nsew signal tristate
+flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
+port 392 nsew signal tristate
+flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
+port 393 nsew signal tristate
+flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
+port 394 nsew signal tristate
+flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
+port 395 nsew signal tristate
+flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
+port 396 nsew signal tristate
+flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
+port 397 nsew signal tristate
+flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
+port 398 nsew signal tristate
+flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
+port 399 nsew signal tristate
+flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
+port 400 nsew signal tristate
+flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
+port 401 nsew signal tristate
+flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
+port 402 nsew signal tristate
+flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
+port 403 nsew signal tristate
+flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
+port 404 nsew signal tristate
+flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
+port 405 nsew signal tristate
+flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
+port 406 nsew signal tristate
+flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
+port 407 nsew signal tristate
+flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
+port 408 nsew signal tristate
+flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
+port 409 nsew signal tristate
+flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
+port 410 nsew signal tristate
+flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
+port 411 nsew signal tristate
+flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
+port 412 nsew signal tristate
+flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
+port 413 nsew signal tristate
+flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
+port 414 nsew signal tristate
+flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
+port 415 nsew signal tristate
+flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
+port 416 nsew signal tristate
+flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
+port 417 nsew signal tristate
+flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
+port 418 nsew signal tristate
+flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
+port 419 nsew signal tristate
+flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
+port 420 nsew signal input
+flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
+port 421 nsew signal input
+flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
+port 422 nsew signal input
+flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
+port 423 nsew signal input
+flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
+port 424 nsew signal input
+flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
+port 425 nsew signal input
+flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
+port 426 nsew signal input
+flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
+port 427 nsew signal input
+flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
+port 428 nsew signal input
+flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
+port 429 nsew signal input
+flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
+port 430 nsew signal input
+flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
+port 431 nsew signal input
+flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
+port 432 nsew signal input
+flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
+port 433 nsew signal input
+flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
+port 434 nsew signal input
+flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
+port 435 nsew signal input
+flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
+port 436 nsew signal input
+flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
+port 437 nsew signal input
+flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
+port 438 nsew signal input
+flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
+port 439 nsew signal input
+flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
+port 440 nsew signal input
+flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
+port 441 nsew signal input
+flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
+port 442 nsew signal input
+flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
+port 443 nsew signal input
+flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
+port 444 nsew signal input
+flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
+port 445 nsew signal input
+flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
+port 446 nsew signal input
+flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
+port 447 nsew signal input
+flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
+port 448 nsew signal input
+flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
+port 449 nsew signal input
+flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
+port 450 nsew signal input
+flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
+port 451 nsew signal input
+flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
+port 452 nsew signal input
+flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
+port 453 nsew signal input
+flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
+port 454 nsew signal input
+flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
+port 455 nsew signal input
+flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
+port 456 nsew signal input
+flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
+port 457 nsew signal input
+flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
+port 458 nsew signal input
+flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
+port 459 nsew signal input
+flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
+port 460 nsew signal input
+flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
+port 461 nsew signal input
+flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
+port 462 nsew signal input
+flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
+port 463 nsew signal input
+flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
+port 464 nsew signal input
+flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
+port 465 nsew signal input
+flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
+port 466 nsew signal input
+flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
+port 467 nsew signal input
+flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
+port 468 nsew signal input
+flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
+port 469 nsew signal input
+flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
+port 470 nsew signal input
+flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
+port 471 nsew signal input
+flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
+port 472 nsew signal input
+flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
+port 473 nsew signal input
+flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
+port 474 nsew signal input
+flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
+port 475 nsew signal input
+flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
+port 476 nsew signal input
+flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
+port 477 nsew signal input
+flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
+port 478 nsew signal input
+flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
+port 479 nsew signal input
+flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
+port 480 nsew signal input
+flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
+port 481 nsew signal input
+flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
+port 482 nsew signal input
+flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
+port 483 nsew signal input
+flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
+port 484 nsew signal input
+flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
+port 485 nsew signal input
+flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
+port 486 nsew signal input
+flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
+port 487 nsew signal input
+flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
+port 488 nsew signal input
+flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
+port 489 nsew signal input
+flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
+port 490 nsew signal input
+flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
+port 491 nsew signal input
+flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
+port 492 nsew signal input
+flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
+port 493 nsew signal input
+flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
+port 494 nsew signal input
+flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
+port 495 nsew signal input
+flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
+port 496 nsew signal input
+flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
+port 497 nsew signal input
+flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
+port 498 nsew signal input
+flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
+port 499 nsew signal input
+flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
+port 500 nsew signal input
+flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
+port 501 nsew signal input
+flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
+port 502 nsew signal input
+flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
+port 503 nsew signal input
+flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
+port 504 nsew signal input
+flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
+port 505 nsew signal input
+flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
+port 506 nsew signal input
+flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
+port 507 nsew signal input
+flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
+port 508 nsew signal input
+flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
+port 509 nsew signal input
+flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
+port 510 nsew signal input
+flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
+port 511 nsew signal input
+flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
+port 512 nsew signal input
+flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
+port 513 nsew signal input
+flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
+port 514 nsew signal input
+flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
+port 515 nsew signal input
+flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
+port 516 nsew signal input
+flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
+port 517 nsew signal input
+flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
+port 518 nsew signal input
+flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
+port 519 nsew signal input
+flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
+port 520 nsew signal input
+flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
+port 521 nsew signal input
+flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
+port 522 nsew signal input
+flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
+port 523 nsew signal input
+flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
+port 524 nsew signal input
+flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
+port 525 nsew signal input
+flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
+port 526 nsew signal input
+flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
+port 527 nsew signal input
+flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
+port 528 nsew signal input
+flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
+port 529 nsew signal input
+flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
+port 530 nsew signal input
+flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
+port 531 nsew signal input
+flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
+port 532 nsew signal input
+flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
+port 533 nsew signal input
+flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
+port 534 nsew signal input
+flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
+port 535 nsew signal input
+flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
+port 536 nsew signal input
+flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
+port 537 nsew signal input
+flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
+port 538 nsew signal input
+flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
+port 539 nsew signal input
+flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
+port 540 nsew signal input
+flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
+port 541 nsew signal input
+flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
+port 542 nsew signal input
+flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
+port 543 nsew signal input
+flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
+port 544 nsew signal input
+flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
+port 545 nsew signal input
+flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
+port 546 nsew signal input
+flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
+port 547 nsew signal input
+flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
+port 548 nsew signal input
+flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
+port 549 nsew signal tristate
+flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
+port 550 nsew signal tristate
+flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
+port 551 nsew signal tristate
+flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
+port 552 nsew signal bidirectional
+flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
+port 553 nsew signal bidirectional
+flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
+port 554 nsew signal bidirectional
+flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
+port 555 nsew signal bidirectional
+flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
+port 556 nsew signal bidirectional
+flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
+port 557 nsew signal bidirectional
+flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
+port 558 nsew signal bidirectional
+flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
+port 559 nsew signal bidirectional
+flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
+port 560 nsew signal bidirectional
+flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
+port 561 nsew signal bidirectional
+flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
+port 562 nsew signal bidirectional
+flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
+port 564 nsew signal bidirectional
+flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
+port 565 nsew signal bidirectional
+flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
+port 566 nsew signal bidirectional
+flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
+port 567 nsew signal bidirectional
+flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
+port 568 nsew signal bidirectional
+flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
+port 569 nsew signal bidirectional
+flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
+port 570 nsew signal bidirectional
+flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
+port 571 nsew signal bidirectional
+flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
+port 572 nsew signal input
+flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
+port 573 nsew signal input
+flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
+port 574 nsew signal tristate
+flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
+port 575 nsew signal input
+flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
+port 576 nsew signal input
+flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
+port 577 nsew signal input
+flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
+port 578 nsew signal input
+flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
+port 579 nsew signal input
+flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
+port 580 nsew signal input
+flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
+port 581 nsew signal input
+flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
+port 582 nsew signal input
+flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
+port 583 nsew signal input
+flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
+port 584 nsew signal input
+flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
+port 585 nsew signal input
+flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
+port 586 nsew signal input
+flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
+port 587 nsew signal input
+flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
+port 588 nsew signal input
+flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
+port 589 nsew signal input
+flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
+port 590 nsew signal input
+flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
+port 591 nsew signal input
+flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
+port 592 nsew signal input
+flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
+port 593 nsew signal input
+flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
+port 594 nsew signal input
+flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
+port 595 nsew signal input
+flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
+port 596 nsew signal input
+flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
+port 597 nsew signal input
+flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
+port 598 nsew signal input
+flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
+port 599 nsew signal input
+flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
+port 600 nsew signal input
+flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
+port 601 nsew signal input
+flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
+port 602 nsew signal input
+flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
+port 603 nsew signal input
+flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
+port 604 nsew signal input
+flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
+port 605 nsew signal input
+flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
+port 606 nsew signal input
+flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
+port 607 nsew signal input
+flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
+port 608 nsew signal input
+flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
+port 609 nsew signal input
+flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
+port 610 nsew signal input
+flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
+port 611 nsew signal input
+flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
+port 612 nsew signal input
+flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
+port 613 nsew signal input
+flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
+port 614 nsew signal input
+flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
+port 615 nsew signal input
+flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
+port 616 nsew signal input
+flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
+port 617 nsew signal input
+flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
+port 618 nsew signal input
+flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
+port 619 nsew signal input
+flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
+port 620 nsew signal input
+flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
+port 621 nsew signal input
+flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
+port 622 nsew signal input
+flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
+port 623 nsew signal input
+flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
+port 624 nsew signal input
+flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
+port 625 nsew signal input
+flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
+port 626 nsew signal input
+flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
+port 627 nsew signal input
+flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
+port 628 nsew signal input
+flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
+port 629 nsew signal input
+flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
+port 630 nsew signal input
+flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
+port 631 nsew signal input
+flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
+port 632 nsew signal input
+flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
+port 633 nsew signal input
+flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
+port 634 nsew signal input
+flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
+port 635 nsew signal input
+flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
+port 636 nsew signal input
+flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
+port 637 nsew signal input
+flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
+port 638 nsew signal input
+flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
+port 639 nsew signal input
+flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
+port 640 nsew signal tristate
+flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
+port 641 nsew signal tristate
+flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
+port 642 nsew signal tristate
+flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
+port 643 nsew signal tristate
+flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
+port 644 nsew signal tristate
+flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
+port 645 nsew signal tristate
+flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
+port 646 nsew signal tristate
+flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
+port 647 nsew signal tristate
+flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
+port 648 nsew signal tristate
+flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
+port 649 nsew signal tristate
+flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
+port 650 nsew signal tristate
+flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
+port 651 nsew signal tristate
+flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
+port 652 nsew signal tristate
+flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
+port 653 nsew signal tristate
+flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
+port 654 nsew signal tristate
+flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
+port 655 nsew signal tristate
+flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
+port 656 nsew signal tristate
+flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
+port 657 nsew signal tristate
+flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
+port 658 nsew signal tristate
+flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
+port 659 nsew signal tristate
+flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
+port 660 nsew signal tristate
+flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
+port 661 nsew signal tristate
+flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
+port 662 nsew signal tristate
+flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
+port 663 nsew signal tristate
+flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
+port 664 nsew signal tristate
+flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
+port 665 nsew signal tristate
+flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
+port 666 nsew signal tristate
+flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
+port 667 nsew signal tristate
+flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
+port 668 nsew signal tristate
+flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
+port 669 nsew signal tristate
+flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
+port 670 nsew signal tristate
+flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
+port 671 nsew signal tristate
+flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
+port 672 nsew signal input
+flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
+port 673 nsew signal input
+flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
+port 674 nsew signal input
+flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
+port 675 nsew signal input
+flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
+port 676 nsew signal input
+flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
+port 677 nsew signal input
+flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
+port 563 nsew signal bidirectional
+<< properties >>
+string FIXED_BBOX 0 0 584000 704000
+<< end >>
diff --git a/mag/user_analog_project_wrapper_Split_Supplies.mag b/mag/user_analog_project_wrapper_Split_Supplies.mag
new file mode 100644
index 0000000..bdb47d9
--- /dev/null
+++ b/mag/user_analog_project_wrapper_Split_Supplies.mag
@@ -0,0 +1,2500 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1647637419
+<< metal1 >>
+rect 34624 510217 34765 510287
+rect 34835 510217 34841 510287
+<< via1 >>
+rect 34765 510217 34835 510287
+<< metal2 >>
+rect 36395 512676 36404 512686
+rect 34654 512636 36404 512676
+rect 36395 512626 36404 512636
+rect 36464 512626 36473 512686
+rect 36169 512516 36178 512526
+rect 34650 512476 36178 512516
+rect 36169 512466 36178 512476
+rect 36238 512466 36247 512526
+rect 34650 512396 35978 512436
+rect 34654 512316 35744 512356
+rect 35938 512349 35978 512396
+rect 35704 512207 35744 512316
+rect 35928 512340 35988 512349
+rect 35928 512271 35988 512280
+rect 35694 512198 35754 512207
+rect 35694 512129 35754 512138
+rect 2486 511530 19754 511642
+rect 1685 506914 1787 506918
+rect 2486 506914 2598 511530
+rect 34765 510287 34835 510293
+rect 34835 510217 35039 510287
+rect 35109 510217 35118 510287
+rect 34765 510211 34835 510217
+rect 18912 509517 19760 509522
+rect 18908 509427 18917 509517
+rect 19007 509427 19760 509517
+rect 18912 509422 19760 509427
+rect 1680 506909 2598 506914
+rect 1680 506807 1685 506909
+rect 1787 506807 2598 506909
+rect 1680 506802 2598 506807
+rect 1685 506798 1787 506802
+rect 1705 505732 1807 505736
+rect 1700 505727 43605 505732
+rect 1700 505625 1705 505727
+rect 1807 505625 43605 505727
+rect 1700 505620 43605 505625
+rect 43717 505620 43726 505732
+rect 1705 505616 1807 505620
+rect 18917 468412 19007 468416
+rect 3243 468407 19012 468412
+rect 3243 468317 18917 468407
+rect 19007 468317 19012 468407
+rect 3243 468312 19012 468317
+rect 1781 463692 1883 463696
+rect 3243 463692 3355 468312
+rect 18917 468308 19007 468312
+rect 1776 463687 3355 463692
+rect 1776 463585 1781 463687
+rect 1883 463585 3355 463687
+rect 1776 463580 3355 463585
+rect 1781 463576 1883 463580
+rect 1815 462510 1917 462514
+rect 1810 462505 43598 462510
+rect 1810 462403 1815 462505
+rect 1917 462403 43598 462505
+rect 1810 462398 43598 462403
+rect 43710 462398 43719 462510
+rect 1815 462394 1917 462398
+rect 3066 425115 3075 425185
+rect 3145 425180 35109 425185
+rect 3145 425120 35044 425180
+rect 35104 425120 35113 425180
+rect 3145 425115 35109 425120
+rect 36406 378398 36462 378405
+rect 2961 378338 2970 378398
+rect 3030 378396 36464 378398
+rect 3030 378340 36406 378396
+rect 36462 378340 36464 378396
+rect 3030 378338 36464 378340
+rect 36406 378331 36462 378338
+rect 2891 376066 2993 376070
+rect 2886 376061 44616 376066
+rect 2886 375959 2891 376061
+rect 2993 375959 44616 376061
+rect 2886 375954 44616 375959
+rect 44728 375954 44737 376066
+rect 2891 375950 2993 375954
+rect 36180 335178 36236 335185
+rect 2901 335118 2910 335178
+rect 2970 335176 36238 335178
+rect 2970 335120 36180 335176
+rect 36236 335120 36238 335176
+rect 2970 335118 36238 335120
+rect 36180 335111 36236 335118
+rect 2769 332844 2871 332848
+rect 2764 332839 44594 332844
+rect 2764 332737 2769 332839
+rect 2871 332737 44594 332839
+rect 2764 332732 44594 332737
+rect 44706 332732 44715 332844
+rect 2769 332728 2871 332732
+rect 3093 291894 3102 291954
+rect 3162 291952 35988 291954
+rect 3162 291896 35930 291952
+rect 35986 291896 35995 291952
+rect 3162 291894 35988 291896
+rect 3141 289622 3243 289626
+rect 3136 289617 44584 289622
+rect 3136 289515 3141 289617
+rect 3243 289515 44584 289617
+rect 3136 289510 44584 289515
+rect 44696 289510 44705 289622
+rect 3141 289506 3243 289510
+rect 35696 248930 35752 248937
+rect 3119 248870 3128 248930
+rect 3188 248928 35754 248930
+rect 3188 248872 35696 248928
+rect 35752 248872 35754 248928
+rect 3188 248870 35754 248872
+rect 35696 248863 35752 248870
+rect 3103 246600 3205 246604
+rect 3098 246595 44578 246600
+rect 3098 246493 3103 246595
+rect 3205 246493 44578 246595
+rect 3098 246488 44578 246493
+rect 44690 246488 44699 246600
+rect 3103 246484 3205 246488
+rect 524 -800 636 480
+rect 1706 -800 1818 480
+rect 2888 -800 3000 480
+rect 4070 -800 4182 480
+rect 5252 -800 5364 480
+rect 6434 -800 6546 480
+rect 7616 -800 7728 480
+rect 8798 -800 8910 480
+rect 9980 -800 10092 480
+rect 11162 -800 11274 480
+rect 12344 -800 12456 480
+rect 13526 -800 13638 480
+rect 14708 -800 14820 480
+rect 15890 -800 16002 480
+rect 17072 -800 17184 480
+rect 18254 -800 18366 480
+rect 19436 -800 19548 480
+rect 20618 -800 20730 480
+rect 21800 -800 21912 480
+rect 22982 -800 23094 480
+rect 24164 -800 24276 480
+rect 25346 -800 25458 480
+rect 26528 -800 26640 480
+rect 27710 -800 27822 480
+rect 28892 -800 29004 480
+rect 30074 -800 30186 480
+rect 31256 -800 31368 480
+rect 32438 -800 32550 480
+rect 33620 -800 33732 480
+rect 34802 -800 34914 480
+rect 35984 -800 36096 480
+rect 37166 -800 37278 480
+rect 38348 -800 38460 480
+rect 39530 -800 39642 480
+rect 40712 -800 40824 480
+rect 41894 -800 42006 480
+rect 43076 -800 43188 480
+rect 44258 -800 44370 480
+rect 45440 -800 45552 480
+rect 46622 -800 46734 480
+rect 47804 -800 47916 480
+rect 48986 -800 49098 480
+rect 50168 -800 50280 480
+rect 51350 -800 51462 480
+rect 52532 -800 52644 480
+rect 53714 -800 53826 480
+rect 54896 -800 55008 480
+rect 56078 -800 56190 480
+rect 57260 -800 57372 480
+rect 58442 -800 58554 480
+rect 59624 -800 59736 480
+rect 60806 -800 60918 480
+rect 61988 -800 62100 480
+rect 63170 -800 63282 480
+rect 64352 -800 64464 480
+rect 65534 -800 65646 480
+rect 66716 -800 66828 480
+rect 67898 -800 68010 480
+rect 69080 -800 69192 480
+rect 70262 -800 70374 480
+rect 71444 -800 71556 480
+rect 72626 -800 72738 480
+rect 73808 -800 73920 480
+rect 74990 -800 75102 480
+rect 76172 -800 76284 480
+rect 77354 -800 77466 480
+rect 78536 -800 78648 480
+rect 79718 -800 79830 480
+rect 80900 -800 81012 480
+rect 82082 -800 82194 480
+rect 83264 -800 83376 480
+rect 84446 -800 84558 480
+rect 85628 -800 85740 480
+rect 86810 -800 86922 480
+rect 87992 -800 88104 480
+rect 89174 -800 89286 480
+rect 90356 -800 90468 480
+rect 91538 -800 91650 480
+rect 92720 -800 92832 480
+rect 93902 -800 94014 480
+rect 95084 -800 95196 480
+rect 96266 -800 96378 480
+rect 97448 -800 97560 480
+rect 98630 -800 98742 480
+rect 99812 -800 99924 480
+rect 100994 -800 101106 480
+rect 102176 -800 102288 480
+rect 103358 -800 103470 480
+rect 104540 -800 104652 480
+rect 105722 -800 105834 480
+rect 106904 -800 107016 480
+rect 108086 -800 108198 480
+rect 109268 -800 109380 480
+rect 110450 -800 110562 480
+rect 111632 -800 111744 480
+rect 112814 -800 112926 480
+rect 113996 -800 114108 480
+rect 115178 -800 115290 480
+rect 116360 -800 116472 480
+rect 117542 -800 117654 480
+rect 118724 -800 118836 480
+rect 119906 -800 120018 480
+rect 121088 -800 121200 480
+rect 122270 -800 122382 480
+rect 123452 -800 123564 480
+rect 124634 -800 124746 480
+rect 125816 -800 125928 480
+rect 126998 -800 127110 480
+rect 128180 -800 128292 480
+rect 129362 -800 129474 480
+rect 130544 -800 130656 480
+rect 131726 -800 131838 480
+rect 132908 -800 133020 480
+rect 134090 -800 134202 480
+rect 135272 -800 135384 480
+rect 136454 -800 136566 480
+rect 137636 -800 137748 480
+rect 138818 -800 138930 480
+rect 140000 -800 140112 480
+rect 141182 -800 141294 480
+rect 142364 -800 142476 480
+rect 143546 -800 143658 480
+rect 144728 -800 144840 480
+rect 145910 -800 146022 480
+rect 147092 -800 147204 480
+rect 148274 -800 148386 480
+rect 149456 -800 149568 480
+rect 150638 -800 150750 480
+rect 151820 -800 151932 480
+rect 153002 -800 153114 480
+rect 154184 -800 154296 480
+rect 155366 -800 155478 480
+rect 156548 -800 156660 480
+rect 157730 -800 157842 480
+rect 158912 -800 159024 480
+rect 160094 -800 160206 480
+rect 161276 -800 161388 480
+rect 162458 -800 162570 480
+rect 163640 -800 163752 480
+rect 164822 -800 164934 480
+rect 166004 -800 166116 480
+rect 167186 -800 167298 480
+rect 168368 -800 168480 480
+rect 169550 -800 169662 480
+rect 170732 -800 170844 480
+rect 171914 -800 172026 480
+rect 173096 -800 173208 480
+rect 174278 -800 174390 480
+rect 175460 -800 175572 480
+rect 176642 -800 176754 480
+rect 177824 -800 177936 480
+rect 179006 -800 179118 480
+rect 180188 -800 180300 480
+rect 181370 -800 181482 480
+rect 182552 -800 182664 480
+rect 183734 -800 183846 480
+rect 184916 -800 185028 480
+rect 186098 -800 186210 480
+rect 187280 -800 187392 480
+rect 188462 -800 188574 480
+rect 189644 -800 189756 480
+rect 190826 -800 190938 480
+rect 192008 -800 192120 480
+rect 193190 -800 193302 480
+rect 194372 -800 194484 480
+rect 195554 -800 195666 480
+rect 196736 -800 196848 480
+rect 197918 -800 198030 480
+rect 199100 -800 199212 480
+rect 200282 -800 200394 480
+rect 201464 -800 201576 480
+rect 202646 -800 202758 480
+rect 203828 -800 203940 480
+rect 205010 -800 205122 480
+rect 206192 -800 206304 480
+rect 207374 -800 207486 480
+rect 208556 -800 208668 480
+rect 209738 -800 209850 480
+rect 210920 -800 211032 480
+rect 212102 -800 212214 480
+rect 213284 -800 213396 480
+rect 214466 -800 214578 480
+rect 215648 -800 215760 480
+rect 216830 -800 216942 480
+rect 218012 -800 218124 480
+rect 219194 -800 219306 480
+rect 220376 -800 220488 480
+rect 221558 -800 221670 480
+rect 222740 -800 222852 480
+rect 223922 -800 224034 480
+rect 225104 -800 225216 480
+rect 226286 -800 226398 480
+rect 227468 -800 227580 480
+rect 228650 -800 228762 480
+rect 229832 -800 229944 480
+rect 231014 -800 231126 480
+rect 232196 -800 232308 480
+rect 233378 -800 233490 480
+rect 234560 -800 234672 480
+rect 235742 -800 235854 480
+rect 236924 -800 237036 480
+rect 238106 -800 238218 480
+rect 239288 -800 239400 480
+rect 240470 -800 240582 480
+rect 241652 -800 241764 480
+rect 242834 -800 242946 480
+rect 244016 -800 244128 480
+rect 245198 -800 245310 480
+rect 246380 -800 246492 480
+rect 247562 -800 247674 480
+rect 248744 -800 248856 480
+rect 249926 -800 250038 480
+rect 251108 -800 251220 480
+rect 252290 -800 252402 480
+rect 253472 -800 253584 480
+rect 254654 -800 254766 480
+rect 255836 -800 255948 480
+rect 257018 -800 257130 480
+rect 258200 -800 258312 480
+rect 259382 -800 259494 480
+rect 260564 -800 260676 480
+rect 261746 -800 261858 480
+rect 262928 -800 263040 480
+rect 264110 -800 264222 480
+rect 265292 -800 265404 480
+rect 266474 -800 266586 480
+rect 267656 -800 267768 480
+rect 268838 -800 268950 480
+rect 270020 -800 270132 480
+rect 271202 -800 271314 480
+rect 272384 -800 272496 480
+rect 273566 -800 273678 480
+rect 274748 -800 274860 480
+rect 275930 -800 276042 480
+rect 277112 -800 277224 480
+rect 278294 -800 278406 480
+rect 279476 -800 279588 480
+rect 280658 -800 280770 480
+rect 281840 -800 281952 480
+rect 283022 -800 283134 480
+rect 284204 -800 284316 480
+rect 285386 -800 285498 480
+rect 286568 -800 286680 480
+rect 287750 -800 287862 480
+rect 288932 -800 289044 480
+rect 290114 -800 290226 480
+rect 291296 -800 291408 480
+rect 292478 -800 292590 480
+rect 293660 -800 293772 480
+rect 294842 -800 294954 480
+rect 296024 -800 296136 480
+rect 297206 -800 297318 480
+rect 298388 -800 298500 480
+rect 299570 -800 299682 480
+rect 300752 -800 300864 480
+rect 301934 -800 302046 480
+rect 303116 -800 303228 480
+rect 304298 -800 304410 480
+rect 305480 -800 305592 480
+rect 306662 -800 306774 480
+rect 307844 -800 307956 480
+rect 309026 -800 309138 480
+rect 310208 -800 310320 480
+rect 311390 -800 311502 480
+rect 312572 -800 312684 480
+rect 313754 -800 313866 480
+rect 314936 -800 315048 480
+rect 316118 -800 316230 480
+rect 317300 -800 317412 480
+rect 318482 -800 318594 480
+rect 319664 -800 319776 480
+rect 320846 -800 320958 480
+rect 322028 -800 322140 480
+rect 323210 -800 323322 480
+rect 324392 -800 324504 480
+rect 325574 -800 325686 480
+rect 326756 -800 326868 480
+rect 327938 -800 328050 480
+rect 329120 -800 329232 480
+rect 330302 -800 330414 480
+rect 331484 -800 331596 480
+rect 332666 -800 332778 480
+rect 333848 -800 333960 480
+rect 335030 -800 335142 480
+rect 336212 -800 336324 480
+rect 337394 -800 337506 480
+rect 338576 -800 338688 480
+rect 339758 -800 339870 480
+rect 340940 -800 341052 480
+rect 342122 -800 342234 480
+rect 343304 -800 343416 480
+rect 344486 -800 344598 480
+rect 345668 -800 345780 480
+rect 346850 -800 346962 480
+rect 348032 -800 348144 480
+rect 349214 -800 349326 480
+rect 350396 -800 350508 480
+rect 351578 -800 351690 480
+rect 352760 -800 352872 480
+rect 353942 -800 354054 480
+rect 355124 -800 355236 480
+rect 356306 -800 356418 480
+rect 357488 -800 357600 480
+rect 358670 -800 358782 480
+rect 359852 -800 359964 480
+rect 361034 -800 361146 480
+rect 362216 -800 362328 480
+rect 363398 -800 363510 480
+rect 364580 -800 364692 480
+rect 365762 -800 365874 480
+rect 366944 -800 367056 480
+rect 368126 -800 368238 480
+rect 369308 -800 369420 480
+rect 370490 -800 370602 480
+rect 371672 -800 371784 480
+rect 372854 -800 372966 480
+rect 374036 -800 374148 480
+rect 375218 -800 375330 480
+rect 376400 -800 376512 480
+rect 377582 -800 377694 480
+rect 378764 -800 378876 480
+rect 379946 -800 380058 480
+rect 381128 -800 381240 480
+rect 382310 -800 382422 480
+rect 383492 -800 383604 480
+rect 384674 -800 384786 480
+rect 385856 -800 385968 480
+rect 387038 -800 387150 480
+rect 388220 -800 388332 480
+rect 389402 -800 389514 480
+rect 390584 -800 390696 480
+rect 391766 -800 391878 480
+rect 392948 -800 393060 480
+rect 394130 -800 394242 480
+rect 395312 -800 395424 480
+rect 396494 -800 396606 480
+rect 397676 -800 397788 480
+rect 398858 -800 398970 480
+rect 400040 -800 400152 480
+rect 401222 -800 401334 480
+rect 402404 -800 402516 480
+rect 403586 -800 403698 480
+rect 404768 -800 404880 480
+rect 405950 -800 406062 480
+rect 407132 -800 407244 480
+rect 408314 -800 408426 480
+rect 409496 -800 409608 480
+rect 410678 -800 410790 480
+rect 411860 -800 411972 480
+rect 413042 -800 413154 480
+rect 414224 -800 414336 480
+rect 415406 -800 415518 480
+rect 416588 -800 416700 480
+rect 417770 -800 417882 480
+rect 418952 -800 419064 480
+rect 420134 -800 420246 480
+rect 421316 -800 421428 480
+rect 422498 -800 422610 480
+rect 423680 -800 423792 480
+rect 424862 -800 424974 480
+rect 426044 -800 426156 480
+rect 427226 -800 427338 480
+rect 428408 -800 428520 480
+rect 429590 -800 429702 480
+rect 430772 -800 430884 480
+rect 431954 -800 432066 480
+rect 433136 -800 433248 480
+rect 434318 -800 434430 480
+rect 435500 -800 435612 480
+rect 436682 -800 436794 480
+rect 437864 -800 437976 480
+rect 439046 -800 439158 480
+rect 440228 -800 440340 480
+rect 441410 -800 441522 480
+rect 442592 -800 442704 480
+rect 443774 -800 443886 480
+rect 444956 -800 445068 480
+rect 446138 -800 446250 480
+rect 447320 -800 447432 480
+rect 448502 -800 448614 480
+rect 449684 -800 449796 480
+rect 450866 -800 450978 480
+rect 452048 -800 452160 480
+rect 453230 -800 453342 480
+rect 454412 -800 454524 480
+rect 455594 -800 455706 480
+rect 456776 -800 456888 480
+rect 457958 -800 458070 480
+rect 459140 -800 459252 480
+rect 460322 -800 460434 480
+rect 461504 -800 461616 480
+rect 462686 -800 462798 480
+rect 463868 -800 463980 480
+rect 465050 -800 465162 480
+rect 466232 -800 466344 480
+rect 467414 -800 467526 480
+rect 468596 -800 468708 480
+rect 469778 -800 469890 480
+rect 470960 -800 471072 480
+rect 472142 -800 472254 480
+rect 473324 -800 473436 480
+rect 474506 -800 474618 480
+rect 475688 -800 475800 480
+rect 476870 -800 476982 480
+rect 478052 -800 478164 480
+rect 479234 -800 479346 480
+rect 480416 -800 480528 480
+rect 481598 -800 481710 480
+rect 482780 -800 482892 480
+rect 483962 -800 484074 480
+rect 485144 -800 485256 480
+rect 486326 -800 486438 480
+rect 487508 -800 487620 480
+rect 488690 -800 488802 480
+rect 489872 -800 489984 480
+rect 491054 -800 491166 480
+rect 492236 -800 492348 480
+rect 493418 -800 493530 480
+rect 494600 -800 494712 480
+rect 495782 -800 495894 480
+rect 496964 -800 497076 480
+rect 498146 -800 498258 480
+rect 499328 -800 499440 480
+rect 500510 -800 500622 480
+rect 501692 -800 501804 480
+rect 502874 -800 502986 480
+rect 504056 -800 504168 480
+rect 505238 -800 505350 480
+rect 506420 -800 506532 480
+rect 507602 -800 507714 480
+rect 508784 -800 508896 480
+rect 509966 -800 510078 480
+rect 511148 -800 511260 480
+rect 512330 -800 512442 480
+rect 513512 -800 513624 480
+rect 514694 -800 514806 480
+rect 515876 -800 515988 480
+rect 517058 -800 517170 480
+rect 518240 -800 518352 480
+rect 519422 -800 519534 480
+rect 520604 -800 520716 480
+rect 521786 -800 521898 480
+rect 522968 -800 523080 480
+rect 524150 -800 524262 480
+rect 525332 -800 525444 480
+rect 526514 -800 526626 480
+rect 527696 -800 527808 480
+rect 528878 -800 528990 480
+rect 530060 -800 530172 480
+rect 531242 -800 531354 480
+rect 532424 -800 532536 480
+rect 533606 -800 533718 480
+rect 534788 -800 534900 480
+rect 535970 -800 536082 480
+rect 537152 -800 537264 480
+rect 538334 -800 538446 480
+rect 539516 -800 539628 480
+rect 540698 -800 540810 480
+rect 541880 -800 541992 480
+rect 543062 -800 543174 480
+rect 544244 -800 544356 480
+rect 545426 -800 545538 480
+rect 546608 -800 546720 480
+rect 547790 -800 547902 480
+rect 548972 -800 549084 480
+rect 550154 -800 550266 480
+rect 551336 -800 551448 480
+rect 552518 -800 552630 480
+rect 553700 -800 553812 480
+rect 554882 -800 554994 480
+rect 556064 -800 556176 480
+rect 557246 -800 557358 480
+rect 558428 -800 558540 480
+rect 559610 -800 559722 480
+rect 560792 -800 560904 480
+rect 561974 -800 562086 480
+rect 563156 -800 563268 480
+rect 564338 -800 564450 480
+rect 565520 -800 565632 480
+rect 566702 -800 566814 480
+rect 567884 -800 567996 480
+rect 569066 -800 569178 480
+rect 570248 -800 570360 480
+rect 571430 -800 571542 480
+rect 572612 -800 572724 480
+rect 573794 -800 573906 480
+rect 574976 -800 575088 480
+rect 576158 -800 576270 480
+rect 577340 -800 577452 480
+rect 578522 -800 578634 480
+rect 579704 -800 579816 480
+rect 580886 -800 580998 480
+rect 582068 -800 582180 480
+rect 583250 -800 583362 480
+<< via2 >>
+rect 36404 512626 36464 512686
+rect 36178 512466 36238 512526
+rect 35928 512280 35988 512340
+rect 35694 512138 35754 512198
+rect 35039 510217 35109 510287
+rect 18917 509427 19007 509517
+rect 1685 506807 1787 506909
+rect 1705 505625 1807 505727
+rect 43605 505620 43717 505732
+rect 18917 468317 19007 468407
+rect 1781 463585 1883 463687
+rect 1815 462403 1917 462505
+rect 43598 462398 43710 462510
+rect 3075 425115 3145 425185
+rect 35044 425120 35104 425180
+rect 2970 378338 3030 378398
+rect 36406 378340 36462 378396
+rect 2891 375959 2993 376061
+rect 44616 375954 44728 376066
+rect 2910 335118 2970 335178
+rect 36180 335120 36236 335176
+rect 2769 332737 2871 332839
+rect 44594 332732 44706 332844
+rect 3102 291894 3162 291954
+rect 35930 291896 35986 291952
+rect 3141 289515 3243 289617
+rect 44584 289510 44696 289622
+rect 3128 248870 3188 248930
+rect 35696 248872 35752 248928
+rect 3103 246493 3205 246595
+rect 44578 246488 44690 246600
+<< metal3 >>
+rect 16194 702300 21194 704800
+rect 68194 702300 73194 704800
+rect 120194 702300 125194 704800
+rect 165594 702300 170594 704800
+rect 170894 702300 173094 704800
+rect 173394 702300 175594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 222594 702300 224794 704800
+rect 225094 702300 227294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 324294 702300 326494 704800
+rect 326794 702300 328994 704800
+rect 329294 702300 334294 704800
+rect 413394 702300 418394 704800
+rect 465394 702300 470394 704800
+rect -800 680242 1700 685242
+rect 510594 676686 515394 704800
+rect 81134 675221 84248 675227
+rect -800 643842 1660 648642
+rect -800 633842 1660 638642
+rect -800 559442 1660 564242
+rect 43472 554961 43478 555307
+rect 30252 554460 30600 554466
+rect -800 549442 1660 554242
+rect 19562 554035 19908 554041
+rect 19562 513394 19908 549799
+rect 23700 554025 24046 554031
+rect 19998 534729 20004 535075
+rect 20350 534729 20356 535075
+rect -800 511530 1236 511642
+rect -800 510348 480 510460
+rect 19712 509794 19880 513394
+rect 20004 513122 20350 528679
+rect 23700 513394 24046 549887
+rect 24110 535041 24456 535047
+rect 18912 509517 19012 509522
+rect 18912 509427 18917 509517
+rect 19007 509427 19012 509517
+rect -800 509166 480 509278
+rect -800 507984 480 508096
+rect -800 506909 1792 506914
+rect -800 506807 1685 506909
+rect 1787 506807 1792 506909
+rect -800 506802 1792 506807
+rect -800 505620 1347 505732
+rect 1403 505727 1812 505732
+rect 1403 505625 1705 505727
+rect 1807 505625 1812 505727
+rect 1403 505620 1812 505625
+rect -800 468308 1923 468420
+rect 18912 468407 19012 509427
+rect 20018 509100 20186 513122
+rect 23872 509794 24040 513394
+rect 24110 513122 24456 528799
+rect 30252 513360 30600 549550
+rect 34030 554219 34400 554225
+rect 24118 509100 24286 513122
+rect 30432 510078 30600 513360
+rect 30674 534955 31020 534961
+rect 30674 513078 31020 529251
+rect 34030 513396 34400 549657
+rect 43824 554961 43830 555307
+rect 81134 553720 84248 672107
+rect 510594 671880 515394 671886
+rect 520594 676686 525394 704800
+rect 566594 702300 571594 704800
+rect 582300 677984 584800 682984
+rect 520594 671880 525394 671886
+rect 556464 658524 561264 658530
+rect 547564 658198 552364 658204
+rect 90730 657063 93844 657069
+rect 34472 534501 34478 534847
+rect 30712 509272 30880 513078
+rect 34232 510064 34400 513396
+rect 34824 534501 34830 534847
+rect 34478 513120 34824 529317
+rect 34494 509264 34662 513120
+rect 36399 512686 36469 512691
+rect 36399 512626 36404 512686
+rect 36464 512626 36469 512686
+rect 36399 512621 36469 512626
+rect 36173 512526 36243 512531
+rect 36173 512466 36178 512526
+rect 36238 512466 36243 512526
+rect 36173 512461 36243 512466
+rect 35923 512340 35993 512345
+rect 35923 512280 35928 512340
+rect 35988 512280 35993 512340
+rect 35923 512275 35993 512280
+rect 35689 512198 35759 512203
+rect 35689 512138 35694 512198
+rect 35754 512138 35759 512198
+rect 35689 512133 35759 512138
+rect 35034 510287 35114 510292
+rect 35034 510217 35039 510287
+rect 35109 510217 35114 510287
+rect 35034 510212 35114 510217
+rect 18912 468317 18917 468407
+rect 19007 468317 19012 468407
+rect 18912 468312 19012 468317
+rect -800 467126 480 467238
+rect -800 465944 480 466056
+rect -800 464762 480 464874
+rect -800 463687 1888 463692
+rect -800 463585 1781 463687
+rect 1883 463585 1888 463687
+rect -800 463580 1888 463585
+rect -800 462398 1453 462510
+rect 1509 462505 1922 462510
+rect 1509 462403 1815 462505
+rect 1917 462403 1922 462505
+rect 1509 462398 1922 462403
+rect -800 425185 2480 425198
+rect 3070 425185 3150 425190
+rect -800 425115 3075 425185
+rect 3145 425115 3150 425185
+rect 35039 425180 35109 510212
+rect 35039 425120 35044 425180
+rect 35104 425120 35109 425180
+rect 35039 425115 35109 425120
+rect -800 425086 2480 425115
+rect 3070 425110 3150 425115
+rect -800 423904 480 424016
+rect -800 422722 480 422834
+rect -800 421540 480 421652
+rect -800 420358 480 420470
+rect -800 419176 480 419288
+rect -800 381864 480 381976
+rect -800 380682 480 380794
+rect -800 379500 480 379612
+rect -800 378398 2480 378430
+rect 2965 378398 3035 378403
+rect -800 378338 2970 378398
+rect 3030 378338 3035 378398
+rect -800 378318 2480 378338
+rect 2965 378333 3035 378338
+rect -800 377136 480 377248
+rect -800 375954 1892 376066
+rect 1948 376061 2998 376066
+rect 1948 375959 2891 376061
+rect 2993 375959 2998 376061
+rect 1948 375954 2998 375959
+rect -800 338642 480 338754
+rect -800 337460 480 337572
+rect -800 336278 480 336390
+rect -800 335178 2480 335208
+rect 2905 335178 2975 335183
+rect -800 335118 2910 335178
+rect 2970 335118 2975 335178
+rect -800 335096 2480 335118
+rect 2905 335113 2975 335118
+rect -800 333914 480 334026
+rect -800 332732 1978 332844
+rect 2034 332839 2876 332844
+rect 2034 332737 2769 332839
+rect 2871 332737 2876 332839
+rect 2034 332732 2876 332737
+rect -800 295420 480 295532
+rect -800 294238 480 294350
+rect -800 293056 480 293168
+rect -800 291954 2480 291986
+rect 3097 291954 3167 291959
+rect -800 291894 3102 291954
+rect 3162 291894 3167 291954
+rect -800 291874 2480 291894
+rect 3097 291889 3167 291894
+rect -800 290692 480 290804
+rect -800 289510 2504 289622
+rect 2560 289617 3248 289622
+rect 2560 289515 3141 289617
+rect 3243 289515 3248 289617
+rect 2560 289510 3248 289515
+rect -800 252398 480 252510
+rect -800 251216 480 251328
+rect -800 250034 480 250146
+rect -800 248930 2480 248964
+rect 3123 248930 3193 248935
+rect 35694 248933 35754 512133
+rect 35928 291957 35988 512275
+rect 36178 335181 36238 512461
+rect 36404 378401 36464 512621
+rect 43478 505732 43824 549777
+rect 80712 553262 84938 553720
+rect 80712 550148 81134 553262
+rect 84248 550148 84938 553262
+rect 80712 549724 84938 550148
+rect 44472 534501 44478 534847
+rect 43478 505620 43605 505732
+rect 43717 505620 43824 505732
+rect 43478 462510 43824 505620
+rect 43478 462398 43598 462510
+rect 43710 462398 43824 462510
+rect 43478 462136 43824 462398
+rect 44824 534501 44830 534847
+rect 90730 533778 93844 653949
+rect 547564 634584 552364 653398
+rect 556464 644584 561264 653724
+rect 556464 639784 584800 644584
+rect 547564 629784 584800 634584
+rect 583520 589472 584800 589584
+rect 583520 588290 584800 588402
+rect 583520 587108 584800 587220
+rect 583520 585926 584800 586038
+rect 583520 584744 584800 584856
+rect 583520 583562 584800 583674
+rect 582340 550562 584800 555362
+rect 582340 540562 584800 545362
+rect 90152 533488 94378 533778
+rect 90152 530374 90730 533488
+rect 93844 530374 94378 533488
+rect 90152 529782 94378 530374
+rect 36401 378396 36467 378401
+rect 36401 378340 36406 378396
+rect 36462 378340 36467 378396
+rect 36401 378335 36467 378340
+rect 44478 376066 44824 529317
+rect 583520 500050 584800 500162
+rect 583520 498868 584800 498980
+rect 583520 497686 584800 497798
+rect 583520 496504 584800 496616
+rect 583520 495322 584800 495434
+rect 583520 494140 584800 494252
+rect 583520 455628 584800 455740
+rect 583520 454446 584800 454558
+rect 583520 453264 584800 453376
+rect 583520 452082 584800 452194
+rect 583520 450900 584800 451012
+rect 583520 449718 584800 449830
+rect 583520 411206 584800 411318
+rect 583520 410024 584800 410136
+rect 583520 408842 584800 408954
+rect 583520 407660 584800 407772
+rect 583520 406478 584800 406590
+rect 583520 405296 584800 405408
+rect 44478 375954 44616 376066
+rect 44728 375954 44824 376066
+rect 36175 335176 36241 335181
+rect 36175 335120 36180 335176
+rect 36236 335120 36241 335176
+rect 36175 335115 36241 335120
+rect 44478 332844 44824 375954
+rect 583520 364784 584800 364896
+rect 583520 363602 584800 363714
+rect 583520 362420 584800 362532
+rect 583520 361238 584800 361350
+rect 583520 360056 584800 360168
+rect 583520 358874 584800 358986
+rect 44478 332732 44594 332844
+rect 44706 332732 44824 332844
+rect 35925 291952 35991 291957
+rect 35925 291896 35930 291952
+rect 35986 291896 35991 291952
+rect 35925 291891 35991 291896
+rect 44478 289622 44824 332732
+rect 583520 319562 584800 319674
+rect 583520 318380 584800 318492
+rect 583520 317198 584800 317310
+rect 583520 316016 584800 316128
+rect 583520 314834 584800 314946
+rect 583520 313652 584800 313764
+rect 44478 289510 44584 289622
+rect 44696 289510 44824 289622
+rect -800 248870 3128 248930
+rect 3188 248870 3193 248930
+rect -800 248852 2480 248870
+rect 3123 248865 3193 248870
+rect 35691 248928 35757 248933
+rect 35691 248872 35696 248928
+rect 35752 248872 35757 248928
+rect 35691 248867 35757 248872
+rect -800 247670 480 247782
+rect 44478 246600 44824 289510
+rect 583520 275140 584800 275252
+rect 583520 273958 584800 274070
+rect 583520 272776 584800 272888
+rect 583520 271594 584800 271706
+rect 583520 270412 584800 270524
+rect 583520 269230 584800 269342
+rect -800 246488 2660 246600
+rect 2716 246595 3210 246600
+rect 2716 246493 3103 246595
+rect 3205 246493 3210 246595
+rect 2716 246488 3210 246493
+rect 44478 246488 44578 246600
+rect 44690 246488 44824 246600
+rect 44478 241883 44824 246488
+rect 582340 235230 584800 240030
+rect 582340 225230 584800 230030
+rect -800 214888 1660 219688
+rect -800 204888 1660 209688
+rect 582340 191430 584800 196230
+rect 582340 181430 584800 186230
+rect -800 172888 1660 177688
+rect -800 162888 1660 167688
+rect 582340 146830 584800 151630
+rect 582340 136830 584800 141630
+rect -800 124776 480 124888
+rect -800 123594 480 123706
+rect -800 122412 480 122524
+rect -800 121230 480 121342
+rect -800 120048 480 120160
+rect -800 118866 480 118978
+rect 583520 95118 584800 95230
+rect 583520 93936 584800 94048
+rect 583520 92754 584800 92866
+rect 583520 91572 584800 91684
+rect -800 81554 480 81666
+rect -800 80372 480 80484
+rect -800 79190 480 79302
+rect -800 78008 480 78120
+rect -800 76826 480 76938
+rect -800 75644 480 75756
+rect 583520 50460 584800 50572
+rect 583520 49278 584800 49390
+rect 583520 48096 584800 48208
+rect 583520 46914 584800 47026
+rect -800 38332 480 38444
+rect -800 37150 480 37262
+rect -800 35968 480 36080
+rect -800 34786 480 34898
+rect -800 33604 480 33716
+rect -800 32422 480 32534
+rect 583520 24002 584800 24114
+rect 583520 22820 584800 22932
+rect 583520 21638 584800 21750
+rect 583520 20456 584800 20568
+rect 583520 19274 584800 19386
+rect 583520 18092 584800 18204
+rect -800 16910 480 17022
+rect 583520 16910 584800 17022
+rect -800 15728 480 15840
+rect 583520 15728 584800 15840
+rect -800 14546 480 14658
+rect 583520 14546 584800 14658
+rect -800 13364 480 13476
+rect 583520 13364 584800 13476
+rect -800 12182 480 12294
+rect 583520 12182 584800 12294
+rect -800 11000 480 11112
+rect 583520 11000 584800 11112
+rect -800 9818 480 9930
+rect 583520 9818 584800 9930
+rect -800 8636 480 8748
+rect 583520 8636 584800 8748
+rect -800 7454 480 7566
+rect 583520 7454 584800 7566
+rect -800 6272 480 6384
+rect 583520 6272 584800 6384
+rect -800 5090 480 5202
+rect 583520 5090 584800 5202
+rect -800 3908 480 4020
+rect 583520 3908 584800 4020
+rect -800 2726 480 2838
+rect 583520 2726 584800 2838
+rect -800 1544 480 1656
+rect 583520 1544 584800 1656
+<< rmetal3 >>
+rect 1347 505620 1403 505732
+rect 1453 462398 1509 462510
+rect 1892 375954 1948 376066
+rect 1978 332732 2034 332844
+rect 2504 289510 2560 289622
+rect 2660 246488 2716 246600
+<< via3 >>
+rect 81134 672107 84248 675221
+rect 19562 549799 19908 554035
+rect 23700 549887 24046 554025
+rect 20004 528679 20350 535075
+rect 30252 549550 30600 554460
+rect 24110 528799 24456 535041
+rect 34030 549657 34400 554219
+rect 30674 529251 31020 534955
+rect 43478 549777 43824 555307
+rect 510594 671886 515394 676686
+rect 520594 671886 525394 676686
+rect 90730 653949 93844 657063
+rect 34478 529317 34824 534847
+rect 81134 550148 84248 553262
+rect 44478 529317 44824 534847
+rect 547564 653398 552364 658198
+rect 556464 653724 561264 658524
+rect 90730 530374 93844 533488
+<< metal4 >>
+rect 165594 702300 170594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 329294 702300 334294 704800
+rect 44232 676686 529086 678872
+rect 44232 675221 510594 676686
+rect 44232 672107 81134 675221
+rect 84248 672107 510594 675221
+rect 44232 671886 510594 672107
+rect 515394 671886 520594 676686
+rect 525394 671886 529086 676686
+rect 44232 669948 529086 671886
+rect 51894 658524 569258 660668
+rect 51894 658198 556464 658524
+rect 51894 657063 547564 658198
+rect 51894 653949 90730 657063
+rect 93844 653949 547564 657063
+rect 51894 653398 547564 653949
+rect 552364 653724 556464 658198
+rect 561264 653724 569258 658524
+rect 552364 653398 569258 653724
+rect 51894 651744 569258 653398
+rect 11894 555307 101738 556668
+rect 11894 554460 43478 555307
+rect 11894 554035 30252 554460
+rect 11894 549799 19562 554035
+rect 19908 554025 30252 554035
+rect 19908 549887 23700 554025
+rect 24046 549887 30252 554025
+rect 19908 549799 30252 549887
+rect 11894 549550 30252 549799
+rect 30600 554219 43478 554460
+rect 30600 549657 34030 554219
+rect 34400 549777 43478 554219
+rect 43824 553262 101738 555307
+rect 43824 550148 81134 553262
+rect 84248 550148 101738 553262
+rect 43824 549777 101738 550148
+rect 34400 549657 101738 549777
+rect 30600 549550 101738 549657
+rect 11894 547744 101738 549550
+rect 11894 535075 101738 536668
+rect 11894 528679 20004 535075
+rect 20350 535041 101738 535075
+rect 20350 528799 24110 535041
+rect 24456 534955 101738 535041
+rect 24456 529251 30674 534955
+rect 31020 534847 101738 534955
+rect 31020 529317 34478 534847
+rect 34824 529317 44478 534847
+rect 44824 533488 101738 534847
+rect 44824 530374 90730 533488
+rect 93844 530374 101738 533488
+rect 44824 529317 101738 530374
+rect 31020 529251 101738 529317
+rect 24456 528799 101738 529251
+rect 20350 528679 101738 528799
+rect 11894 527744 101738 528679
+<< metal5 >>
+rect 165594 702300 170594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 329294 702300 334294 704800
+<< comment >>
+rect -100 704000 584100 704100
+rect -100 0 0 704000
+rect 584000 0 584100 704000
+rect -100 -100 584100 0
+use vco_with_fdivs  vco_with_fdivs_0 ~/Desktop/GitSandboxes/caravel_user_project_analog_vco/mag/3-stage_cs-vco_dp9
+timestamp 1647637419
+transform -1 0 32535 0 1 510678
+box -2159 -1770 12877 2867
+<< labels >>
+flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
+port 0 nsew signal bidirectional
+flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
+port 1 nsew signal bidirectional
+flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
+port 2 nsew signal bidirectional
+flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
+port 3 nsew signal bidirectional
+flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
+port 4 nsew signal bidirectional
+flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
+port 5 nsew signal bidirectional
+flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
+port 6 nsew signal bidirectional
+flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
+port 7 nsew signal bidirectional
+flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
+port 8 nsew signal bidirectional
+flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
+port 9 nsew signal bidirectional
+flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
+port 10 nsew signal bidirectional
+flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
+port 11 nsew signal bidirectional
+flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
+port 12 nsew signal bidirectional
+flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
+port 13 nsew signal bidirectional
+flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
+port 14 nsew signal bidirectional
+flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
+port 15 nsew signal bidirectional
+flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
+port 16 nsew signal bidirectional
+flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
+port 17 nsew signal bidirectional
+flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
+port 18 nsew signal bidirectional
+flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
+port 19 nsew signal bidirectional
+flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
+port 20 nsew signal bidirectional
+flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
+port 21 nsew signal bidirectional
+flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
+port 22 nsew signal bidirectional
+flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
+port 23 nsew signal bidirectional
+flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
+port 24 nsew signal bidirectional
+flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
+port 25 nsew signal bidirectional
+flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
+port 26 nsew signal bidirectional
+flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
+port 27 nsew signal bidirectional
+flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
+port 28 nsew signal bidirectional
+flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
+port 29 nsew signal bidirectional
+flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
+port 30 nsew signal bidirectional
+flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
+port 31 nsew signal bidirectional
+flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
+port 32 nsew signal bidirectional
+flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
+port 33 nsew signal bidirectional
+flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
+port 34 nsew signal bidirectional
+flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
+port 35 nsew signal bidirectional
+flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
+port 36 nsew signal bidirectional
+flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
+port 37 nsew signal bidirectional
+flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
+port 38 nsew signal bidirectional
+flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
+port 39 nsew signal bidirectional
+flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3]
+port 40 nsew signal bidirectional
+flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal4 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal5 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal4 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal5 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal4 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal5 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
+port 44 nsew signal bidirectional
+flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
+port 45 nsew signal bidirectional
+flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
+port 46 nsew signal bidirectional
+flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal4 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal5 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal4 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal4 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal5 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
+port 50 nsew signal bidirectional
+flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
+port 51 nsew signal bidirectional
+flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
+port 52 nsew signal bidirectional
+flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
+port 53 nsew signal bidirectional
+flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
+port 54 nsew signal bidirectional
+flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
+port 55 nsew signal bidirectional
+flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
+port 56 nsew signal input
+flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
+port 57 nsew signal input
+flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
+port 58 nsew signal input
+flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
+port 59 nsew signal input
+flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
+port 60 nsew signal input
+flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
+port 61 nsew signal input
+flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
+port 62 nsew signal input
+flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
+port 63 nsew signal input
+flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
+port 64 nsew signal input
+flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
+port 65 nsew signal input
+flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
+port 66 nsew signal input
+flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
+port 67 nsew signal input
+flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
+port 68 nsew signal input
+flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
+port 69 nsew signal input
+flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
+port 70 nsew signal input
+flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
+port 71 nsew signal input
+flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
+port 72 nsew signal input
+flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
+port 73 nsew signal input
+flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
+port 74 nsew signal input
+flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
+port 75 nsew signal input
+flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
+port 76 nsew signal input
+flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
+port 77 nsew signal input
+flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
+port 78 nsew signal input
+flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
+port 79 nsew signal input
+flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
+port 80 nsew signal input
+flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
+port 81 nsew signal input
+flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
+port 82 nsew signal input
+flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
+port 83 nsew signal input
+flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
+port 84 nsew signal input
+flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
+port 85 nsew signal input
+flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
+port 86 nsew signal input
+flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
+port 87 nsew signal input
+flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
+port 88 nsew signal input
+flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
+port 89 nsew signal input
+flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
+port 90 nsew signal input
+flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
+port 91 nsew signal input
+flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
+port 92 nsew signal input
+flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
+port 93 nsew signal input
+flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
+port 94 nsew signal input
+flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
+port 95 nsew signal input
+flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
+port 96 nsew signal input
+flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
+port 97 nsew signal input
+flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
+port 98 nsew signal input
+flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
+port 99 nsew signal input
+flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
+port 100 nsew signal input
+flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
+port 101 nsew signal input
+flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
+port 102 nsew signal input
+flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
+port 103 nsew signal input
+flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
+port 104 nsew signal input
+flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
+port 105 nsew signal input
+flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
+port 106 nsew signal input
+flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
+port 107 nsew signal input
+flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
+port 108 nsew signal input
+flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
+port 109 nsew signal input
+flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
+port 110 nsew signal tristate
+flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
+port 111 nsew signal tristate
+flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
+port 112 nsew signal tristate
+flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
+port 113 nsew signal tristate
+flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
+port 114 nsew signal tristate
+flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
+port 115 nsew signal tristate
+flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
+port 116 nsew signal tristate
+flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
+port 117 nsew signal tristate
+flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
+port 118 nsew signal tristate
+flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
+port 119 nsew signal tristate
+flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
+port 120 nsew signal tristate
+flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
+port 121 nsew signal tristate
+flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
+port 122 nsew signal tristate
+flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
+port 123 nsew signal tristate
+flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
+port 124 nsew signal tristate
+flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
+port 125 nsew signal tristate
+flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
+port 126 nsew signal tristate
+flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
+port 127 nsew signal tristate
+flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
+port 128 nsew signal tristate
+flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
+port 129 nsew signal tristate
+flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
+port 130 nsew signal tristate
+flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
+port 131 nsew signal tristate
+flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
+port 132 nsew signal tristate
+flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
+port 133 nsew signal tristate
+flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
+port 134 nsew signal tristate
+flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
+port 135 nsew signal tristate
+flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
+port 136 nsew signal tristate
+flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
+port 137 nsew signal tristate
+flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
+port 138 nsew signal tristate
+flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
+port 139 nsew signal tristate
+flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
+port 140 nsew signal tristate
+flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
+port 141 nsew signal tristate
+flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
+port 142 nsew signal tristate
+flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
+port 143 nsew signal tristate
+flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
+port 144 nsew signal tristate
+flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
+port 145 nsew signal tristate
+flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
+port 146 nsew signal tristate
+flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
+port 147 nsew signal tristate
+flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
+port 148 nsew signal tristate
+flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
+port 149 nsew signal tristate
+flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
+port 150 nsew signal tristate
+flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
+port 151 nsew signal tristate
+flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
+port 152 nsew signal tristate
+flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
+port 153 nsew signal tristate
+flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
+port 154 nsew signal tristate
+flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
+port 155 nsew signal tristate
+flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
+port 156 nsew signal tristate
+flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
+port 157 nsew signal tristate
+flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
+port 158 nsew signal tristate
+flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
+port 159 nsew signal tristate
+flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
+port 160 nsew signal tristate
+flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
+port 161 nsew signal tristate
+flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
+port 162 nsew signal tristate
+flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
+port 163 nsew signal tristate
+flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
+port 164 nsew signal input
+flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
+port 165 nsew signal input
+flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
+port 166 nsew signal input
+flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
+port 167 nsew signal input
+flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
+port 168 nsew signal input
+flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
+port 169 nsew signal input
+flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
+port 170 nsew signal input
+flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
+port 171 nsew signal input
+flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
+port 172 nsew signal input
+flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
+port 173 nsew signal input
+flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
+port 174 nsew signal input
+flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
+port 175 nsew signal input
+flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
+port 176 nsew signal input
+flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
+port 177 nsew signal input
+flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
+port 178 nsew signal input
+flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
+port 179 nsew signal input
+flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
+port 180 nsew signal input
+flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
+port 181 nsew signal input
+flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
+port 182 nsew signal input
+flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
+port 183 nsew signal input
+flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
+port 184 nsew signal input
+flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
+port 185 nsew signal input
+flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
+port 186 nsew signal input
+flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
+port 187 nsew signal input
+flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
+port 188 nsew signal input
+flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
+port 189 nsew signal input
+flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
+port 190 nsew signal input
+flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
+port 191 nsew signal input
+flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
+port 192 nsew signal input
+flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
+port 193 nsew signal input
+flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
+port 194 nsew signal input
+flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
+port 195 nsew signal input
+flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
+port 196 nsew signal input
+flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
+port 197 nsew signal input
+flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
+port 198 nsew signal input
+flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
+port 199 nsew signal input
+flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
+port 200 nsew signal input
+flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
+port 201 nsew signal input
+flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
+port 202 nsew signal input
+flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
+port 203 nsew signal input
+flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
+port 204 nsew signal input
+flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
+port 205 nsew signal input
+flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
+port 206 nsew signal input
+flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
+port 207 nsew signal input
+flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
+port 208 nsew signal input
+flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
+port 209 nsew signal input
+flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
+port 210 nsew signal input
+flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
+port 211 nsew signal input
+flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
+port 212 nsew signal input
+flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
+port 213 nsew signal input
+flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
+port 214 nsew signal input
+flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
+port 215 nsew signal input
+flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
+port 216 nsew signal input
+flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
+port 217 nsew signal input
+flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
+port 218 nsew signal input
+flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
+port 219 nsew signal input
+flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
+port 220 nsew signal input
+flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
+port 221 nsew signal input
+flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
+port 222 nsew signal input
+flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
+port 223 nsew signal input
+flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
+port 224 nsew signal input
+flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
+port 225 nsew signal input
+flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
+port 226 nsew signal input
+flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
+port 227 nsew signal input
+flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
+port 228 nsew signal input
+flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
+port 229 nsew signal input
+flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
+port 230 nsew signal input
+flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
+port 231 nsew signal input
+flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
+port 232 nsew signal input
+flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
+port 233 nsew signal input
+flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
+port 234 nsew signal input
+flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
+port 235 nsew signal input
+flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
+port 236 nsew signal input
+flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
+port 237 nsew signal input
+flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
+port 238 nsew signal input
+flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
+port 239 nsew signal input
+flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
+port 240 nsew signal input
+flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
+port 241 nsew signal input
+flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
+port 242 nsew signal input
+flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
+port 243 nsew signal input
+flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
+port 244 nsew signal input
+flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
+port 245 nsew signal input
+flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
+port 246 nsew signal input
+flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
+port 247 nsew signal input
+flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
+port 248 nsew signal input
+flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
+port 249 nsew signal input
+flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
+port 250 nsew signal input
+flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
+port 251 nsew signal input
+flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
+port 252 nsew signal input
+flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
+port 253 nsew signal input
+flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
+port 254 nsew signal input
+flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
+port 255 nsew signal input
+flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
+port 256 nsew signal input
+flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
+port 257 nsew signal input
+flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
+port 258 nsew signal input
+flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
+port 259 nsew signal input
+flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
+port 260 nsew signal input
+flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
+port 261 nsew signal input
+flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
+port 262 nsew signal input
+flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
+port 263 nsew signal input
+flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
+port 264 nsew signal input
+flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
+port 265 nsew signal input
+flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
+port 266 nsew signal input
+flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
+port 267 nsew signal input
+flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
+port 268 nsew signal input
+flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
+port 269 nsew signal input
+flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
+port 270 nsew signal input
+flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
+port 271 nsew signal input
+flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
+port 272 nsew signal input
+flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
+port 273 nsew signal input
+flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
+port 274 nsew signal input
+flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
+port 275 nsew signal input
+flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
+port 276 nsew signal input
+flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
+port 277 nsew signal input
+flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
+port 278 nsew signal input
+flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
+port 279 nsew signal input
+flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
+port 280 nsew signal input
+flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
+port 281 nsew signal input
+flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
+port 282 nsew signal input
+flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
+port 283 nsew signal input
+flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
+port 284 nsew signal input
+flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
+port 285 nsew signal input
+flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
+port 286 nsew signal input
+flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
+port 287 nsew signal input
+flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
+port 288 nsew signal input
+flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
+port 289 nsew signal input
+flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
+port 290 nsew signal input
+flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
+port 291 nsew signal input
+flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
+port 292 nsew signal tristate
+flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
+port 293 nsew signal tristate
+flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
+port 294 nsew signal tristate
+flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
+port 295 nsew signal tristate
+flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
+port 296 nsew signal tristate
+flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
+port 297 nsew signal tristate
+flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
+port 298 nsew signal tristate
+flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
+port 299 nsew signal tristate
+flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
+port 300 nsew signal tristate
+flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
+port 301 nsew signal tristate
+flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
+port 302 nsew signal tristate
+flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
+port 303 nsew signal tristate
+flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
+port 304 nsew signal tristate
+flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
+port 305 nsew signal tristate
+flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
+port 306 nsew signal tristate
+flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
+port 307 nsew signal tristate
+flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
+port 308 nsew signal tristate
+flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
+port 309 nsew signal tristate
+flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
+port 310 nsew signal tristate
+flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
+port 311 nsew signal tristate
+flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
+port 312 nsew signal tristate
+flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
+port 313 nsew signal tristate
+flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
+port 314 nsew signal tristate
+flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
+port 315 nsew signal tristate
+flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
+port 316 nsew signal tristate
+flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
+port 317 nsew signal tristate
+flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
+port 318 nsew signal tristate
+flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
+port 319 nsew signal tristate
+flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
+port 320 nsew signal tristate
+flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
+port 321 nsew signal tristate
+flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
+port 322 nsew signal tristate
+flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
+port 323 nsew signal tristate
+flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
+port 324 nsew signal tristate
+flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
+port 325 nsew signal tristate
+flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
+port 326 nsew signal tristate
+flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
+port 327 nsew signal tristate
+flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
+port 328 nsew signal tristate
+flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
+port 329 nsew signal tristate
+flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
+port 330 nsew signal tristate
+flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
+port 331 nsew signal tristate
+flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
+port 332 nsew signal tristate
+flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
+port 333 nsew signal tristate
+flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
+port 334 nsew signal tristate
+flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
+port 335 nsew signal tristate
+flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
+port 336 nsew signal tristate
+flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
+port 337 nsew signal tristate
+flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
+port 338 nsew signal tristate
+flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
+port 339 nsew signal tristate
+flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
+port 340 nsew signal tristate
+flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
+port 341 nsew signal tristate
+flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
+port 342 nsew signal tristate
+flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
+port 343 nsew signal tristate
+flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
+port 344 nsew signal tristate
+flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
+port 345 nsew signal tristate
+flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
+port 346 nsew signal tristate
+flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
+port 347 nsew signal tristate
+flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
+port 348 nsew signal tristate
+flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
+port 349 nsew signal tristate
+flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
+port 350 nsew signal tristate
+flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
+port 351 nsew signal tristate
+flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
+port 352 nsew signal tristate
+flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
+port 353 nsew signal tristate
+flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
+port 354 nsew signal tristate
+flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
+port 355 nsew signal tristate
+flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
+port 356 nsew signal tristate
+flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
+port 357 nsew signal tristate
+flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
+port 358 nsew signal tristate
+flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
+port 359 nsew signal tristate
+flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
+port 360 nsew signal tristate
+flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
+port 361 nsew signal tristate
+flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
+port 362 nsew signal tristate
+flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
+port 363 nsew signal tristate
+flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
+port 364 nsew signal tristate
+flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
+port 365 nsew signal tristate
+flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
+port 366 nsew signal tristate
+flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
+port 367 nsew signal tristate
+flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
+port 368 nsew signal tristate
+flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
+port 369 nsew signal tristate
+flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
+port 370 nsew signal tristate
+flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
+port 371 nsew signal tristate
+flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
+port 372 nsew signal tristate
+flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
+port 373 nsew signal tristate
+flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
+port 374 nsew signal tristate
+flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
+port 375 nsew signal tristate
+flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
+port 376 nsew signal tristate
+flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
+port 377 nsew signal tristate
+flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
+port 378 nsew signal tristate
+flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
+port 379 nsew signal tristate
+flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
+port 380 nsew signal tristate
+flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
+port 381 nsew signal tristate
+flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
+port 382 nsew signal tristate
+flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
+port 383 nsew signal tristate
+flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
+port 384 nsew signal tristate
+flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
+port 385 nsew signal tristate
+flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
+port 386 nsew signal tristate
+flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
+port 387 nsew signal tristate
+flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
+port 388 nsew signal tristate
+flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
+port 389 nsew signal tristate
+flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
+port 390 nsew signal tristate
+flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
+port 391 nsew signal tristate
+flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
+port 392 nsew signal tristate
+flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
+port 393 nsew signal tristate
+flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
+port 394 nsew signal tristate
+flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
+port 395 nsew signal tristate
+flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
+port 396 nsew signal tristate
+flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
+port 397 nsew signal tristate
+flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
+port 398 nsew signal tristate
+flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
+port 399 nsew signal tristate
+flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
+port 400 nsew signal tristate
+flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
+port 401 nsew signal tristate
+flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
+port 402 nsew signal tristate
+flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
+port 403 nsew signal tristate
+flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
+port 404 nsew signal tristate
+flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
+port 405 nsew signal tristate
+flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
+port 406 nsew signal tristate
+flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
+port 407 nsew signal tristate
+flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
+port 408 nsew signal tristate
+flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
+port 409 nsew signal tristate
+flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
+port 410 nsew signal tristate
+flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
+port 411 nsew signal tristate
+flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
+port 412 nsew signal tristate
+flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
+port 413 nsew signal tristate
+flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
+port 414 nsew signal tristate
+flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
+port 415 nsew signal tristate
+flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
+port 416 nsew signal tristate
+flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
+port 417 nsew signal tristate
+flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
+port 418 nsew signal tristate
+flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
+port 419 nsew signal tristate
+flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
+port 420 nsew signal input
+flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
+port 421 nsew signal input
+flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
+port 422 nsew signal input
+flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
+port 423 nsew signal input
+flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
+port 424 nsew signal input
+flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
+port 425 nsew signal input
+flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
+port 426 nsew signal input
+flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
+port 427 nsew signal input
+flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
+port 428 nsew signal input
+flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
+port 429 nsew signal input
+flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
+port 430 nsew signal input
+flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
+port 431 nsew signal input
+flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
+port 432 nsew signal input
+flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
+port 433 nsew signal input
+flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
+port 434 nsew signal input
+flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
+port 435 nsew signal input
+flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
+port 436 nsew signal input
+flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
+port 437 nsew signal input
+flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
+port 438 nsew signal input
+flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
+port 439 nsew signal input
+flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
+port 440 nsew signal input
+flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
+port 441 nsew signal input
+flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
+port 442 nsew signal input
+flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
+port 443 nsew signal input
+flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
+port 444 nsew signal input
+flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
+port 445 nsew signal input
+flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
+port 446 nsew signal input
+flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
+port 447 nsew signal input
+flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
+port 448 nsew signal input
+flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
+port 449 nsew signal input
+flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
+port 450 nsew signal input
+flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
+port 451 nsew signal input
+flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
+port 452 nsew signal input
+flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
+port 453 nsew signal input
+flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
+port 454 nsew signal input
+flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
+port 455 nsew signal input
+flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
+port 456 nsew signal input
+flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
+port 457 nsew signal input
+flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
+port 458 nsew signal input
+flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
+port 459 nsew signal input
+flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
+port 460 nsew signal input
+flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
+port 461 nsew signal input
+flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
+port 462 nsew signal input
+flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
+port 463 nsew signal input
+flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
+port 464 nsew signal input
+flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
+port 465 nsew signal input
+flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
+port 466 nsew signal input
+flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
+port 467 nsew signal input
+flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
+port 468 nsew signal input
+flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
+port 469 nsew signal input
+flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
+port 470 nsew signal input
+flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
+port 471 nsew signal input
+flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
+port 472 nsew signal input
+flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
+port 473 nsew signal input
+flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
+port 474 nsew signal input
+flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
+port 475 nsew signal input
+flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
+port 476 nsew signal input
+flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
+port 477 nsew signal input
+flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
+port 478 nsew signal input
+flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
+port 479 nsew signal input
+flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
+port 480 nsew signal input
+flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
+port 481 nsew signal input
+flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
+port 482 nsew signal input
+flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
+port 483 nsew signal input
+flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
+port 484 nsew signal input
+flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
+port 485 nsew signal input
+flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
+port 486 nsew signal input
+flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
+port 487 nsew signal input
+flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
+port 488 nsew signal input
+flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
+port 489 nsew signal input
+flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
+port 490 nsew signal input
+flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
+port 491 nsew signal input
+flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
+port 492 nsew signal input
+flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
+port 493 nsew signal input
+flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
+port 494 nsew signal input
+flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
+port 495 nsew signal input
+flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
+port 496 nsew signal input
+flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
+port 497 nsew signal input
+flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
+port 498 nsew signal input
+flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
+port 499 nsew signal input
+flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
+port 500 nsew signal input
+flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
+port 501 nsew signal input
+flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
+port 502 nsew signal input
+flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
+port 503 nsew signal input
+flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
+port 504 nsew signal input
+flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
+port 505 nsew signal input
+flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
+port 506 nsew signal input
+flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
+port 507 nsew signal input
+flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
+port 508 nsew signal input
+flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
+port 509 nsew signal input
+flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
+port 510 nsew signal input
+flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
+port 511 nsew signal input
+flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
+port 512 nsew signal input
+flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
+port 513 nsew signal input
+flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
+port 514 nsew signal input
+flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
+port 515 nsew signal input
+flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
+port 516 nsew signal input
+flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
+port 517 nsew signal input
+flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
+port 518 nsew signal input
+flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
+port 519 nsew signal input
+flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
+port 520 nsew signal input
+flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
+port 521 nsew signal input
+flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
+port 522 nsew signal input
+flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
+port 523 nsew signal input
+flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
+port 524 nsew signal input
+flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
+port 525 nsew signal input
+flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
+port 526 nsew signal input
+flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
+port 527 nsew signal input
+flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
+port 528 nsew signal input
+flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
+port 529 nsew signal input
+flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
+port 530 nsew signal input
+flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
+port 531 nsew signal input
+flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
+port 532 nsew signal input
+flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
+port 533 nsew signal input
+flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
+port 534 nsew signal input
+flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
+port 535 nsew signal input
+flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
+port 536 nsew signal input
+flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
+port 537 nsew signal input
+flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
+port 538 nsew signal input
+flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
+port 539 nsew signal input
+flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
+port 540 nsew signal input
+flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
+port 541 nsew signal input
+flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
+port 542 nsew signal input
+flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
+port 543 nsew signal input
+flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
+port 544 nsew signal input
+flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
+port 545 nsew signal input
+flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
+port 546 nsew signal input
+flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
+port 547 nsew signal input
+flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
+port 548 nsew signal input
+flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
+port 549 nsew signal tristate
+flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
+port 550 nsew signal tristate
+flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
+port 551 nsew signal tristate
+flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
+port 552 nsew signal bidirectional
+flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
+port 553 nsew signal bidirectional
+flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
+port 554 nsew signal bidirectional
+flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
+port 555 nsew signal bidirectional
+flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
+port 556 nsew signal bidirectional
+flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
+port 557 nsew signal bidirectional
+flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
+port 558 nsew signal bidirectional
+flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
+port 559 nsew signal bidirectional
+flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
+port 560 nsew signal bidirectional
+flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
+port 561 nsew signal bidirectional
+flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
+port 562 nsew signal bidirectional
+flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
+port 564 nsew signal bidirectional
+flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
+port 565 nsew signal bidirectional
+flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
+port 566 nsew signal bidirectional
+flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
+port 567 nsew signal bidirectional
+flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
+port 568 nsew signal bidirectional
+flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
+port 569 nsew signal bidirectional
+flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
+port 570 nsew signal bidirectional
+flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
+port 571 nsew signal bidirectional
+flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
+port 572 nsew signal input
+flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
+port 573 nsew signal input
+flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
+port 574 nsew signal tristate
+flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
+port 575 nsew signal input
+flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
+port 576 nsew signal input
+flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
+port 577 nsew signal input
+flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
+port 578 nsew signal input
+flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
+port 579 nsew signal input
+flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
+port 580 nsew signal input
+flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
+port 581 nsew signal input
+flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
+port 582 nsew signal input
+flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
+port 583 nsew signal input
+flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
+port 584 nsew signal input
+flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
+port 585 nsew signal input
+flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
+port 586 nsew signal input
+flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
+port 587 nsew signal input
+flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
+port 588 nsew signal input
+flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
+port 589 nsew signal input
+flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
+port 590 nsew signal input
+flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
+port 591 nsew signal input
+flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
+port 592 nsew signal input
+flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
+port 593 nsew signal input
+flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
+port 594 nsew signal input
+flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
+port 595 nsew signal input
+flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
+port 596 nsew signal input
+flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
+port 597 nsew signal input
+flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
+port 598 nsew signal input
+flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
+port 599 nsew signal input
+flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
+port 600 nsew signal input
+flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
+port 601 nsew signal input
+flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
+port 602 nsew signal input
+flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
+port 603 nsew signal input
+flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
+port 604 nsew signal input
+flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
+port 605 nsew signal input
+flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
+port 606 nsew signal input
+flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
+port 607 nsew signal input
+flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
+port 608 nsew signal input
+flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
+port 609 nsew signal input
+flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
+port 610 nsew signal input
+flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
+port 611 nsew signal input
+flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
+port 612 nsew signal input
+flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
+port 613 nsew signal input
+flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
+port 614 nsew signal input
+flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
+port 615 nsew signal input
+flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
+port 616 nsew signal input
+flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
+port 617 nsew signal input
+flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
+port 618 nsew signal input
+flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
+port 619 nsew signal input
+flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
+port 620 nsew signal input
+flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
+port 621 nsew signal input
+flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
+port 622 nsew signal input
+flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
+port 623 nsew signal input
+flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
+port 624 nsew signal input
+flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
+port 625 nsew signal input
+flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
+port 626 nsew signal input
+flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
+port 627 nsew signal input
+flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
+port 628 nsew signal input
+flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
+port 629 nsew signal input
+flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
+port 630 nsew signal input
+flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
+port 631 nsew signal input
+flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
+port 632 nsew signal input
+flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
+port 633 nsew signal input
+flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
+port 634 nsew signal input
+flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
+port 635 nsew signal input
+flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
+port 636 nsew signal input
+flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
+port 637 nsew signal input
+flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
+port 638 nsew signal input
+flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
+port 639 nsew signal input
+flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
+port 640 nsew signal tristate
+flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
+port 641 nsew signal tristate
+flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
+port 642 nsew signal tristate
+flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
+port 643 nsew signal tristate
+flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
+port 644 nsew signal tristate
+flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
+port 645 nsew signal tristate
+flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
+port 646 nsew signal tristate
+flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
+port 647 nsew signal tristate
+flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
+port 648 nsew signal tristate
+flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
+port 649 nsew signal tristate
+flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
+port 650 nsew signal tristate
+flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
+port 651 nsew signal tristate
+flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
+port 652 nsew signal tristate
+flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
+port 653 nsew signal tristate
+flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
+port 654 nsew signal tristate
+flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
+port 655 nsew signal tristate
+flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
+port 656 nsew signal tristate
+flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
+port 657 nsew signal tristate
+flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
+port 658 nsew signal tristate
+flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
+port 659 nsew signal tristate
+flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
+port 660 nsew signal tristate
+flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
+port 661 nsew signal tristate
+flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
+port 662 nsew signal tristate
+flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
+port 663 nsew signal tristate
+flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
+port 664 nsew signal tristate
+flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
+port 665 nsew signal tristate
+flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
+port 666 nsew signal tristate
+flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
+port 667 nsew signal tristate
+flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
+port 668 nsew signal tristate
+flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
+port 669 nsew signal tristate
+flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
+port 670 nsew signal tristate
+flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
+port 671 nsew signal tristate
+flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
+port 672 nsew signal input
+flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
+port 673 nsew signal input
+flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
+port 674 nsew signal input
+flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
+port 675 nsew signal input
+flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
+port 676 nsew signal input
+flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
+port 677 nsew signal input
+flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
+port 563 nsew signal bidirectional
+<< properties >>
+string FIXED_BBOX 0 0 584000 704000
+<< end >>
diff --git a/verilog/rtl/user_defines.v b/verilog/rtl/user_defines.v
new file mode 100644
index 0000000..92a4d3d
--- /dev/null
+++ b/verilog/rtl/user_defines.v
@@ -0,0 +1,99 @@
+// SPDX-FileCopyrightText: 2020 Efabless Corporation
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+
+`default_nettype none
+
+`ifndef __USER_DEFINES_H
+// User GPIO initial configuration parameters
+`define __USER_DEFINES_H
+
+// Useful GPIO mode values.  These match the names used in defs.h.
+`define GPIO_MODE_MGMT_STD_INPUT_NOPULL    13'h0403
+`define GPIO_MODE_MGMT_STD_INPUT_PULLDOWN  13'h0803
+`define GPIO_MODE_MGMT_STD_INPUT_PULLUP    13'h0c03
+`define GPIO_MODE_MGMT_STD_OUTPUT          13'h1809
+`define GPIO_MODE_MGMT_STD_BIDIRECTIONAL   13'h1801
+`define GPIO_MODE_MGMT_STD_ANALOG          13'h000b
+
+`define GPIO_MODE_USER_STD_INPUT_NOPULL    13'h0402
+`define GPIO_MODE_USER_STD_INPUT_PULLDOWN  13'h0802
+`define GPIO_MODE_USER_STD_INPUT_PULLUP    13'h0c02
+`define GPIO_MODE_USER_STD_OUTPUT          13'h1808
+`define GPIO_MODE_USER_STD_BIDIRECTIONAL   13'h1800
+`define GPIO_MODE_USER_STD_OUT_MONITORED   13'h1802
+`define GPIO_MODE_USER_STD_ANALOG          13'h000a
+
+// The power-on configuration for GPIO 0 to 4 is fixed and cannot be
+// modified (allowing the SPI and debug to always be accessible unless
+// overridden by a flash program).
+
+// The values below can be any of the standard types defined above,
+// or they can be any 13-bit value if the user wants a non-standard
+// startup state for the GPIO.  By default, every GPIO from 5 to 37
+// is set to power up as an input controlled by the management SoC.
+// Users may want to redefine these so that the user project powers
+// up in a state that can be used immediately without depending on
+// the management SoC to run a startup program to configure the GPIOs.
+
+`define USER_CONFIG_GPIO_5_INIT  `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_6_INIT  `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_7_INIT  `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_8_INIT  `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_9_INIT  `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_10_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_11_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_12_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_13_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+//`define USER_CONFIG_GPIO_14_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_14_INIT `GPIO_MODE_USER_STD_OUTPUT
+
+// Configurations of GPIO 15 to 25 are used on caravel but not caravan.
+//`define USER_CONFIG_GPIO_15_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_15_INIT `GPIO_MODE_USER_STD_OUTPUT
+//`define USER_CONFIG_GPIO_16_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_16_INIT `GPIO_MODE_USER_STD_ANALOG
+
+//`define USER_CONFIG_GPIO_17_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_17_INIT `GPIO_MODE_USER_STD_INPUT_NOPULL
+
+//`define USER_CONFIG_GPIO_18_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_18_INIT `GPIO_MODE_USER_STD_INPUT_NOPULL
+
+//`define USER_CONFIG_GPIO_19_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_19_INIT `GPIO_MODE_USER_STD_INPUT_NOPULL
+
+//`define USER_CONFIG_GPIO_20_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_20_INIT `GPIO_MODE_USER_STD_INPUT_NOPULL
+
+`define USER_CONFIG_GPIO_21_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_22_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_23_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_24_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_25_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+
+`define USER_CONFIG_GPIO_26_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_27_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_28_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_29_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_30_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_31_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_32_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_33_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_34_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_35_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_36_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+`define USER_CONFIG_GPIO_37_INIT `GPIO_MODE_MGMT_STD_INPUT_NOPULL
+
+`endif // __USER_DEFINES_H
diff --git a/xschem/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.sch b/xschem/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.sch
new file mode 100644
index 0000000..9754c4e
--- /dev/null
+++ b/xschem/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.sch
@@ -0,0 +1,180 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 2840 -630 2920 -630 { lab=out}
+N 2580 -650 2680 -650 { lab=vctrl}
+N 2500 -820 2760 -820 { lab=vdd}
+N 2500 -440 2760 -440 { lab=vss}
+N 2420 -820 2500 -820 { lab=vdd}
+N 2420 -440 2500 -440 { lab=vss}
+N 2760 -720 2760 -680 { lab=vdd}
+N 2760 -820 2760 -780 { lab=vdd}
+N 2760 -480 2760 -440 { lab=vss}
+N 2760 -580 2760 -540 { lab=vss}
+N 3000 -630 3050 -630 { lab=out}
+N 3350 -590 3400 -590 { lab=vss_2}
+N 3350 -630 3400 -630 { lab=vdd_2}
+N 3160 270 3190 270 { lab=out_div128}
+N 3960 270 4010 270 { lab=out_div128_buf}
+N 3910 270 3960 270 { lab=out_div128_buf}
+N 3390 270 3430 270 { lab=buf2a_out}
+N 3510 270 3550 270 { lab=buf4a_out}
+N 2480 -640 2680 -640 { lab=vsel0}
+N 2510 -630 2680 -630 { lab=vsel1}
+N 2540 -620 2680 -620 { lab=vsel2}
+N 2570 -610 2680 -610 { lab=vsel3}
+N 3350 -610 3460 -610 { lab=out_div2}
+N 3760 -590 3810 -590 { lab=vss_2}
+N 3760 -630 3810 -630 { lab=vdd_2}
+N 3760 -610 3870 -610 { lab=out_div4}
+N 3460 -630 3460 -610 { lab=out_div2}
+N 3350 -440 3400 -440 { lab=vss_2}
+N 3350 -480 3400 -480 { lab=vdd_2}
+N 3350 -460 3460 -460 { lab=out_div8}
+N 3050 -530 3050 -480 { lab=out_div4}
+N 3050 -530 3870 -530 { lab=out_div4}
+N 3870 -610 3870 -530 { lab=out_div4}
+N 3760 -440 3810 -440 { lab=vss_2}
+N 3760 -480 3810 -480 { lab=vdd_2}
+N 3760 -460 3870 -460 { lab=out_div16}
+N 3460 -480 3460 -460 { lab=out_div8}
+N 3350 -290 3400 -290 { lab=vss_2}
+N 3350 -330 3400 -330 { lab=vdd_2}
+N 3350 -310 3460 -310 { lab=out_div32}
+N 3050 -380 3050 -330 { lab=out_div16}
+N 3050 -380 3870 -380 { lab=out_div16}
+N 3870 -460 3870 -380 { lab=out_div16}
+N 3760 -290 3810 -290 { lab=vss_2}
+N 3760 -330 3810 -330 { lab=vdd_2}
+N 3760 -310 3870 -310 { lab=out_div64}
+N 3460 -330 3460 -310 { lab=out_div32}
+N 3350 -140 3400 -140 { lab=vss_2}
+N 3350 -180 3400 -180 { lab=vdd_2}
+N 3350 -160 3460 -160 { lab=out_div128}
+N 3050 -230 3050 -180 { lab=out_div64}
+N 3050 -230 3870 -230 { lab=out_div64}
+N 3870 -310 3870 -230 { lab=out_div64}
+N 3760 -140 3810 -140 { lab=vss_2}
+N 3760 -180 3810 -180 { lab=vdd_2}
+N 3760 -160 3870 -160 { lab=out_div256}
+N 3460 -180 3460 -160 { lab=out_div128}
+N 2920 -630 3000 -630 { lab=out}
+N 2760 -540 2760 -480 { lab=vss}
+N 2760 -780 2760 -720 { lab=vdd}
+N 2380 -650 2580 -650 { lab=vctrl}
+N 2380 -640 2480 -640 { lab=vsel0}
+N 2380 -630 2510 -630 { lab=vsel1}
+N 2380 -620 2540 -620 { lab=vsel2}
+N 2380 -610 2570 -610 { lab=vsel3}
+N 3040 270 3160 270 { lab=out_div128}
+N 3160 360 3190 360 { lab=out_div256}
+N 3860 360 3910 360 { lab=out_div256_buf}
+N 3810 360 3860 360 { lab=out_div256_buf}
+N 3390 360 3430 360 { lab=buf2b_out}
+N 3510 360 3550 360 { lab=buf4b_out}
+N 3040 360 3160 360 { lab=out_div256}
+N 3350 10 3400 10 { lab=vss_2}
+N 3350 -30 3400 -30 { lab=vdd_2}
+N 3350 -10 3460 -10 { lab=out_div512}
+N 3050 -80 3050 -30 { lab=out_div256}
+N 3050 -80 3870 -80 { lab=out_div256}
+N 3870 -160 3870 -80 { lab=out_div256}
+N 3760 10 3810 10 { lab=vss_2}
+N 3760 -30 3810 -30 { lab=vdd_2}
+N 3760 -10 3870 -10 { lab=out_div1024}
+N 3460 -30 3460 -10 { lab=out_div512}
+N 3190 270 3310 270 { lab=out_div128}
+N 3190 360 3310 360 { lab=out_div256}
+N 3630 270 3670 270 { lab=buf8a_out}
+N 3630 360 3670 360 { lab=buf8b_out}
+N 3750 360 3810 360 { lab=out_div256_buf}
+N 3800 220 3800 300 { lab=buf16a_out}
+N 3880 220 3880 300 { lab=out_div128_buf}
+N 3880 270 3910 270 { lab=out_div128_buf}
+N 3750 270 3800 270 { lab=buf16a_out}
+N 3120 -820 3200 -820 {
+lab=vdd_2}
+N 3120 -740 3200 -740 {
+lab=vss_2}
+C {3-stage_cs-vco_dp9.sym} 2760 -630 0 0 {name=xvco}
+C {lab_wire.sym} 2640 -820 0 0 {name=l1 sig_type=std_logic lab=vdd}
+C {lab_wire.sym} 2650 -440 0 0 {name=l2 sig_type=std_logic lab=vss}
+C {lab_wire.sym} 2650 -650 0 0 {name=l4 sig_type=std_logic lab=vctrl}
+C {lab_wire.sym} 2960 -630 0 0 {name=l5 sig_type=std_logic lab=out}
+C {ipin.sym} 2380 -650 0 0 {name=p1 lab=vctrl}
+C {FD_v5.sym} 3200 -610 0 0 {name=xFD_0}
+C {lab_wire.sym} 3390 -630 0 0 {name=l6 sig_type=std_logic lab=vdd_2}
+C {lab_wire.sym} 3390 -590 0 0 {name=l7 sig_type=std_logic lab=vss_2}
+C {lab_wire.sym} 3180 270 0 0 {name=l8 sig_type=std_logic lab=out_div128}
+C {sky130_stdcells/clkbuf_2.sym} 3350 270 0 0 {name=xbuf2a VGND=vss_2 VNB=vss_2 VPB=vdd_2 VPWR=vdd_2 prefix=sky130_fd_sc_hd__ }
+C {sky130_stdcells/clkbuf_4.sym} 3470 270 0 0 {name=xbuf4a VGND=vss_2 VNB=vss_2 VPB=vdd_2 VPWR=vdd_2 prefix=sky130_fd_sc_hd__ }
+C {sky130_stdcells/clkbuf_8.sym} 3590 270 0 0 {name=xbuf8a VGND=vss_2 VNB=vss_2 VPB=vdd_2 VPWR=vdd_2 prefix=sky130_fd_sc_hd__ }
+C {opin.sym} 4010 270 0 0 {name=p4 lab=out_div128_buf}
+C {lab_wire.sym} 2550 -640 0 0 {name=l11 sig_type=std_logic lab=vsel0}
+C {lab_wire.sym} 2580 -630 0 0 {name=l12 sig_type=std_logic lab=vsel1}
+C {lab_wire.sym} 2600 -620 0 0 {name=l13 sig_type=std_logic lab=vsel2}
+C {lab_wire.sym} 2630 -610 0 0 {name=l14 sig_type=std_logic lab=vsel3}
+C {lab_wire.sym} 3400 -610 0 0 {name=l17 sig_type=std_logic lab=out_div2}
+C {FD.sym} 3610 -610 0 0 {name=xFD_1}
+C {lab_wire.sym} 3800 -630 0 0 {name=l18 sig_type=std_logic lab=vdd_2}
+C {lab_wire.sym} 3800 -590 0 0 {name=l19 sig_type=std_logic lab=vss_2}
+C {lab_wire.sym} 3810 -610 0 0 {name=l20 sig_type=std_logic lab=out_div4}
+C {FD.sym} 3200 -460 0 0 {name=xFD_2}
+C {lab_wire.sym} 3390 -480 0 0 {name=l21 sig_type=std_logic lab=vdd_2}
+C {lab_wire.sym} 3390 -440 0 0 {name=l22 sig_type=std_logic lab=vss_2}
+C {lab_wire.sym} 3400 -460 0 0 {name=l23 sig_type=std_logic lab=out_div8}
+C {FD.sym} 3610 -460 0 0 {name=xFD_3}
+C {lab_wire.sym} 3800 -480 0 0 {name=l24 sig_type=std_logic lab=vdd_2}
+C {lab_wire.sym} 3800 -440 0 0 {name=l25 sig_type=std_logic lab=vss_2}
+C {lab_wire.sym} 3810 -460 0 0 {name=l26 sig_type=std_logic lab=out_div16}
+C {FD.sym} 3200 -310 0 0 {name=xFD_4}
+C {lab_wire.sym} 3390 -330 0 0 {name=l27 sig_type=std_logic lab=vdd_2}
+C {lab_wire.sym} 3390 -290 0 0 {name=l28 sig_type=std_logic lab=vss_2}
+C {lab_wire.sym} 3400 -310 0 0 {name=l29 sig_type=std_logic lab=out_div32}
+C {FD.sym} 3610 -310 0 0 {name=xFD_5}
+C {lab_wire.sym} 3800 -330 0 0 {name=l30 sig_type=std_logic lab=vdd_2}
+C {lab_wire.sym} 3800 -290 0 0 {name=l31 sig_type=std_logic lab=vss_2}
+C {lab_wire.sym} 3810 -310 0 0 {name=l32 sig_type=std_logic lab=out_div64}
+C {FD.sym} 3200 -160 0 0 {name=xFD_6}
+C {lab_wire.sym} 3390 -180 0 0 {name=l33 sig_type=std_logic lab=vdd_2}
+C {lab_wire.sym} 3390 -140 0 0 {name=l34 sig_type=std_logic lab=vss_2}
+C {lab_wire.sym} 3420 -160 0 0 {name=l35 sig_type=std_logic lab=out_div128}
+C {FD.sym} 3610 -160 0 0 {name=xFD_7}
+C {lab_wire.sym} 3800 -180 0 0 {name=l36 sig_type=std_logic lab=vdd_2}
+C {lab_wire.sym} 3800 -140 0 0 {name=l37 sig_type=std_logic lab=vss_2}
+C {lab_wire.sym} 3840 -160 0 0 {name=l38 sig_type=std_logic lab=out_div256}
+C {iopin.sym} 2420 -820 0 1 {name=p2 lab=vdd}
+C {iopin.sym} 2420 -440 0 1 {name=p3 lab=vss}
+C {ipin.sym} 2380 -640 0 0 {name=p5 lab=vsel0}
+C {ipin.sym} 2380 -630 0 0 {name=p6 lab=vsel1}
+C {ipin.sym} 2380 -620 0 0 {name=p7 lab=vsel2}
+C {ipin.sym} 2380 -610 0 0 {name=p8 lab=vsel3}
+C {lab_wire.sym} 3420 270 0 0 {name=l3 sig_type=std_logic lab=buf2a_out}
+C {lab_wire.sym} 3540 270 0 0 {name=l9 sig_type=std_logic lab=buf4a_out}
+C {lab_wire.sym} 3180 360 0 0 {name=l15 sig_type=std_logic lab=out_div256}
+C {sky130_stdcells/clkbuf_2.sym} 3350 360 0 0 {name=xbuf2b VGND=vss_2 VNB=vss_2 VPB=vdd_2 VPWR=vdd_2 prefix=sky130_fd_sc_hd__ }
+C {sky130_stdcells/clkbuf_4.sym} 3470 360 0 0 {name=xbuf4b VGND=vss_2 VNB=vss_2 VPB=vdd_2 VPWR=vdd_2 prefix=sky130_fd_sc_hd__ }
+C {sky130_stdcells/clkbuf_8.sym} 3590 360 0 0 {name=xbuf8b VGND=vss_2 VNB=vss_2 VPB=vdd_2 VPWR=vdd prefix=sky130_fd_sc_hd__ }
+C {opin.sym} 3910 360 0 0 {name=p9 lab=out_div256_buf}
+C {lab_wire.sym} 3420 360 0 0 {name=l39 sig_type=std_logic lab=buf2b_out}
+C {lab_wire.sym} 3540 360 0 0 {name=l40 sig_type=std_logic lab=buf4b_out}
+C {FD.sym} 3200 -10 0 0 {name=xFD_8}
+C {lab_wire.sym} 3390 -30 0 0 {name=l41 sig_type=std_logic lab=vdd_2}
+C {lab_wire.sym} 3390 10 0 0 {name=l42 sig_type=std_logic lab=vss_2}
+C {lab_wire.sym} 3420 -10 0 0 {name=l43 sig_type=std_logic lab=out_div512}
+C {FD.sym} 3610 -10 0 0 {name=xFD_9}
+C {lab_wire.sym} 3800 -30 0 0 {name=l44 sig_type=std_logic lab=vdd_2}
+C {lab_wire.sym} 3800 10 0 0 {name=l45 sig_type=std_logic lab=vss_2}
+C {lab_wire.sym} 3840 -10 0 0 {name=l46 sig_type=std_logic lab=out_div1024}
+C {sky130_stdcells/clkbuf_16.sym} 3710 270 0 0 {name=xbuf16a VGND=vss_2 VNB=vss_2 VPB=vdd_2 VPWR=vdd_2 prefix=sky130_fd_sc_hd__ }
+C {lab_wire.sym} 3660 270 0 0 {name=l10 sig_type=std_logic lab=buf8a_out}
+C {sky130_stdcells/clkbuf_16.sym} 3710 360 0 0 {name=xbuf16b VGND=vss_2 VNB=vss_2 VPB=vdd_2 VPWR=vdd_2 prefix=sky130_fd_sc_hd__ }
+C {lab_wire.sym} 3660 360 0 0 {name=l16 sig_type=std_logic lab=buf8b_out}
+C {sky130_stdcells/clkbuf_16.sym} 3840 220 0 0 {name=xbuf32a_1 VGND=vss_2 VNB=vss_2 VPB=vdd_2 VPWR=vdd_2 prefix=sky130_fd_sc_hd__ }
+C {sky130_stdcells/clkbuf_16.sym} 3840 300 0 0 {name=xbuf32a_2 VGND=vss_2 VNB=vss_2 VPB=vdd_2 VPWR=vdd_2 prefix=sky130_fd_sc_hd__ }
+C {lab_wire.sym} 3790 270 0 0 {name=l47 sig_type=std_logic lab=buf16a_out}
+C {iopin.sym} 3120 -820 0 1 {name=p10 lab=vdd_2}
+C {iopin.sym} 3120 -740 0 1 {name=p11 lab=vss_2}
diff --git a/xschem/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.sym b/xschem/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.sym
new file mode 100644
index 0000000..b676299
--- /dev/null
+++ b/xschem/3-stage_cs-vco_dp9/vco_with_fdivs_split_supplies.sym
@@ -0,0 +1,50 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -130 -50 130 -50 {}
+L 4 -130 70 130 70 {}
+L 4 -130 -50 -130 50 {}
+L 4 130 -50 130 50 {}
+L 4 -150 -40 -130 -40 {}
+L 4 -150 -20 -130 -20 {}
+L 4 -150 0 -130 0 {}
+L 4 -150 20 -130 20 {}
+L 4 -150 40 -130 40 {}
+L 4 130 0 150 0 {}
+L 4 130 20 150 20 {}
+L 4 -130 50 -130 70 {}
+L 4 130 50 130 70 {}
+L 7 130 -40 150 -40 {}
+L 7 130 -20 150 -20 {}
+L 7 130 40 150 40 {}
+L 7 130 60 150 60 {}
+B 5 147.5 -42.5 152.5 -37.5 {name=vdd dir=inout }
+B 5 -152.5 -42.5 -147.5 -37.5 {name=vctrl dir=in }
+B 5 -152.5 -22.5 -147.5 -17.5 {name=vsel0 dir=in }
+B 5 -152.5 -2.5 -147.5 2.5 {name=vsel1 dir=in }
+B 5 -152.5 17.5 -147.5 22.5 {name=vsel2 dir=in }
+B 5 -152.5 37.5 -147.5 42.5 {name=vsel3 dir=in }
+B 5 147.5 -22.5 152.5 -17.5 {name=vss dir=inout }
+B 5 147.5 -2.5 152.5 2.5 {name=out_div128_buf dir=out }
+B 5 147.5 17.5 152.5 22.5 {name=out_div256_buf dir=out }
+B 5 147.5 37.5 152.5 42.5 {name=vdd_2 dir=inout }
+B 5 147.5 57.5 152.5 62.5 {name=vss_2 dir=inout }
+T {@symname} -81 -6 0 0 0.3 0.3 {}
+T {@name} 135 -62 0 0 0.2 0.2 {}
+T {vdd} 125 -44 0 1 0.2 0.2 {}
+T {vctrl} -125 -44 0 0 0.2 0.2 {}
+T {vsel0} -125 -24 0 0 0.2 0.2 {}
+T {vsel1} -125 -4 0 0 0.2 0.2 {}
+T {vsel2} -125 16 0 0 0.2 0.2 {}
+T {vsel3} -125 36 0 0 0.2 0.2 {}
+T {vss} 125 -24 0 1 0.2 0.2 {}
+T {out_div128_buf} 125 -4 0 1 0.2 0.2 {}
+T {out_div256_buf} 125 16 0 1 0.2 0.2 {}
+T {vdd_2} 125 36 0 1 0.2 0.2 {}
+T {vss_2} 125 56 0 1 0.2 0.2 {}