blob: eb2598ca3038c3e30e4a2631ca384dad2a0be44c [file] [log] [blame]
library ("cus_tg_mux41_buf") {
define(def_sim_opt,library,string);
define(default_arc_mode,library,string);
define(default_constraint_arc_mode,library,string);
define(driver_model,library,string);
define(leakage_sim_opt,library,string);
define(min_pulse_width_mode,library,string);
define(simulator,library,string);
define(switching_power_split_model,library,string);
define(sim_opt,timing,string);
define(violation_delay_degrade_pct,timing,string);
technology("cmos");
delay_model : "table_lookup";
bus_naming_style : "%s[%d]";
time_unit : "1ns";
voltage_unit : "1V";
leakage_power_unit : "1nW";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit(1.0000000000, "pf");
revision : 1.0000000000;
default_cell_leakage_power : 0.0000000000;
default_fanout_load : 0.0000000000;
default_inout_pin_cap : 0.0000000000;
default_input_pin_cap : 0.0000000000;
default_max_transition : 1.5000000000;
default_output_pin_cap : 0.0000000000;
default_arc_mode : "worst_edges";
default_constraint_arc_mode : "worst";
default_leakage_power_density : 0.0000000000;
default_operating_conditions : "tt_025C_1v80";
operating_conditions ("tt_025C_1v80") {
voltage : 1.8000000000;
process : 1.0000000000;
temperature : 25.000000000;
tree_type : "balanced_tree";
}
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("constraint_3_0_1") {
variable_1 : "related_pin_transition";
index_1("1, 2, 3");
}
lu_table_template ("del_1_7_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("driver_waveform_template") {
variable_1 : "input_net_transition";
variable_2 : "normalized_voltage";
index_1("1, 2");
index_2("1, 2");
}
lu_table_template ("vio_3_3_1") {
variable_1 : "related_pin_transition";
variable_2 : "constrained_pin_transition";
index_1("1, 2, 3");
index_2("1, 2, 3");
}
normalized_driver_waveform ("driver_waveform_template") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224745000, 0.2823108000, 0.5000000000, 0.6507428000, 1.5000000000");
index_2("0.0000000000, 0.5000000000, 1.0000000000");
driver_waveform_name : "ramp";
values("0.0000000000, 0.0083333333, 0.0166666670", \
"0.0000000000, 0.0192088180, 0.0384176350", \
"0.0000000000, 0.0442774400, 0.0885548810", \
"0.0000000000, 0.1020620700, 0.2041241500", \
"0.0000000000, 0.2352590100, 0.4705180100", \
"0.0000000000, 0.4166666700, 0.8333333300", \
"0.0000000000, 0.5422856800, 1.0845714000", \
"0.0000000000, 1.2500000000, 2.5000000000");
}
library_features("report_delay_calculation");
voltage_map("VSS", 0.0000000000);
voltage_map("KAPWR", 1.8000000000);
voltage_map("LOWLVPWR", 1.8000000000);
voltage_map("VGND", 0.0000000000);
voltage_map("VNB", 0.0000000000);
voltage_map("VPB", 1.8000000000);
voltage_map("VPWR", 1.8000000000);
voltage_map("VPWRIN", 1.8000000000);
driver_model : "ramp";
in_place_swap_mode : "match_footprint";
input_threshold_pct_fall : 50.000000000;
input_threshold_pct_rise : 50.000000000;
min_pulse_width_mode : "max";
nom_process : 1.0000000000;
nom_temperature : 25.000000000;
nom_voltage : 1.8000000000;
output_threshold_pct_fall : 50.000000000;
output_threshold_pct_rise : 50.000000000;
simulation : "true";
slew_derate_from_library : 1.0000000000;
slew_lower_threshold_pct_fall : 20.000000000;
slew_lower_threshold_pct_rise : 20.000000000;
slew_upper_threshold_pct_fall : 80.000000000;
slew_upper_threshold_pct_rise : 80.000000000;
switching_power_split_model : "true";
cell ("cus_tg_mux41_buf") {
leakage_power () {
value : 0.0137458000;
when : "!A0&!A1&!A2&!A3&!S0&S1";
}
leakage_power () {
value : 0.0116037000;
when : "!A0&!A1&!A2&!A3&!S0&!S1";
}
leakage_power () {
value : 0.0158573000;
when : "!A0&!A1&!A2&!A3&S0&S1";
}
leakage_power () {
value : 0.0137152000;
when : "!A0&!A1&!A2&!A3&S0&!S1";
}
leakage_power () {
value : 0.0140316000;
when : "!A0&!A1&!A2&A3&!S0&S1";
}
leakage_power () {
value : 0.0118895000;
when : "!A0&!A1&!A2&A3&!S0&!S1";
}
leakage_power () {
value : 0.0087038000;
when : "!A0&!A1&!A2&A3&S0&S1";
}
leakage_power () {
value : 0.0161449000;
when : "!A0&!A1&!A2&A3&S0&!S1";
}
leakage_power () {
value : 0.0065285000;
when : "!A0&!A1&A2&!A3&!S0&S1";
}
leakage_power () {
value : 0.0139696000;
when : "!A0&!A1&A2&!A3&!S0&!S1";
}
leakage_power () {
value : 0.0161416000;
when : "!A0&!A1&A2&!A3&S0&S1";
}
leakage_power () {
value : 0.0139995000;
when : "!A0&!A1&A2&!A3&S0&!S1";
}
leakage_power () {
value : 0.0058742000;
when : "!A0&!A1&A2&A3&!S0&S1";
}
leakage_power () {
value : 0.0133153000;
when : "!A0&!A1&A2&A3&!S0&!S1";
}
leakage_power () {
value : 0.0078557000;
when : "!A0&!A1&A2&A3&S0&S1";
}
leakage_power () {
value : 0.0152968000;
when : "!A0&!A1&A2&A3&S0&!S1";
}
leakage_power () {
value : 0.0140134000;
when : "!A0&A1&!A2&!A3&!S0&S1";
}
leakage_power () {
value : 0.0118712000;
when : "!A0&A1&!A2&!A3&!S0&!S1";
}
leakage_power () {
value : 0.0193198000;
when : "!A0&A1&!A2&!A3&S0&S1";
}
leakage_power () {
value : 0.0062357000;
when : "!A0&A1&!A2&!A3&S0&!S1";
}
leakage_power () {
value : 0.0142992000;
when : "!A0&A1&!A2&A3&!S0&S1";
}
leakage_power () {
value : 0.0121571000;
when : "!A0&A1&!A2&A3&!S0&!S1";
}
leakage_power () {
value : 0.0085645000;
when : "!A0&A1&!A2&A3&S0&S1";
}
leakage_power () {
value : 0.0064224000;
when : "!A0&A1&!A2&A3&S0&!S1";
}
leakage_power () {
value : 0.0067961000;
when : "!A0&A1&A2&!A3&!S0&S1";
}
leakage_power () {
value : 0.0142372000;
when : "!A0&A1&A2&!A3&!S0&!S1";
}
leakage_power () {
value : 0.0196041000;
when : "!A0&A1&A2&!A3&S0&S1";
}
leakage_power () {
value : 0.0065201000;
when : "!A0&A1&A2&!A3&S0&!S1";
}
leakage_power () {
value : 0.0061418000;
when : "!A0&A1&A2&A3&!S0&S1";
}
leakage_power () {
value : 0.0135829000;
when : "!A0&A1&A2&A3&!S0&!S1";
}
leakage_power () {
value : 0.0077164000;
when : "!A0&A1&A2&A3&S0&S1";
}
leakage_power () {
value : 0.0055743000;
when : "!A0&A1&A2&A3&S0&!S1";
}
leakage_power () {
value : 0.0171159000;
when : "A0&!A1&!A2&!A3&!S0&S1";
}
leakage_power () {
value : 0.0040318000;
when : "A0&!A1&!A2&!A3&!S0&!S1";
}
leakage_power () {
value : 0.0162469000;
when : "A0&!A1&!A2&!A3&S0&S1";
}
leakage_power () {
value : 0.0141048000;
when : "A0&!A1&!A2&!A3&S0&!S1";
}
leakage_power () {
value : 0.0174018000;
when : "A0&!A1&!A2&A3&!S0&S1";
}
leakage_power () {
value : 0.0043177000;
when : "A0&!A1&!A2&A3&!S0&!S1";
}
leakage_power () {
value : 0.0090934000;
when : "A0&!A1&!A2&A3&S0&S1";
}
leakage_power () {
value : 0.0165345000;
when : "A0&!A1&!A2&A3&S0&!S1";
}
leakage_power () {
value : 0.0062968000;
when : "A0&!A1&A2&!A3&!S0&S1";
}
leakage_power () {
value : 0.0041546000;
when : "A0&!A1&A2&!A3&!S0&!S1";
}
leakage_power () {
value : 0.0165312000;
when : "A0&!A1&A2&!A3&S0&S1";
}
leakage_power () {
value : 0.0143891000;
when : "A0&!A1&A2&!A3&S0&!S1";
}
leakage_power () {
value : 0.0056425000;
when : "A0&!A1&A2&A3&!S0&S1";
}
leakage_power () {
value : 0.0035004000;
when : "A0&!A1&A2&A3&!S0&!S1";
}
leakage_power () {
value : 0.0082453000;
when : "A0&!A1&A2&A3&S0&S1";
}
leakage_power () {
value : 0.0156864000;
when : "A0&!A1&A2&A3&S0&!S1";
}
leakage_power () {
value : 0.0161641000;
when : "A0&A1&!A2&!A3&!S0&S1";
}
leakage_power () {
value : 0.0030800000;
when : "A0&A1&!A2&!A3&!S0&!S1";
}
leakage_power () {
value : 0.0183565000;
when : "A0&A1&!A2&!A3&S0&S1";
}
leakage_power () {
value : 0.0052725000;
when : "A0&A1&!A2&!A3&S0&!S1";
}
leakage_power () {
value : 0.0164499000;
when : "A0&A1&!A2&A3&!S0&S1";
}
leakage_power () {
value : 0.0033659000;
when : "A0&A1&!A2&A3&!S0&!S1";
}
leakage_power () {
value : 0.0076012000;
when : "A0&A1&!A2&A3&S0&S1";
}
leakage_power () {
value : 0.0054591000;
when : "A0&A1&!A2&A3&S0&!S1";
}
leakage_power () {
value : 0.0053449000;
when : "A0&A1&A2&!A3&!S0&S1";
}
leakage_power () {
value : 0.0032028000;
when : "A0&A1&A2&!A3&!S0&!S1";
}
leakage_power () {
value : 0.0186409000;
when : "A0&A1&A2&!A3&S0&S1";
}
leakage_power () {
value : 0.0055568000;
when : "A0&A1&A2&!A3&S0&!S1";
}
leakage_power () {
value : 0.0046907000;
when : "A0&A1&A2&A3&!S0&S1";
}
leakage_power () {
value : 0.0025486000;
when : "A0&A1&A2&A3&!S0&!S1";
}
leakage_power () {
value : 0.0067531000;
when : "A0&A1&A2&A3&S0&S1";
}
leakage_power () {
value : 0.0046110000;
when : "A0&A1&A2&A3&S0&!S1";
}
area : 17.516800000;
cell_footprint : "cus_tg_mux41_buf";
cell_leakage_power : 0.0105018800;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VNB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VPB";
voltage_name : "VPWR";
}
pin ("A0") {
capacitance : 0.0015250000;
clock : "false";
direction : "input";
fall_capacitance : 0.0014750000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0108004000, 0.0107252000, 0.0105520000, 0.0105549000, 0.0105614000, 0.0105768000, 0.0106121000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0050509000, 0.0050113000, 0.0049199000, 0.0049420000, 0.0049929000, 0.0051104000, 0.0053813000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0015740000;
}
pin ("A1") {
capacitance : 0.0014380000;
clock : "false";
direction : "input";
fall_capacitance : 0.0013960000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0020590000, 0.0020531000, 0.0020396000, 0.0020395000, 0.0020390000, 0.0020380000, 0.0020358000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.001912400, -0.001922600, -0.001946200, -0.001948400, -0.001953700, -0.001965700, -0.001993400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0014800000;
}
pin ("A2") {
capacitance : 0.0014640000;
clock : "false";
direction : "input";
fall_capacitance : 0.0014190000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0086365000, 0.0085740000, 0.0084300000, 0.0084297000, 0.0084288000, 0.0084270000, 0.0084228000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0065099000, 0.0064677000, 0.0063705000, 0.0063839000, 0.0064146000, 0.0064857000, 0.0066496000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0015100000;
}
pin ("A3") {
capacitance : 0.0014780000;
clock : "false";
direction : "input";
fall_capacitance : 0.0014380000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0023059000, 0.0023023000, 0.0022941000, 0.0022945000, 0.0022952000, 0.0022971000, 0.0023015000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.002222200, -0.002231400, -0.002252600, -0.002253300, -0.002255100, -0.002259100, -0.002268200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0015180000;
}
pin ("S0") {
capacitance : 0.0038950000;
clock : "false";
direction : "input";
fall_capacitance : 0.0037000000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0153468000, 0.0151944000, 0.0148432000, 0.0148869000, 0.0149876000, 0.0152199000, 0.0157554000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0034915000, 0.0033720000, 0.0030965000, 0.0031518000, 0.0032792000, 0.0035729000, 0.0042501000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0040890000;
}
pin ("S0N") {
capacitance : 0.0038950000;
clock : "false";
direction : "input";
fall_capacitance : 0.0037000000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0153468000, 0.0151944000, 0.0148432000, 0.0148869000, 0.0149876000, 0.0152199000, 0.0157554000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0034915000, 0.0033720000, 0.0030965000, 0.0031518000, 0.0032792000, 0.0035729000, 0.0042501000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0040890000;
}
pin ("S1") {
capacitance : 0.0026750000;
clock : "false";
direction : "input";
fall_capacitance : 0.0026070000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0084846000, 0.0083717000, 0.0081114000, 0.0081627000, 0.0082808000, 0.0085532000, 0.0091811000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0008260000, 0.0007332000, 0.0005193000, 0.0005749000, 0.0007030000, 0.0009983000, 0.0016792000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0027440000;
}
pin ("S1N") {
capacitance : 0.0026750000;
clock : "false";
direction : "input";
fall_capacitance : 0.0026070000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0084846000, 0.0083717000, 0.0081114000, 0.0081627000, 0.0082808000, 0.0085532000, 0.0091811000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0008260000, 0.0007332000, 0.0005193000, 0.0005749000, 0.0007030000, 0.0009983000, 0.0016792000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0027440000;
}
pin ("X") {
direction : "output";
function : "(A0&!S0&S0N&!S1&S1N) | (A1&S0&!S0N&!S1&S1N) | (A2&!S0&S1N&S1&!S1N) | (A3&S0&!S0N&S1&!S1N)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
values("0.0059481000, 0.0046562000, 0.0017191000, -0.006269400, -0.028379200, -0.089151500, -0.250279900", \
"0.0059135000, 0.0046558000, 0.0017100000, -0.006244100, -0.028404700, -0.089176200, -0.250264000", \
"0.0060027000, 0.0047619000, 0.0018243000, -0.006139700, -0.028268100, -0.089047600, -0.250162700", \
"0.0059120000, 0.0046895000, 0.0016624000, -0.006229600, -0.028401900, -0.089164900, -0.250253600", \
"0.0058404000, 0.0045742000, 0.0015987000, -0.006339000, -0.028484400, -0.089279900, -0.250345400", \
"0.0058221000, 0.0045534000, 0.0015485000, -0.006388200, -0.028573000, -0.089381300, -0.250439500", \
"0.0076036000, 0.0060750000, 0.0023208000, -0.006461800, -0.028578700, -0.089365900, -0.250424100");
}
related_pin : "A0";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
values("0.0115631000, 0.0130373000, 0.0168632000, 0.0261743000, 0.0497513000, 0.1108908000, 0.2702471000", \
"0.0115721000, 0.0130360000, 0.0168683000, 0.0262047000, 0.0497071000, 0.1108800000, 0.2713143000", \
"0.0115967000, 0.0130835000, 0.0169196000, 0.0262209000, 0.0498049000, 0.1108958000, 0.2706444000", \
"0.0115100000, 0.0129840000, 0.0168321000, 0.0261211000, 0.0496983000, 0.1108079000, 0.2700842000", \
"0.0114423000, 0.0129114000, 0.0167349000, 0.0260124000, 0.0495332000, 0.1106592000, 0.2705351000", \
"0.0119513000, 0.0132718000, 0.0166298000, 0.0258164000, 0.0492199000, 0.1104153000, 0.2702854000", \
"0.0123690000, 0.0136700000, 0.0171279000, 0.0261011000, 0.0492696000, 0.1106086000, 0.2695234000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
values("0.0172384000, 0.0159773000, 0.0130468000, 0.0052229000, -0.016772400, -0.077443500, -0.238511300", \
"0.0171604000, 0.0159323000, 0.0130573000, 0.0051751000, -0.016811700, -0.077486100, -0.238520300", \
"0.0171225000, 0.0158650000, 0.0129531000, 0.0050917000, -0.016909500, -0.077564600, -0.238602200", \
"0.0170423000, 0.0157633000, 0.0128504000, 0.0050349000, -0.016957000, -0.077663300, -0.238686000", \
"0.0169421000, 0.0157122000, 0.0128135000, 0.0049607000, -0.017065000, -0.077745200, -0.238776600", \
"0.0169397000, 0.0156909000, 0.0127776000, 0.0048928000, -0.017173100, -0.077830800, -0.238849100", \
"0.0187262000, 0.0171925000, 0.0134374000, 0.0048701000, -0.017103200, -0.077814400, -0.238827200");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
values("0.0153355000, 0.0167542000, 0.0204871000, 0.0297900000, 0.0532563000, 0.1144039000, 0.2751977000", \
"0.0152772000, 0.0167085000, 0.0204666000, 0.0297083000, 0.0532176000, 0.1144098000, 0.2748566000", \
"0.0152516000, 0.0166828000, 0.0204402000, 0.0296810000, 0.0531904000, 0.1143827000, 0.2748279000", \
"0.0152068000, 0.0166394000, 0.0203698000, 0.0296651000, 0.0531262000, 0.1142833000, 0.2751001000", \
"0.0151854000, 0.0166108000, 0.0203369000, 0.0295661000, 0.0530930000, 0.1141985000, 0.2737158000", \
"0.0155469000, 0.0168487000, 0.0202850000, 0.0295174000, 0.0528762000, 0.1143241000, 0.2736481000", \
"0.0162053000, 0.0175074000, 0.0209919000, 0.0299420000, 0.0530929000, 0.1142682000, 0.2734860000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
values("0.0090409000, 0.0077541000, 0.0048381000, -0.003062700, -0.025184800, -0.085995600, -0.247086600", \
"0.0089691000, 0.0077224000, 0.0048000000, -0.003107100, -0.025264100, -0.086019500, -0.247087300", \
"0.0090467000, 0.0077938000, 0.0048703000, -0.003067100, -0.025198400, -0.085966200, -0.247020100", \
"0.0089496000, 0.0077026000, 0.0047801000, -0.003110700, -0.025259300, -0.085977700, -0.247109200", \
"0.0088597000, 0.0076334000, 0.0046782000, -0.003194300, -0.025364200, -0.086126200, -0.247201500", \
"0.0088944000, 0.0076363000, 0.0046516000, -0.003253100, -0.025424100, -0.086228500, -0.247278900", \
"0.0107171000, 0.0091853000, 0.0054411000, -0.003326700, -0.025414400, -0.086191700, -0.247236800");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
values("0.0080953000, 0.0095270000, 0.0133018000, 0.0226059000, 0.0460494000, 0.1070979000, 0.2667809000", \
"0.0080588000, 0.0095158000, 0.0132942000, 0.0225602000, 0.0460580000, 0.1071847000, 0.2680293000", \
"0.0081219000, 0.0095646000, 0.0133155000, 0.0226421000, 0.0460860000, 0.1072032000, 0.2680302000", \
"0.0080384000, 0.0094960000, 0.0132635000, 0.0225243000, 0.0460366000, 0.1070961000, 0.2676599000", \
"0.0079836000, 0.0094208000, 0.0131696000, 0.0224456000, 0.0458889000, 0.1068850000, 0.2669445000", \
"0.0083412000, 0.0096637000, 0.0130210000, 0.0222659000, 0.0456265000, 0.1067135000, 0.2667172000", \
"0.0087931000, 0.0100996000, 0.0134705000, 0.0225202000, 0.0456737000, 0.1068439000, 0.2668362000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
values("0.0164479000, 0.0152232000, 0.0123019000, 0.0044886000, -0.017580100, -0.078360200, -0.239443000", \
"0.0164000000, 0.0151604000, 0.0122687000, 0.0044056000, -0.017672400, -0.078424300, -0.239490400", \
"0.0163373000, 0.0150946000, 0.0121652000, 0.0043412000, -0.017739100, -0.078491100, -0.239557500", \
"0.0162442000, 0.0150052000, 0.0121176000, 0.0042683000, -0.017769500, -0.078561300, -0.239632700", \
"0.0161488000, 0.0149243000, 0.0120150000, 0.0041712000, -0.017917500, -0.078713500, -0.239738500", \
"0.0161544000, 0.0149407000, 0.0120371000, 0.0041260000, -0.017996600, -0.078780100, -0.239824800", \
"0.0181283000, 0.0165939000, 0.0128508000, 0.0040557000, -0.017981200, -0.078764600, -0.239792800");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
values("0.0140796000, 0.0154714000, 0.0191615000, 0.0283693000, 0.0518207000, 0.1127334000, 0.2726772000", \
"0.0140475000, 0.0154527000, 0.0191220000, 0.0283073000, 0.0518219000, 0.1128476000, 0.2725675000", \
"0.0140200000, 0.0154131000, 0.0191030000, 0.0283156000, 0.0517531000, 0.1127138000, 0.2724332000", \
"0.0139525000, 0.0153622000, 0.0190271000, 0.0282680000, 0.0516779000, 0.1127668000, 0.2731441000", \
"0.0139223000, 0.0153181000, 0.0189956000, 0.0281771000, 0.0516159000, 0.1125346000, 0.2725380000", \
"0.0142442000, 0.0155303000, 0.0189348000, 0.0280941000, 0.0514009000, 0.1125480000, 0.2723184000", \
"0.0147418000, 0.0160107000, 0.0194431000, 0.0285072000, 0.0516226000, 0.1126678000, 0.2718328000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
values("0.0184749000, 0.0172705000, 0.0143327000, 0.0064797000, -0.015510500, -0.076178300, -0.237221200", \
"0.0184748000, 0.0172436000, 0.0143497000, 0.0064770000, -0.015516300, -0.076182000, -0.237237400", \
"0.0184653000, 0.0172410000, 0.0143127000, 0.0064990000, -0.015531900, -0.076205900, -0.237245400", \
"0.0177666000, 0.0165267000, 0.0135854000, 0.0057959000, -0.016219800, -0.076897700, -0.237920200", \
"0.0171542000, 0.0159076000, 0.0130376000, 0.0051569000, -0.016823700, -0.077475100, -0.238531200", \
"0.0165819000, 0.0153845000, 0.0124336000, 0.0046130000, -0.017337100, -0.077997600, -0.239014900", \
"0.0208433000, 0.0193635000, 0.0157447000, 0.0066510000, -0.016878200, -0.077656400, -0.238638400");
}
related_pin : "S0";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
values("0.0234401000, 0.0249210000, 0.0287619000, 0.0380620000, 0.0616178000, 0.1226766000, 0.2828010000", \
"0.0233995000, 0.0248659000, 0.0286625000, 0.0379733000, 0.0615207000, 0.1227276000, 0.2831125000", \
"0.0235246000, 0.0249939000, 0.0287879000, 0.0380979000, 0.0616108000, 0.1228600000, 0.2832466000", \
"0.0232735000, 0.0247381000, 0.0285652000, 0.0378623000, 0.0614386000, 0.1225865000, 0.2821293000", \
"0.0229430000, 0.0244103000, 0.0282251000, 0.0375095000, 0.0610814000, 0.1223159000, 0.2828045000", \
"0.0225571000, 0.0240328000, 0.0278814000, 0.0372331000, 0.0607479000, 0.1218186000, 0.2824158000", \
"0.0227936000, 0.0241312000, 0.0276333000, 0.0366581000, 0.0606013000, 0.1217088000, 0.2810817000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
values("0.0076263000, 0.0064341000, 0.0035829000, -0.004175000, -0.026266100, -0.087118400, -0.248286000", \
"0.0076801000, 0.0064863000, 0.0036353000, -0.004161200, -0.026236300, -0.087062700, -0.248229400", \
"0.0078325000, 0.0066337000, 0.0038120000, -0.003965600, -0.026052800, -0.086900900, -0.248066900", \
"0.0077769000, 0.0066052000, 0.0037377000, -0.004008900, -0.026069600, -0.086898900, -0.248061800", \
"0.0077268000, 0.0065937000, 0.0037779000, -0.003958000, -0.026024000, -0.086796100, -0.247931400", \
"0.0093264000, 0.0079336000, 0.0044627000, -0.003436100, -0.025576400, -0.086375800, -0.247479000", \
"0.0134992000, 0.0121364000, 0.0086940000, -0.000184400, -0.023544600, -0.085069100, -0.246236700");
}
related_pin : "S1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
values("0.0121377000, 0.0135760000, 0.0173405000, 0.0265911000, 0.0500171000, 0.1111099000, 0.2719908000", \
"0.0120013000, 0.0134626000, 0.0172394000, 0.0264880000, 0.0499362000, 0.1108458000, 0.2710566000", \
"0.0121146000, 0.0135562000, 0.0173107000, 0.0265623000, 0.0500643000, 0.1110967000, 0.2718774000", \
"0.0120975000, 0.0135384000, 0.0172907000, 0.0265515000, 0.0500508000, 0.1110944000, 0.2719406000", \
"0.0122339000, 0.0136986000, 0.0174522000, 0.0267385000, 0.0501590000, 0.1109793000, 0.2713749000", \
"0.0127289000, 0.0140209000, 0.0179575000, 0.0272933000, 0.0506745000, 0.1116608000, 0.2717367000", \
"0.0138255000, 0.0151744000, 0.0186562000, 0.0277587000, 0.0511335000, 0.1124942000, 0.2713970000");
}
}
max_capacitance : 0.1611430000;
max_transition : 1.5063560000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.4305261000, 0.4433747000, 0.4707105000, 0.5203642000, 0.6094312000, 0.7784000000, 1.1448035000", \
"0.4349955000, 0.4484747000, 0.4757554000, 0.5255227000, 0.6142764000, 0.7834726000, 1.1496277000", \
"0.4473923000, 0.4611971000, 0.4884600000, 0.5379664000, 0.6271010000, 0.7960110000, 1.1624196000", \
"0.4744450000, 0.4881490000, 0.5153927000, 0.5650636000, 0.6538657000, 0.8230113000, 1.1892562000", \
"0.5319831000, 0.5455890000, 0.5726986000, 0.6222999000, 0.7112191000, 0.8800917000, 1.2464832000", \
"0.6616791000, 0.6753029000, 0.7026374000, 0.7519140000, 0.8412075000, 1.0101172000, 1.3765592000", \
"0.9145905000, 0.9297085000, 0.9587965000, 1.0121265000, 1.1052587000, 1.2786883000, 1.6469760000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.1717983000, 0.1813912000, 0.2020530000, 0.2453318000, 0.3429268000, 0.5866390000, 1.2188831000", \
"0.1763315000, 0.1858235000, 0.2064409000, 0.2498500000, 0.3472704000, 0.5901197000, 1.2224211000", \
"0.1851639000, 0.1948254000, 0.2154342000, 0.2587976000, 0.3562944000, 0.5999626000, 1.2328480000", \
"0.2048376000, 0.2144553000, 0.2350892000, 0.2783584000, 0.3759355000, 0.6196473000, 1.2514763000", \
"0.2467429000, 0.2564435000, 0.2771971000, 0.3206292000, 0.4179758000, 0.6616040000, 1.2946253000", \
"0.3164575000, 0.3269614000, 0.3490047000, 0.3940969000, 0.4927541000, 0.7368272000, 1.3697127000", \
"0.4071747000, 0.4195484000, 0.4450411000, 0.4944751000, 0.5963810000, 0.8408385000, 1.4724846000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0686527000, 0.0758281000, 0.0905232000, 0.1219073000, 0.1914591000, 0.3565445000, 0.7866747000", \
"0.0676483000, 0.0759727000, 0.0905919000, 0.1209970000, 0.1891139000, 0.3552455000, 0.7885047000", \
"0.0691611000, 0.0759160000, 0.0907322000, 0.1218594000, 0.1913357000, 0.3565800000, 0.7868282000", \
"0.0689290000, 0.0759682000, 0.0904001000, 0.1209942000, 0.1895553000, 0.3555945000, 0.7884906000", \
"0.0684204000, 0.0750862000, 0.0908299000, 0.1217172000, 0.1911000000, 0.3564938000, 0.7868560000", \
"0.0683062000, 0.0748076000, 0.0897114000, 0.1227171000, 0.1912118000, 0.3565469000, 0.7871152000", \
"0.0797135000, 0.0860904000, 0.1015315000, 0.1331974000, 0.2000329000, 0.3627101000, 0.7905140000");
}
related_pin : "A0";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0333627000, 0.0415996000, 0.0611515000, 0.1093269000, 0.2368543000, 0.5827621000, 1.5014476000", \
"0.0333041000, 0.0417158000, 0.0612216000, 0.1093494000, 0.2367016000, 0.5829626000, 1.4980898000", \
"0.0332479000, 0.0414889000, 0.0612421000, 0.1095098000, 0.2368806000, 0.5834287000, 1.5015308000", \
"0.0334680000, 0.0415266000, 0.0612093000, 0.1092771000, 0.2368796000, 0.5824927000, 1.5010080000", \
"0.0338649000, 0.0420552000, 0.0616427000, 0.1099685000, 0.2366199000, 0.5837477000, 1.5002004000", \
"0.0373041000, 0.0459607000, 0.0657140000, 0.1132670000, 0.2387181000, 0.5839980000, 1.4995973000", \
"0.0459192000, 0.0550010000, 0.0765411000, 0.1225740000, 0.2441715000, 0.5851983000, 1.4943221000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.4441279000, 0.4577177000, 0.4847449000, 0.5346096000, 0.6237669000, 0.7930026000, 1.1596397000", \
"0.4484306000, 0.4622151000, 0.4895741000, 0.5393376000, 0.6284803000, 0.7977683000, 1.1644072000", \
"0.4618141000, 0.4754250000, 0.5024666000, 0.5523481000, 0.6414521000, 0.8107691000, 1.1771730000", \
"0.4885655000, 0.5019040000, 0.5292891000, 0.5793896000, 0.6682208000, 0.8376821000, 1.2041564000", \
"0.5449684000, 0.5588440000, 0.5859488000, 0.6358227000, 0.7248915000, 0.8943954000, 1.2606740000", \
"0.6745370000, 0.6880530000, 0.7153234000, 0.7651172000, 0.8540105000, 1.0233504000, 1.3903850000", \
"0.9284050000, 0.9433000000, 0.9719904000, 1.0252198000, 1.1183483000, 1.2916838000, 1.6599315000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.1807053000, 0.1905545000, 0.2118679000, 0.2564059000, 0.3547463000, 0.5979023000, 1.2309885000", \
"0.1854525000, 0.1953950000, 0.2167591000, 0.2611690000, 0.3597520000, 0.6029172000, 1.2346402000", \
"0.1952207000, 0.2051606000, 0.2265251000, 0.2709329000, 0.3695173000, 0.6126866000, 1.2442665000", \
"0.2157056000, 0.2256286000, 0.2469325000, 0.2914495000, 0.3897856000, 0.6329385000, 1.2660728000", \
"0.2595558000, 0.2695308000, 0.2909115000, 0.3354103000, 0.4339718000, 0.6778857000, 1.3110454000", \
"0.3342261000, 0.3449455000, 0.3676130000, 0.4137743000, 0.5134028000, 0.7578024000, 1.3929506000", \
"0.4356476000, 0.4483710000, 0.4744677000, 0.5249338000, 0.6282792000, 0.8730068000, 1.5043868000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0683000000, 0.0753742000, 0.0902898000, 0.1213173000, 0.1917310000, 0.3568467000, 0.7869299000", \
"0.0698022000, 0.0764355000, 0.0910260000, 0.1228445000, 0.1912922000, 0.3570257000, 0.7884525000", \
"0.0685880000, 0.0753679000, 0.0906310000, 0.1215586000, 0.1907502000, 0.3563775000, 0.7902193000", \
"0.0686185000, 0.0758598000, 0.0910067000, 0.1221308000, 0.1899191000, 0.3562769000, 0.7889351000", \
"0.0691618000, 0.0761955000, 0.0914916000, 0.1218013000, 0.1897701000, 0.3553661000, 0.7885597000", \
"0.0682056000, 0.0751728000, 0.0902034000, 0.1218765000, 0.1907967000, 0.3562084000, 0.7884367000", \
"0.0790607000, 0.0860688000, 0.1033034000, 0.1326945000, 0.2026071000, 0.3623398000, 0.7922393000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0345376000, 0.0431686000, 0.0631602000, 0.1114520000, 0.2384579000, 0.5831274000, 1.5012145000", \
"0.0346409000, 0.0430684000, 0.0630910000, 0.1116274000, 0.2380471000, 0.5819913000, 1.4983518000", \
"0.0346268000, 0.0430826000, 0.0630922000, 0.1116282000, 0.2380496000, 0.5819793000, 1.4986539000", \
"0.0346351000, 0.0431680000, 0.0631700000, 0.1114892000, 0.2384701000, 0.5831723000, 1.5018320000", \
"0.0349520000, 0.0436290000, 0.0635214000, 0.1115611000, 0.2384915000, 0.5836806000, 1.5021601000", \
"0.0382260000, 0.0472277000, 0.0674240000, 0.1153490000, 0.2404825000, 0.5828560000, 1.5026057000", \
"0.0469614000, 0.0566771000, 0.0778820000, 0.1247582000, 0.2463703000, 0.5857106000, 1.4984626000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.4315596000, 0.4442849000, 0.4712651000, 0.5201995000, 0.6080528000, 0.7758702000, 1.1408459000", \
"0.4362156000, 0.4495936000, 0.4764460000, 0.5254579000, 0.6131946000, 0.7810060000, 1.1456394000", \
"0.4496539000, 0.4625058000, 0.4893282000, 0.5381794000, 0.6260027000, 0.7938501000, 1.1584684000", \
"0.4766469000, 0.4900708000, 0.5168944000, 0.5659196000, 0.6537303000, 0.8213926000, 1.1865233000", \
"0.5343626000, 0.5479032000, 0.5745044000, 0.6235825000, 0.7113698000, 0.8790338000, 1.2440712000", \
"0.6649902000, 0.6782878000, 0.7050741000, 0.7537496000, 0.8414812000, 1.0093524000, 1.3743543000", \
"0.9188307000, 0.9329801000, 0.9621701000, 1.0142163000, 1.1061811000, 1.2780577000, 1.6449081000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.1758945000, 0.1858125000, 0.2071320000, 0.2514927000, 0.3493573000, 0.5920405000, 1.2284394000", \
"0.1806884000, 0.1906743000, 0.2120599000, 0.2562686000, 0.3544131000, 0.5972955000, 1.2309050000", \
"0.1903733000, 0.2003084000, 0.2216123000, 0.2659484000, 0.3638719000, 0.6067382000, 1.2418001000", \
"0.2103527000, 0.2203896000, 0.2416987000, 0.2858887000, 0.3840649000, 0.6275623000, 1.2592369000", \
"0.2538819000, 0.2640388000, 0.2854577000, 0.3297626000, 0.4276097000, 0.6706322000, 1.3043715000", \
"0.3292198000, 0.3401116000, 0.3627717000, 0.4088560000, 0.5081598000, 0.7524021000, 1.3851444000", \
"0.4318023000, 0.4446970000, 0.4709208000, 0.5215545000, 0.6246172000, 0.8687389000, 1.5013003000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0664589000, 0.0746101000, 0.0891018000, 0.1198992000, 0.1897462000, 0.3527141000, 0.7876010000", \
"0.0667053000, 0.0734567000, 0.0880328000, 0.1201542000, 0.1883916000, 0.3532286000, 0.7874136000", \
"0.0666622000, 0.0732489000, 0.0878593000, 0.1211913000, 0.1883020000, 0.3528933000, 0.7861682000", \
"0.0668303000, 0.0736016000, 0.0880574000, 0.1202914000, 0.1878006000, 0.3542549000, 0.7865759000", \
"0.0666249000, 0.0742768000, 0.0883695000, 0.1206483000, 0.1901270000, 0.3533022000, 0.7883879000", \
"0.0663560000, 0.0733032000, 0.0880401000, 0.1213686000, 0.1896201000, 0.3528174000, 0.7873456000", \
"0.0779261000, 0.0849636000, 0.0994551000, 0.1305360000, 0.1996427000, 0.3593060000, 0.7909534000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0347354000, 0.0433435000, 0.0630671000, 0.1109276000, 0.2379892000, 0.5838274000, 1.5040769000", \
"0.0348356000, 0.0430809000, 0.0629923000, 0.1111530000, 0.2374490000, 0.5824596000, 1.5047310000", \
"0.0348291000, 0.0433477000, 0.0631190000, 0.1110833000, 0.2380197000, 0.5832977000, 1.5049728000", \
"0.0346212000, 0.0431807000, 0.0629839000, 0.1110856000, 0.2377177000, 0.5822470000, 1.5026596000", \
"0.0352159000, 0.0436964000, 0.0636460000, 0.1112727000, 0.2381087000, 0.5839047000, 1.5010617000", \
"0.0385060000, 0.0475582000, 0.0675126000, 0.1151018000, 0.2400507000, 0.5841683000, 1.4998357000", \
"0.0475587000, 0.0573515000, 0.0778753000, 0.1246584000, 0.2457695000, 0.5840483000, 1.4997625000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.4196395000, 0.4326556000, 0.4593695000, 0.5076592000, 0.5943556000, 0.7605500000, 1.1240534000", \
"0.4249230000, 0.4377723000, 0.4643257000, 0.5127286000, 0.5995044000, 0.7656636000, 1.1292587000", \
"0.4372444000, 0.4500643000, 0.4766107000, 0.5250479000, 0.6118127000, 0.7779705000, 1.1413597000", \
"0.4630592000, 0.4759551000, 0.5025281000, 0.5508147000, 0.6375099000, 0.8038402000, 1.1671797000", \
"0.5209315000, 0.5341198000, 0.5603182000, 0.6086565000, 0.6956707000, 0.8617386000, 1.2253643000", \
"0.6557294000, 0.6690531000, 0.6951908000, 0.7435050000, 0.8302771000, 0.9965663000, 1.3602738000", \
"0.9177614000, 0.9317430000, 0.9605947000, 1.0122592000, 1.1032798000, 1.2737557000, 1.6390288000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.1689931000, 0.1788766000, 0.2000838000, 0.2443059000, 0.3421240000, 0.5851397000, 1.2177844000", \
"0.1738278000, 0.1837813000, 0.2049828000, 0.2491105000, 0.3471149000, 0.5905265000, 1.2235724000", \
"0.1838195000, 0.1936652000, 0.2148898000, 0.2591201000, 0.3568762000, 0.5996608000, 1.2327174000", \
"0.2048264000, 0.2146996000, 0.2359048000, 0.2800903000, 0.3778830000, 0.6206688000, 1.2536739000", \
"0.2494966000, 0.2595124000, 0.2808485000, 0.3251329000, 0.4230140000, 0.6660962000, 1.2984210000", \
"0.3245688000, 0.3353944000, 0.3581133000, 0.4041353000, 0.5033234000, 0.7476605000, 1.3799850000", \
"0.4252081000, 0.4379898000, 0.4645038000, 0.5152614000, 0.6183417000, 0.8620185000, 1.4943613000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0647652000, 0.0720417000, 0.0865763000, 0.1181937000, 0.1879459000, 0.3503676000, 0.7865933000", \
"0.0652340000, 0.0719769000, 0.0865186000, 0.1183718000, 0.1878212000, 0.3508377000, 0.7856744000", \
"0.0652397000, 0.0719847000, 0.0865129000, 0.1182916000, 0.1877971000, 0.3508637000, 0.7862883000", \
"0.0651628000, 0.0719768000, 0.0865314000, 0.1176277000, 0.1873352000, 0.3497805000, 0.7850314000", \
"0.0650765000, 0.0719932000, 0.0875627000, 0.1179531000, 0.1868192000, 0.3510106000, 0.7851105000", \
"0.0648110000, 0.0721182000, 0.0873382000, 0.1182173000, 0.1882311000, 0.3511564000, 0.7844724000", \
"0.0767310000, 0.0853742000, 0.0993372000, 0.1298631000, 0.1981587000, 0.3565939000, 0.7887275000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0343914000, 0.0429472000, 0.0626487000, 0.1108631000, 0.2372544000, 0.5825633000, 1.5013099000", \
"0.0342969000, 0.0429686000, 0.0627612000, 0.1105194000, 0.2377648000, 0.5840094000, 1.5015210000", \
"0.0344068000, 0.0429884000, 0.0626391000, 0.1107932000, 0.2373799000, 0.5836706000, 1.5035042000", \
"0.0345251000, 0.0430282000, 0.0628025000, 0.1108079000, 0.2377270000, 0.5831789000, 1.5003453000", \
"0.0349385000, 0.0433605000, 0.0632847000, 0.1111824000, 0.2375996000, 0.5828576000, 1.4988415000", \
"0.0384681000, 0.0476592000, 0.0675429000, 0.1150664000, 0.2394695000, 0.5842169000, 1.4988883000", \
"0.0480141000, 0.0576628000, 0.0781082000, 0.1250077000, 0.2454117000, 0.5844775000, 1.4996366000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.3958371000, 0.4094101000, 0.4360752000, 0.4849924000, 0.5728234000, 0.7406895000, 1.1055863000", \
"0.3995951000, 0.4131626000, 0.4396093000, 0.4886365000, 0.5765531000, 0.7441705000, 1.1093588000", \
"0.4089652000, 0.4224062000, 0.4490457000, 0.4981015000, 0.5859456000, 0.7535997000, 1.1187380000", \
"0.4346916000, 0.4481718000, 0.4747230000, 0.5237860000, 0.6116366000, 0.7793026000, 1.1444585000", \
"0.4987379000, 0.5121135000, 0.5389833000, 0.5879665000, 0.6756317000, 0.8433876000, 1.2084224000", \
"0.6531096000, 0.6664118000, 0.6932774000, 0.7419226000, 0.8296859000, 0.9976664000, 1.3624566000", \
"0.9501938000, 0.9648938000, 0.9941219000, 1.0472606000, 1.1403357000, 1.3125817000, 1.6796489000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.1604632000, 0.1706095000, 0.1923996000, 0.2377651000, 0.3378545000, 0.5816930000, 1.2159075000", \
"0.1649863000, 0.1751325000, 0.1969219000, 0.2422794000, 0.3423774000, 0.5862259000, 1.2201937000", \
"0.1756993000, 0.1858478000, 0.2076385000, 0.2529936000, 0.3530966000, 0.5969555000, 1.2315912000", \
"0.2000939000, 0.2101868000, 0.2320019000, 0.2773038000, 0.3773232000, 0.6211081000, 1.2540593000", \
"0.2520272000, 0.2623116000, 0.2842562000, 0.3296612000, 0.4293397000, 0.6731952000, 1.3086149000", \
"0.3344233000, 0.3461865000, 0.3705027000, 0.4185086000, 0.5194348000, 0.7636817000, 1.3988060000", \
"0.4359068000, 0.4514475000, 0.4816067000, 0.5366694000, 0.6422838000, 0.8865993000, 1.5191374000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0657789000, 0.0737810000, 0.0879502000, 0.1210674000, 0.1875223000, 0.3523858000, 0.7872534000", \
"0.0658392000, 0.0729490000, 0.0882036000, 0.1194633000, 0.1890221000, 0.3542916000, 0.7857905000", \
"0.0657492000, 0.0730285000, 0.0887254000, 0.1195152000, 0.1899429000, 0.3537014000, 0.7881627000", \
"0.0658256000, 0.0730052000, 0.0886133000, 0.1195331000, 0.1899140000, 0.3537492000, 0.7880364000", \
"0.0659801000, 0.0729300000, 0.0878941000, 0.1195566000, 0.1886496000, 0.3532508000, 0.7889424000", \
"0.0664246000, 0.0733058000, 0.0880516000, 0.1215955000, 0.1903486000, 0.3533133000, 0.7867186000", \
"0.0824733000, 0.0892340000, 0.1049879000, 0.1347232000, 0.2010680000, 0.3613805000, 0.7895334000");
}
related_pin : "S0";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0353984000, 0.0438906000, 0.0642482000, 0.1133057000, 0.2400030000, 0.5828268000, 1.5025756000", \
"0.0353979000, 0.0438920000, 0.0642452000, 0.1133223000, 0.2401008000, 0.5831021000, 1.5023890000", \
"0.0354640000, 0.0438567000, 0.0641820000, 0.1133315000, 0.2400296000, 0.5824499000, 1.5026195000", \
"0.0354233000, 0.0440604000, 0.0643828000, 0.1133390000, 0.2403675000, 0.5839590000, 1.4968337000", \
"0.0365055000, 0.0450044000, 0.0651434000, 0.1136547000, 0.2400913000, 0.5831506000, 1.5023750000", \
"0.0439228000, 0.0527258000, 0.0725669000, 0.1193216000, 0.2425783000, 0.5844917000, 1.4999829000", \
"0.0588665000, 0.0693244000, 0.0899239000, 0.1346046000, 0.2504323000, 0.5866183000, 1.4977554000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.4865461000, 0.5001505000, 0.5271107000, 0.5769263000, 0.6660651000, 0.8352037000, 1.2018134000", \
"0.4916957000, 0.5053744000, 0.5324506000, 0.5822351000, 0.6711166000, 0.8403466000, 1.2069237000", \
"0.5020636000, 0.5156164000, 0.5422985000, 0.5924278000, 0.6813676000, 0.8505720000, 1.2172048000", \
"0.5218871000, 0.5356396000, 0.5627938000, 0.6125105000, 0.7015346000, 0.8709979000, 1.2370857000", \
"0.5538349000, 0.5673498000, 0.5946816000, 0.6443141000, 0.7335351000, 0.9026855000, 1.2692852000", \
"0.5960044000, 0.6099439000, 0.6374491000, 0.6874187000, 0.7767204000, 0.9461960000, 1.3128328000", \
"0.6408872000, 0.6546449000, 0.6816718000, 0.7314915000, 0.8207247000, 0.9906438000, 1.3587301000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.2823122000, 0.2919767000, 0.3127105000, 0.3561656000, 0.4534755000, 0.6968278000, 1.3294064000", \
"0.2863027000, 0.2959239000, 0.3166281000, 0.3600597000, 0.4575035000, 0.7003334000, 1.3325931000", \
"0.2979529000, 0.3075912000, 0.3282945000, 0.3717386000, 0.4691381000, 0.7119557000, 1.3436120000", \
"0.3283357000, 0.3380144000, 0.3587315000, 0.4021147000, 0.4995962000, 0.7432046000, 1.3756273000", \
"0.3979761000, 0.4076001000, 0.4283600000, 0.4716926000, 0.5692087000, 0.8120242000, 1.4444698000", \
"0.5235851000, 0.5333481000, 0.5541350000, 0.5978993000, 0.6953891000, 0.9382792000, 1.5720993000", \
"0.7182879000, 0.7282474000, 0.7495004000, 0.7934983000, 0.8914630000, 1.1351206000, 1.7662728000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0684248000, 0.0752609000, 0.0919906000, 0.1225727000, 0.1918732000, 0.3570325000, 0.7872833000", \
"0.0685202000, 0.0754894000, 0.0903164000, 0.1221514000, 0.1917282000, 0.3567474000, 0.7885984000", \
"0.0688945000, 0.0757329000, 0.0923129000, 0.1227386000, 0.1914405000, 0.3569594000, 0.7879193000", \
"0.0692059000, 0.0754944000, 0.0903043000, 0.1238188000, 0.1924893000, 0.3558438000, 0.7883343000", \
"0.0687361000, 0.0755810000, 0.0906857000, 0.1218973000, 0.1914763000, 0.3569834000, 0.7872140000", \
"0.0690950000, 0.0768894000, 0.0906607000, 0.1221956000, 0.1904572000, 0.3571835000, 0.7885546000", \
"0.0681626000, 0.0747368000, 0.0903517000, 0.1217682000, 0.1926409000, 0.3575544000, 0.7913152000");
}
related_pin : "S0";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0338507000, 0.0419169000, 0.0615890000, 0.1096440000, 0.2366608000, 0.5838581000, 1.4985930000", \
"0.0337838000, 0.0420453000, 0.0616540000, 0.1097216000, 0.2364070000, 0.5818960000, 1.5005983000", \
"0.0337435000, 0.0420422000, 0.0616395000, 0.1096927000, 0.2365874000, 0.5824417000, 1.4997218000", \
"0.0338340000, 0.0418752000, 0.0615789000, 0.1094973000, 0.2369961000, 0.5829487000, 1.5015997000", \
"0.0337824000, 0.0420525000, 0.0615465000, 0.1096893000, 0.2368395000, 0.5821465000, 1.5008852000", \
"0.0342809000, 0.0422428000, 0.0619548000, 0.1101863000, 0.2374625000, 0.5835710000, 1.4998959000", \
"0.0355430000, 0.0437193000, 0.0632813000, 0.1111189000, 0.2374714000, 0.5826256000, 1.4990676000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.1791548000, 0.1909618000, 0.2154324000, 0.2618498000, 0.3473030000, 0.5138311000, 0.8787119000", \
"0.1830618000, 0.1950304000, 0.2194349000, 0.2658654000, 0.3512945000, 0.5177974000, 0.8827449000", \
"0.1933579000, 0.2051038000, 0.2294113000, 0.2755884000, 0.3610884000, 0.5276312000, 0.8923312000", \
"0.2194800000, 0.2310547000, 0.2550583000, 0.3008654000, 0.3861172000, 0.5525163000, 0.9171134000", \
"0.2848818000, 0.2961472000, 0.3193166000, 0.3642200000, 0.4484859000, 0.6147270000, 0.9796387000", \
"0.4175857000, 0.4300036000, 0.4549809000, 0.5009245000, 0.5850605000, 0.7509058000, 1.1158474000", \
"0.6147556000, 0.6301250000, 0.6622588000, 0.7182940000, 0.8113105000, 0.9808802000, 1.3496907000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0959095000, 0.1058636000, 0.1271737000, 0.1714488000, 0.2691191000, 0.5122254000, 1.1427131000", \
"0.1002359000, 0.1101911000, 0.1314906000, 0.1757831000, 0.2734101000, 0.5163909000, 1.1517717000", \
"0.1106549000, 0.1205669000, 0.1418596000, 0.1859483000, 0.2838275000, 0.5262674000, 1.1592233000", \
"0.1351932000, 0.1449786000, 0.1658974000, 0.2099008000, 0.3077820000, 0.5503760000, 1.1822634000", \
"0.1787855000, 0.1886428000, 0.2098948000, 0.2543655000, 0.3526982000, 0.5955232000, 1.2298028000", \
"0.2323743000, 0.2442763000, 0.2681494000, 0.3138242000, 0.4129453000, 0.6572748000, 1.2963437000", \
"0.2775380000, 0.2931521000, 0.3237733000, 0.3773182000, 0.4789941000, 0.7233038000, 1.3557792000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0489436000, 0.0570949000, 0.0740037000, 0.1089349000, 0.1840244000, 0.3510135000, 0.7881422000", \
"0.0483578000, 0.0565210000, 0.0737664000, 0.1088214000, 0.1840289000, 0.3511878000, 0.7881884000", \
"0.0483899000, 0.0562038000, 0.0731487000, 0.1094829000, 0.1815178000, 0.3515370000, 0.7862632000", \
"0.0473538000, 0.0551802000, 0.0721690000, 0.1087279000, 0.1809863000, 0.3515039000, 0.7858219000", \
"0.0448117000, 0.0526722000, 0.0708943000, 0.1057795000, 0.1810003000, 0.3505786000, 0.7855215000", \
"0.0567828000, 0.0648005000, 0.0793768000, 0.1105508000, 0.1823280000, 0.3511979000, 0.7861807000", \
"0.0797720000, 0.0888252000, 0.1068042000, 0.1372979000, 0.2013993000, 0.3612232000, 0.7915851000");
}
related_pin : "S1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0337208000, 0.0419950000, 0.0619058000, 0.1101947000, 0.2370988000, 0.5838012000, 1.4981792000", \
"0.0336513000, 0.0420073000, 0.0618775000, 0.1101597000, 0.2368426000, 0.5833266000, 1.5035581000", \
"0.0334577000, 0.0419661000, 0.0618149000, 0.1102604000, 0.2370076000, 0.5816216000, 1.5054208000", \
"0.0331794000, 0.0417040000, 0.0616713000, 0.1101501000, 0.2372490000, 0.5815270000, 1.5053511000", \
"0.0363796000, 0.0443906000, 0.0638070000, 0.1116608000, 0.2374916000, 0.5816427000, 1.5053139000", \
"0.0471861000, 0.0545160000, 0.0718582000, 0.1163636000, 0.2409998000, 0.5843618000, 1.4987116000", \
"0.0637612000, 0.0737855000, 0.0926755000, 0.1327621000, 0.2467317000, 0.5860097000, 1.4962299000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.2125450000, 0.2259471000, 0.2523761000, 0.3006133000, 0.3874697000, 0.5540086000, 0.9180112000", \
"0.2187354000, 0.2317623000, 0.2581070000, 0.3065567000, 0.3933205000, 0.5598673000, 0.9237683000", \
"0.2300898000, 0.2435827000, 0.2701180000, 0.3184057000, 0.4051548000, 0.5718002000, 0.9357590000", \
"0.2519512000, 0.2650370000, 0.2912780000, 0.3395553000, 0.4262097000, 0.5926850000, 0.9568287000", \
"0.3003957000, 0.3129343000, 0.3381451000, 0.3853390000, 0.4714269000, 0.6374814000, 1.0014122000", \
"0.3599424000, 0.3715493000, 0.3956178000, 0.4413145000, 0.5251689000, 0.6897186000, 1.0531432000", \
"0.4016203000, 0.4130937000, 0.4375394000, 0.4835415000, 0.5682634000, 0.7333210000, 1.0950053000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.1594995000, 0.1693261000, 0.1903884000, 0.2346316000, 0.3326049000, 0.5753956000, 1.2099327000", \
"0.1640958000, 0.1738946000, 0.1950135000, 0.2392958000, 0.3373897000, 0.5805421000, 1.2141810000", \
"0.1769023000, 0.1866645000, 0.2077704000, 0.2520024000, 0.3503081000, 0.5939091000, 1.2283039000", \
"0.2084224000, 0.2181985000, 0.2393121000, 0.2835626000, 0.3818597000, 0.6254353000, 1.2599868000", \
"0.2737858000, 0.2835610000, 0.3046240000, 0.3489291000, 0.4469695000, 0.6900184000, 1.3266864000", \
"0.3759724000, 0.3856556000, 0.4065897000, 0.4508063000, 0.5483403000, 0.7909034000, 1.4254189000", \
"0.5282612000, 0.5380268000, 0.5590030000, 0.6033649000, 0.7019227000, 0.9449159000, 1.5750500000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0626184000, 0.0695871000, 0.0845249000, 0.1179546000, 0.1851410000, 0.3508027000, 0.7860194000", \
"0.0623004000, 0.0693777000, 0.0850373000, 0.1164985000, 0.1860783000, 0.3513930000, 0.7874647000", \
"0.0624822000, 0.0695107000, 0.0858674000, 0.1173017000, 0.1849718000, 0.3506375000, 0.7859945000", \
"0.0609288000, 0.0684303000, 0.0838398000, 0.1157573000, 0.1868647000, 0.3520924000, 0.7847774000", \
"0.0543830000, 0.0622942000, 0.0788797000, 0.1124649000, 0.1832280000, 0.3512525000, 0.7853139000", \
"0.0509183000, 0.0588722000, 0.0747845000, 0.1093883000, 0.1794555000, 0.3493246000, 0.7845466000", \
"0.0509563000, 0.0584370000, 0.0750795000, 0.1099631000, 0.1819381000, 0.3470438000, 0.7786902000");
}
related_pin : "S1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
values("0.0337437000, 0.0425192000, 0.0625667000, 0.1110131000, 0.2380644000, 0.5831794000, 1.5046555000", \
"0.0340228000, 0.0424317000, 0.0625414000, 0.1111769000, 0.2375639000, 0.5826065000, 1.5022611000", \
"0.0339614000, 0.0424060000, 0.0624543000, 0.1110130000, 0.2380006000, 0.5835809000, 1.5001740000", \
"0.0339408000, 0.0423895000, 0.0624127000, 0.1109859000, 0.2379730000, 0.5835219000, 1.5020198000", \
"0.0338170000, 0.0423904000, 0.0624641000, 0.1109290000, 0.2375184000, 0.5830311000, 1.5063562000", \
"0.0337646000, 0.0423243000, 0.0623708000, 0.1111456000, 0.2369799000, 0.5835197000, 1.5000368000", \
"0.0350091000, 0.0430972000, 0.0630584000, 0.1119757000, 0.2385319000, 0.5814808000, 1.4945579000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
}