blob: e4fae638309f134e80272d5c6f02db1a9126bf61 [file] [log] [blame]
library (E_CPU_IO ){
delay_model : table_lookup;
date : "Mon Dec 6 15:08:58 2021" ;
revision : "1.0" ;
library_features(report_delay_calculation);
bus_naming_style : "%s[%d]" ;
comment : "Generated By Innovus v19.11-s128_1 ((64bit) 08/20/2019 20:54 (Linux 2.6.32-431.11.2.el6.x86_64)) Using CTE::do_extract_model -check -verilog_shell_file E_CPU_IO_verilog_timing -verilog_shell_module E_CPU_IO_verilog_timing -view func_view_tc OUTPUTs/E_CPU_IO.lib" ;
/* unit attributes */
capacitive_load_unit ( 1.0000,pf);
current_unit : "1mA" ;
pulling_resistance_unit : "1kohm" ;
time_unit : "1ns" ;
voltage_unit : "1V" ;
leakage_power_unit : "1nW" ;
/* threshold definitions */
input_threshold_pct_fall : 50.0000;
input_threshold_pct_rise : 50.0000;
output_threshold_pct_fall : 50.0000;
output_threshold_pct_rise : 50.0000;
slew_lower_threshold_pct_fall : 20.0000;
slew_lower_threshold_pct_rise : 20.0000;
slew_upper_threshold_pct_fall : 80.0000;
slew_upper_threshold_pct_rise : 80.0000;
slew_derate_from_library : 1.0000;
/* operating conditions */
operating_conditions (TCCOM ){
process : 1.0000;
temperature : 25.0000;
voltage : 1.8000;
tree_type : "worst_case_tree" ;
}
default_operating_conditions : "TCCOM" ;
nom_process : 1.0000;
nom_temperature : 25.0000;
nom_voltage : 1.8000;
/* default attributes */
default_fanout_load : 1.0000;
default_inout_pin_cap : 1.0000;
default_input_pin_cap : 1.0000;
default_output_pin_cap : 0.0000;
default_wire_load_area : 0.0000;
default_wire_load_capacitance : 0.0000;
default_wire_load_resistance : 3.7000;
k_process_cell_rise : 0.0000;
k_process_cell_fall : 0.0000;
k_volt_cell_rise : 0.0000;
k_volt_cell_fall : 0.0000;
k_temp_cell_rise : 0.0000;
k_temp_cell_fall : 0.0000;
k_process_rise_transition : 0.0000;
k_process_fall_transition : 0.0000;
k_volt_rise_transition : 0.0000;
k_volt_fall_transition : 0.0000;
k_temp_rise_transition : 0.0000;
k_temp_fall_transition : 0.0000;
/* templates */
lu_table_template (lut_timing_1 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0030, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_2 ){
variable_1 : input_net_transition ;
index_1(" 0.0073, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0030, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_3 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0042, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_4 ){
variable_1 : input_net_transition ;
index_1(" 0.0067, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0042, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_5 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0091, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_6 ){
variable_1 : input_net_transition ;
index_1(" 0.0062, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0091, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_7 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0154, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_8 ){
variable_1 : input_net_transition ;
index_1(" 0.0052, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0154, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_9 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0065, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_10 ){
variable_1 : input_net_transition ;
index_1(" 0.0067, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0065, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_11 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0047, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_12 ){
variable_1 : input_net_transition ;
index_1(" 0.0066, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0047, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_13 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0055, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_14 ){
variable_1 : input_net_transition ;
index_1(" 0.0066, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0055, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_15 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0087, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_16 ){
variable_1 : input_net_transition ;
index_1(" 0.0064, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0087, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_17 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0033, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_18 ){
variable_1 : input_net_transition ;
index_1(" 0.0073, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0033, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_19 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0048, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_20 ){
variable_1 : input_net_transition ;
index_1(" 0.0069, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0048, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_21 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0032, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_22 ){
variable_1 : input_net_transition ;
index_1(" 0.0074, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0032, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_23 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0049, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_24 ){
variable_1 : input_net_transition ;
index_1(" 0.0074, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0049, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_25 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0046, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_26 ){
variable_1 : input_net_transition ;
index_1(" 0.0073, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0046, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_27 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0051, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_28 ){
variable_1 : input_net_transition ;
index_1(" 0.0075, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0051, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_29 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0045, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_30 ){
variable_1 : input_net_transition ;
index_1(" 0.0070, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0045, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_31 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0038, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_32 ){
variable_1 : input_net_transition ;
index_1(" 0.0079, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0038, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_33 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0055, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_34 ){
variable_1 : input_net_transition ;
index_1(" 0.0072, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0055, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_35 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0043, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_36 ){
variable_1 : input_net_transition ;
index_1(" 0.0071, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0043, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_37 ){
variable_1 : input_net_transition ;
index_1(" 0.0004, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0054, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_38 ){
variable_1 : input_net_transition ;
index_1(" 0.0068, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0054, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_39 ){
variable_1 : input_net_transition ;
index_1(" 0.0009, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0015, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_40 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0156, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0015, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_41 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0024, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_42 ){
variable_1 : input_net_transition ;
index_1(" 0.0032, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0024, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_43 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_44 ){
variable_1 : input_net_transition ;
index_1(" 0.0035, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_45 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0014, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_46 ){
variable_1 : input_net_transition ;
index_1(" 0.0037, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0014, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_47 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0016, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_48 ){
variable_1 : input_net_transition ;
index_1(" 0.0035, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0016, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_49 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0014, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_50 ){
variable_1 : input_net_transition ;
index_1(" 0.0038, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0014, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_51 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0013, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_52 ){
variable_1 : input_net_transition ;
index_1(" 0.0036, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0013, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_53 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0017, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_54 ){
variable_1 : input_net_transition ;
index_1(" 0.0033, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0017, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_55 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0016, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_56 ){
variable_1 : input_net_transition ;
index_1(" 0.0039, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0016, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_57 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_58 ){
variable_1 : input_net_transition ;
index_1(" 0.0042, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_59 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0020, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_60 ){
variable_1 : input_net_transition ;
index_1(" 0.0046, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0020, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_61 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0016, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_62 ){
variable_1 : input_net_transition ;
index_1(" 0.0055, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0016, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_63 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0009, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_64 ){
variable_1 : input_net_transition ;
index_1(" 0.0050, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0009, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_65 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0024, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_66 ){
variable_1 : input_net_transition ;
index_1(" 0.0060, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0024, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_67 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0017, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_68 ){
variable_1 : input_net_transition ;
index_1(" 0.0063, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0017, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_69 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0012, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_70 ){
variable_1 : input_net_transition ;
index_1(" 0.0060, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0012, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_71 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_72 ){
variable_1 : input_net_transition ;
index_1(" 0.0061, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_73 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_74 ){
variable_1 : input_net_transition ;
index_1(" 0.0058, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_75 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0014, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_76 ){
variable_1 : input_net_transition ;
index_1(" 0.0054, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0014, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_77 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0012, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_78 ){
variable_1 : input_net_transition ;
index_1(" 0.0059, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0012, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_79 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0010, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_80 ){
variable_1 : input_net_transition ;
index_1(" 0.0056, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0010, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_81 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0080, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_82 ){
variable_1 : input_net_transition ;
index_1(" 0.0023, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0080, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_83 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0017, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_84 ){
variable_1 : input_net_transition ;
index_1(" 0.0036, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0017, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_85 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0010, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_86 ){
variable_1 : input_net_transition ;
index_1(" 0.0039, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0010, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_87 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_88 ){
variable_1 : input_net_transition ;
index_1(" 0.0036, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_89 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0012, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_90 ){
variable_1 : input_net_transition ;
index_1(" 0.0041, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0012, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_91 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0014, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_92 ){
variable_1 : input_net_transition ;
index_1(" 0.0040, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0014, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_93 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0012, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_94 ){
variable_1 : input_net_transition ;
index_1(" 0.0041, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0012, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_95 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_96 ){
variable_1 : input_net_transition ;
index_1(" 0.0042, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_97 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_98 ){
variable_1 : input_net_transition ;
index_1(" 0.0047, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_99 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0012, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_100 ){
variable_1 : input_net_transition ;
index_1(" 0.0043, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0012, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_101 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0013, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_102 ){
variable_1 : input_net_transition ;
index_1(" 0.0044, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0013, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_103 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0015, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_104 ){
variable_1 : input_net_transition ;
index_1(" 0.0044, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0015, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_105 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0029, 0.0084, 0.0343, 0.1402, 0.5738, 1.4611, 1.9047, 2.3483");
}
lu_table_template (lut_timing_106 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0029, 0.0084, 0.0343, 0.1402, 0.5738, 1.4611, 1.9047, 2.3483");
}
lu_table_template (lut_timing_107 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0025, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_108 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0025, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_109 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0025, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_110 ){
variable_1 : input_net_transition ;
index_1(" 0.0019, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0025, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_111 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0119, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_112 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0119, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_113 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0119, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_114 ){
variable_1 : input_net_transition ;
index_1(" 0.0015, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0119, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_115 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0119, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_116 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0119, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_117 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0119, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_118 ){
variable_1 : input_net_transition ;
index_1(" 0.0016, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0119, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_119 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0113, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_120 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0113, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_121 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0113, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_122 ){
variable_1 : input_net_transition ;
index_1(" 0.0017, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0113, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_123 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0022, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_124 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0022, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_125 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0022, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_126 ){
variable_1 : input_net_transition ;
index_1(" 0.0020, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0022, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_127 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0012, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_128 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0012, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_129 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0012, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_130 ){
variable_1 : input_net_transition ;
index_1(" 0.0019, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0012, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_131 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0191, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_132 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0191, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_133 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0191, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_134 ){
variable_1 : input_net_transition ;
index_1(" 0.0022, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0191, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_135 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0196, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_136 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0196, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_137 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0196, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_138 ){
variable_1 : input_net_transition ;
index_1(" 0.0024, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0196, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_139 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_140 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_141 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_142 ){
variable_1 : input_net_transition ;
index_1(" 0.0025, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0011, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_143 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0146, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_144 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0146, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_145 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0146, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_146 ){
variable_1 : input_net_transition ;
index_1(" 0.0021, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0146, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_147 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0022, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_148 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0022, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_149 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0022, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_150 ){
variable_1 : input_net_transition ;
index_1(" 0.0025, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0022, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_151 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0125, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_152 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0125, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_153 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0125, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_154 ){
variable_1 : input_net_transition ;
index_1(" 0.0027, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0125, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 4.0193, 5.0000");
}
lu_table_template (lut_timing_155 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0016, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
}
lu_table_template (lut_timing_156 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
}
lu_table_template (lut_timing_157 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0015, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
}
lu_table_template (lut_timing_158 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0013, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
}
lu_table_template (lut_timing_160 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0011, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
}
lu_table_template (lut_timing_161 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0014, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
}
lu_table_template (lut_timing_162 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0052, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_163 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0052, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_164 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0052, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_165 ){
variable_1 : input_net_transition ;
index_1(" 0.0016, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0052, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_166 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0069, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_167 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0069, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_168 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0069, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_169 ){
variable_1 : input_net_transition ;
index_1(" 0.0015, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0069, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_170 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0046, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_171 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0046, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_172 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0046, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_173 ){
variable_1 : input_net_transition ;
index_1(" 0.0013, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0046, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_174 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0028, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_175 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0028, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_176 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0028, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_177 ){
variable_1 : input_net_transition ;
index_1(" 0.0013, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0028, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_178 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0045, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_179 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0045, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_180 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0045, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_181 ){
variable_1 : input_net_transition ;
index_1(" 0.0013, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0045, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_182 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0068, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_183 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0068, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_184 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0068, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_185 ){
variable_1 : input_net_transition ;
index_1(" 0.0015, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0068, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_186 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0038, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_187 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0038, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_188 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0038, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_189 ){
variable_1 : input_net_transition ;
index_1(" 0.0011, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0038, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_190 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0044, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_191 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0044, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_192 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0044, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_193 ){
variable_1 : input_net_transition ;
index_1(" 0.0014, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0044, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_194 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0054, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_195 ){
variable_1 : input_net_transition ;
index_1(" 0.0027, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0054, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_196 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0074, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_197 ){
variable_1 : input_net_transition ;
index_1(" 0.0027, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0074, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_198 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0053, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_199 ){
variable_1 : input_net_transition ;
index_1(" 0.0028, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0053, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_200 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0077, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_201 ){
variable_1 : input_net_transition ;
index_1(" 0.0027, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0077, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_202 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0075, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_203 ){
variable_1 : input_net_transition ;
index_1(" 0.0035, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0075, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_204 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0066, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_205 ){
variable_1 : input_net_transition ;
index_1(" 0.0036, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0066, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_206 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0050, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_207 ){
variable_1 : input_net_transition ;
index_1(" 0.0036, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0050, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_208 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0058, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_209 ){
variable_1 : input_net_transition ;
index_1(" 0.0039, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0058, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_210 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0041, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_211 ){
variable_1 : input_net_transition ;
index_1(" 0.0039, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0041, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_212 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0044, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_213 ){
variable_1 : input_net_transition ;
index_1(" 0.0044, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0044, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_214 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0035, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_215 ){
variable_1 : input_net_transition ;
index_1(" 0.0042, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0035, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_216 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0042, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_217 ){
variable_1 : input_net_transition ;
index_1(" 0.0045, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0042, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_218 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0037, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_219 ){
variable_1 : input_net_transition ;
index_1(" 0.0043, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0037, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_220 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0032, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_221 ){
variable_1 : input_net_transition ;
index_1(" 0.0054, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0032, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_222 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0027, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_223 ){
variable_1 : input_net_transition ;
index_1(" 0.0054, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0027, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_224 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0050, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_225 ){
variable_1 : input_net_transition ;
index_1(" 0.0046, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0050, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_226 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0040, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_227 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0040, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_228 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0040, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_229 ){
variable_1 : input_net_transition ;
index_1(" 0.0013, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0040, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_230 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0031, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_231 ){
variable_1 : input_net_transition ;
index_1(" 0.0039, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0031, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_232 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0031, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_233 ){
variable_1 : input_net_transition ;
index_1(" 0.0038, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0031, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_234 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0058, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_235 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0058, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_236 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0058, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_237 ){
variable_1 : input_net_transition ;
index_1(" 0.0013, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0058, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_238 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0040, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_239 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0040, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_240 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0040, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_241 ){
variable_1 : input_net_transition ;
index_1(" 0.0011, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0040, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_242 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0039, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_243 ){
variable_1 : input_net_transition ;
index_1(" 0.0040, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0039, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_244 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0028, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_245 ){
variable_1 : input_net_transition ;
index_1(" 0.0041, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0028, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_246 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0032, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_247 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0032, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_248 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0032, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_249 ){
variable_1 : input_net_transition ;
index_1(" 0.0014, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0032, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_250 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0076, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_251 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0076, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_252 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0076, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_253 ){
variable_1 : input_net_transition ;
index_1(" 0.0016, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0076, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_254 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0033, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_255 ){
variable_1 : input_net_transition ;
index_1(" 0.0037, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0033, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_256 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0031, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_257 ){
variable_1 : input_net_transition ;
index_1(" 0.0038, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0031, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_258 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0047, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_259 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0047, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_260 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0047, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_261 ){
variable_1 : input_net_transition ;
index_1(" 0.0015, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0047, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_262 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0072, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_263 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0072, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_264 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0072, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_265 ){
variable_1 : input_net_transition ;
index_1(" 0.0013, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0072, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_266 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0033, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_267 ){
variable_1 : input_net_transition ;
index_1(" 0.0043, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0033, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_268 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0027, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_269 ){
variable_1 : input_net_transition ;
index_1(" 0.0047, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0027, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_270 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0058, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_271 ){
variable_1 : input_net_transition ;
index_1(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0058, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_272 ){
variable_1 : input_net_transition ;
index_1(" 0.0001, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0058, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_273 ){
variable_1 : input_net_transition ;
index_1(" 0.0015, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0058, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_274 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0014, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_275 ){
variable_1 : input_net_transition ;
index_1(" 0.0045, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0014, 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 3.0386, 5.0000");
}
lu_table_template (lut_timing_276 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0032, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_277 ){
variable_1 : input_net_transition ;
index_1(" 0.0038, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0032, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_278 ){
variable_1 : input_net_transition ;
index_1(" 0.0002, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_279 ){
variable_1 : input_net_transition ;
index_1(" 0.0044, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0023, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_280 ){
variable_1 : input_net_transition ;
index_1(" 0.0003, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0033, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_281 ){
variable_1 : input_net_transition ;
index_1(" 0.0045, 0.0781, 0.1562, 0.3125, 0.6250, 1.2500, 2.5000, 5.0000");
variable_2 : total_output_net_capacitance ;
index_2(" 0.0033, 0.0108, 0.0500, 0.2321, 1.0772, 2.0579, 3.0386, 5.0000");
}
lu_table_template (lut_timing_282 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0019, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
}
lu_table_template (lut_timing_283 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0017, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
}
lu_table_template (lut_timing_284 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0020, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
}
lu_table_template (lut_timing_285 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0022, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
}
lu_table_template (lut_timing_286 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0024, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
}
lu_table_template (lut_timing_287 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0025, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
}
lu_table_template (lut_timing_288 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0021, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
}
lu_table_template (lut_timing_289 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0027, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0500, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
}
lu_table_template (lut_timing_290 ){
variable_1 : constrained_pin_transition ;
index_1(" 0.0002, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.7500, 1.5000");
variable_2 : related_pin_transition ;
index_2(" 0.0000, 0.0234, 0.0469, 0.0938, 0.1875, 0.3750, 0.6000, 1.5000");
}
define( block_distance , cell , float ) ;
define( min_delay_arc , timing , boolean ) ;
/* end of header section */
/* Start Design E_CPU_IO */
cell (E_CPU_IO ) {
area : 8014.4053;
dont_touch : true ;
dont_use : true ;
timing_model_type : extracted ;
is_macro_cell : true ;
block_distance : 204.2197;
type (bus0){
base_type : array ;
data_type : bit ;
bit_width : 20;
bit_from : 19;
bit_to : 0;
downto : true ;
}
bus (FrameStrobe_O ){
bus_type : bus0 ;
/* Start of pin FrameStrobe_O[19] */
pin (FrameStrobe_O[19] ) {
direction : output ;
capacitance : 0.0030;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[19] wrt pin FrameStrobe[19] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[19] ";
rise_transition (lut_timing_1 ){
values(\
" 0.0211, 0.0326, 0.0912, 0.3730, 1.6876, 3.2080, 4.7228, 7.7335", \
" 0.0211, 0.0326, 0.0912, 0.3730, 1.6876, 3.2080, 4.7228, 7.7335", \
" 0.0211, 0.0326, 0.0912, 0.3730, 1.6876, 3.2080, 4.7228, 7.7335", \
" 0.0211, 0.0326, 0.0912, 0.3730, 1.6876, 3.2080, 4.7228, 7.7335", \
" 0.0211, 0.0326, 0.0912, 0.3730, 1.6876, 3.2080, 4.7228, 7.7335", \
" 0.0211, 0.0326, 0.0912, 0.3730, 1.6876, 3.2080, 4.7228, 7.7335", \
" 0.0211, 0.0326, 0.0912, 0.3730, 1.6876, 3.2080, 4.7228, 7.7335", \
" 0.0211, 0.0326, 0.0912, 0.3730, 1.6876, 3.2080, 4.7228, 7.7335" \
);
}
fall_transition (lut_timing_1 ){
values(\
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7128, 1.3519, 1.9904, 3.2670", \
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7128, 1.3519, 1.9904, 3.2670", \
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7128, 1.3519, 1.9904, 3.2670", \
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7128, 1.3519, 1.9904, 3.2670", \
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7128, 1.3519, 1.9904, 3.2670", \
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7128, 1.3519, 1.9904, 3.2670", \
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7128, 1.3519, 1.9904, 3.2670", \
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7128, 1.3519, 1.9904, 3.2670" \
);
}
cell_rise (lut_timing_1 ){
values(\
" 0.0672, 0.0784, 0.1243, 0.3219, 1.2315, 2.2807, 3.3270, 5.4050", \
" 0.0672, 0.0784, 0.1243, 0.3219, 1.2315, 2.2807, 3.3270, 5.4050", \
" 0.0672, 0.0784, 0.1243, 0.3219, 1.2315, 2.2807, 3.3270, 5.4050", \
" 0.0672, 0.0784, 0.1243, 0.3219, 1.2315, 2.2807, 3.3270, 5.4050", \
" 0.0672, 0.0784, 0.1243, 0.3219, 1.2315, 2.2807, 3.3270, 5.4050", \
" 0.0672, 0.0784, 0.1243, 0.3219, 1.2315, 2.2807, 3.3270, 5.4050", \
" 0.0672, 0.0784, 0.1243, 0.3219, 1.2315, 2.2807, 3.3270, 5.4050", \
" 0.0672, 0.0784, 0.1243, 0.3219, 1.2315, 2.2807, 3.3270, 5.4050" \
);
}
cell_fall (lut_timing_1 ){
values(\
" 0.0952, 0.1042, 0.1334, 0.2264, 0.6245, 1.0740, 1.5267, 2.4303", \
" 0.0952, 0.1042, 0.1334, 0.2264, 0.6245, 1.0740, 1.5267, 2.4303", \
" 0.0952, 0.1042, 0.1334, 0.2264, 0.6245, 1.0740, 1.5267, 2.4303", \
" 0.0952, 0.1042, 0.1334, 0.2264, 0.6245, 1.0740, 1.5267, 2.4303", \
" 0.0952, 0.1042, 0.1334, 0.2264, 0.6245, 1.0740, 1.5267, 2.4303", \
" 0.0952, 0.1042, 0.1334, 0.2264, 0.6245, 1.0740, 1.5267, 2.4303", \
" 0.0952, 0.1042, 0.1334, 0.2264, 0.6245, 1.0740, 1.5267, 2.4303", \
" 0.0952, 0.1042, 0.1334, 0.2264, 0.6245, 1.0740, 1.5267, 2.4303" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[19] wrt pin FrameStrobe[19] */
/* Start of combinational arc of pin FrameStrobe_O[19] wrt pin FrameStrobe[19] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[19] ";
rise_transition (lut_timing_2 ){
values(\
" 0.0211, 0.0326, 0.0912, 0.3731, 1.6870, 3.2072, 4.7220, 7.7339", \
" 0.0211, 0.0326, 0.0912, 0.3731, 1.6870, 3.2072, 4.7220, 7.7339", \
" 0.0211, 0.0326, 0.0912, 0.3731, 1.6870, 3.2072, 4.7220, 7.7339", \
" 0.0211, 0.0326, 0.0912, 0.3731, 1.6870, 3.2072, 4.7220, 7.7339", \
" 0.0211, 0.0326, 0.0912, 0.3731, 1.6870, 3.2072, 4.7220, 7.7339", \
" 0.0211, 0.0326, 0.0912, 0.3731, 1.6870, 3.2072, 4.7220, 7.7339", \
" 0.0211, 0.0326, 0.0912, 0.3731, 1.6870, 3.2072, 4.7220, 7.7339", \
" 0.0211, 0.0326, 0.0912, 0.3731, 1.6870, 3.2072, 4.7220, 7.7339" \
);
}
fall_transition (lut_timing_2 ){
values(\
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7127, 1.3517, 1.9902, 3.2673", \
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7127, 1.3517, 1.9902, 3.2673", \
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7127, 1.3517, 1.9902, 3.2673", \
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7127, 1.3517, 1.9902, 3.2673", \
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7127, 1.3517, 1.9902, 3.2673", \
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7127, 1.3517, 1.9902, 3.2673", \
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7127, 1.3517, 1.9902, 3.2673", \
" 0.0197, 0.0258, 0.0508, 0.1625, 0.7127, 1.3517, 1.9902, 3.2673" \
);
}
cell_rise (lut_timing_2 ){
values(\
" 0.0683, 0.0795, 0.1259, 0.3230, 1.2322, 2.2813, 3.3278, 5.4071", \
" 0.0683, 0.0795, 0.1259, 0.3230, 1.2322, 2.2813, 3.3278, 5.4071", \
" 0.0683, 0.0795, 0.1259, 0.3230, 1.2322, 2.2813, 3.3278, 5.4071", \
" 0.0683, 0.0795, 0.1259, 0.3230, 1.2322, 2.2813, 3.3278, 5.4071", \
" 0.0683, 0.0795, 0.1259, 0.3230, 1.2322, 2.2813, 3.3278, 5.4071", \
" 0.0683, 0.0795, 0.1259, 0.3230, 1.2322, 2.2813, 3.3278, 5.4071", \
" 0.0683, 0.0795, 0.1259, 0.3230, 1.2322, 2.2813, 3.3278, 5.4071", \
" 0.0683, 0.0795, 0.1259, 0.3230, 1.2322, 2.2813, 3.3278, 5.4071" \
);
}
cell_fall (lut_timing_2 ){
values(\
" 0.0963, 0.1054, 0.1350, 0.2275, 0.6255, 1.0751, 1.5277, 2.4315", \
" 0.0963, 0.1054, 0.1350, 0.2275, 0.6255, 1.0751, 1.5277, 2.4315", \
" 0.0963, 0.1054, 0.1350, 0.2275, 0.6255, 1.0751, 1.5277, 2.4315", \
" 0.0963, 0.1054, 0.1350, 0.2275, 0.6255, 1.0751, 1.5277, 2.4315", \
" 0.0963, 0.1054, 0.1350, 0.2275, 0.6255, 1.0751, 1.5277, 2.4315", \
" 0.0963, 0.1054, 0.1350, 0.2275, 0.6255, 1.0751, 1.5277, 2.4315", \
" 0.0963, 0.1054, 0.1350, 0.2275, 0.6255, 1.0751, 1.5277, 2.4315", \
" 0.0963, 0.1054, 0.1350, 0.2275, 0.6255, 1.0751, 1.5277, 2.4315" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[19] wrt pin FrameStrobe[19] */
} /* End of pin FrameStrobe_O[19] */
/* Start of pin FrameStrobe_O[18] */
pin (FrameStrobe_O[18] ) {
direction : output ;
capacitance : 0.0042;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[18] wrt pin FrameStrobe[18] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[18] ";
rise_transition (lut_timing_3 ){
values(\
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6922, 3.2168, 4.7358, 7.7555", \
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6922, 3.2168, 4.7358, 7.7555", \
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6922, 3.2168, 4.7358, 7.7555", \
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6922, 3.2168, 4.7358, 7.7555", \
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6922, 3.2168, 4.7358, 7.7555", \
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6922, 3.2168, 4.7358, 7.7555", \
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6922, 3.2168, 4.7358, 7.7555", \
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6922, 3.2168, 4.7358, 7.7555" \
);
}
fall_transition (lut_timing_3 ){
values(\
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7167, 1.3603, 2.0032, 3.2880", \
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7167, 1.3603, 2.0032, 3.2880", \
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7167, 1.3603, 2.0032, 3.2880", \
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7167, 1.3603, 2.0032, 3.2880", \
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7167, 1.3603, 2.0032, 3.2880", \
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7167, 1.3603, 2.0032, 3.2880", \
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7167, 1.3603, 2.0032, 3.2880", \
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7167, 1.3603, 2.0032, 3.2880" \
);
}
cell_rise (lut_timing_3 ){
values(\
" 0.0683, 0.0776, 0.1237, 0.3217, 1.2314, 2.2806, 3.3271, 5.4059", \
" 0.0683, 0.0776, 0.1237, 0.3217, 1.2314, 2.2806, 3.3271, 5.4059", \
" 0.0683, 0.0776, 0.1237, 0.3217, 1.2314, 2.2806, 3.3271, 5.4059", \
" 0.0683, 0.0776, 0.1237, 0.3217, 1.2314, 2.2806, 3.3271, 5.4059", \
" 0.0683, 0.0776, 0.1237, 0.3217, 1.2314, 2.2806, 3.3271, 5.4059", \
" 0.0683, 0.0776, 0.1237, 0.3217, 1.2314, 2.2806, 3.3271, 5.4059", \
" 0.0683, 0.0776, 0.1237, 0.3217, 1.2314, 2.2806, 3.3271, 5.4059", \
" 0.0683, 0.0776, 0.1237, 0.3217, 1.2314, 2.2806, 3.3271, 5.4059" \
);
}
cell_fall (lut_timing_3 ){
values(\
" 0.0960, 0.1034, 0.1327, 0.2261, 0.6256, 1.0751, 1.5277, 2.4320", \
" 0.0960, 0.1034, 0.1327, 0.2261, 0.6256, 1.0751, 1.5277, 2.4320", \
" 0.0960, 0.1034, 0.1327, 0.2261, 0.6256, 1.0751, 1.5277, 2.4320", \
" 0.0960, 0.1034, 0.1327, 0.2261, 0.6256, 1.0751, 1.5277, 2.4320", \
" 0.0960, 0.1034, 0.1327, 0.2261, 0.6256, 1.0751, 1.5277, 2.4320", \
" 0.0960, 0.1034, 0.1327, 0.2261, 0.6256, 1.0751, 1.5277, 2.4320", \
" 0.0960, 0.1034, 0.1327, 0.2261, 0.6256, 1.0751, 1.5277, 2.4320", \
" 0.0960, 0.1034, 0.1327, 0.2261, 0.6256, 1.0751, 1.5277, 2.4320" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[18] wrt pin FrameStrobe[18] */
/* Start of combinational arc of pin FrameStrobe_O[18] wrt pin FrameStrobe[18] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[18] ";
rise_transition (lut_timing_4 ){
values(\
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6918, 3.2163, 4.7353, 7.7557", \
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6918, 3.2163, 4.7353, 7.7557", \
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6918, 3.2163, 4.7353, 7.7557", \
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6918, 3.2163, 4.7353, 7.7557", \
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6918, 3.2163, 4.7353, 7.7557", \
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6918, 3.2163, 4.7353, 7.7557", \
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6918, 3.2163, 4.7353, 7.7557", \
" 0.0229, 0.0326, 0.0912, 0.3741, 1.6918, 3.2163, 4.7353, 7.7557" \
);
}
fall_transition (lut_timing_4 ){
values(\
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7166, 1.3602, 2.0031, 3.2882", \
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7166, 1.3602, 2.0031, 3.2882", \
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7166, 1.3602, 2.0031, 3.2882", \
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7166, 1.3602, 2.0031, 3.2882", \
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7166, 1.3602, 2.0031, 3.2882", \
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7166, 1.3602, 2.0031, 3.2882", \
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7166, 1.3602, 2.0031, 3.2882", \
" 0.0207, 0.0258, 0.0508, 0.1630, 0.7166, 1.3602, 2.0031, 3.2882" \
);
}
cell_rise (lut_timing_4 ){
values(\
" 0.0691, 0.0786, 0.1252, 0.3225, 1.2320, 2.2811, 3.3277, 5.4073", \
" 0.0691, 0.0786, 0.1252, 0.3225, 1.2320, 2.2811, 3.3277, 5.4073", \
" 0.0691, 0.0786, 0.1252, 0.3225, 1.2320, 2.2811, 3.3277, 5.4073", \
" 0.0691, 0.0786, 0.1252, 0.3225, 1.2320, 2.2811, 3.3277, 5.4073", \
" 0.0691, 0.0786, 0.1252, 0.3225, 1.2320, 2.2811, 3.3277, 5.4073", \
" 0.0691, 0.0786, 0.1252, 0.3225, 1.2320, 2.2811, 3.3277, 5.4073", \
" 0.0691, 0.0786, 0.1252, 0.3225, 1.2320, 2.2811, 3.3277, 5.4073", \
" 0.0691, 0.0786, 0.1252, 0.3225, 1.2320, 2.2811, 3.3277, 5.4073" \
);
}
cell_fall (lut_timing_4 ){
values(\
" 0.0969, 0.1044, 0.1343, 0.2269, 0.6264, 1.0759, 1.5286, 2.4330", \
" 0.0969, 0.1044, 0.1343, 0.2269, 0.6264, 1.0759, 1.5286, 2.4330", \
" 0.0969, 0.1044, 0.1343, 0.2269, 0.6264, 1.0759, 1.5286, 2.4330", \
" 0.0969, 0.1044, 0.1343, 0.2269, 0.6264, 1.0759, 1.5286, 2.4330", \
" 0.0969, 0.1044, 0.1343, 0.2269, 0.6264, 1.0759, 1.5286, 2.4330", \
" 0.0969, 0.1044, 0.1343, 0.2269, 0.6264, 1.0759, 1.5286, 2.4330", \
" 0.0969, 0.1044, 0.1343, 0.2269, 0.6264, 1.0759, 1.5286, 2.4330", \
" 0.0969, 0.1044, 0.1343, 0.2269, 0.6264, 1.0759, 1.5286, 2.4330" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[18] wrt pin FrameStrobe[18] */
} /* End of pin FrameStrobe_O[18] */
/* Start of pin FrameStrobe_O[17] */
pin (FrameStrobe_O[17] ) {
direction : output ;
capacitance : 0.0091;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[17] wrt pin FrameStrobe[17] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[17] ";
rise_transition (lut_timing_5 ){
values(\
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7151, 3.2603, 4.8012, 7.8667", \
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7151, 3.2603, 4.8012, 7.8667", \
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7151, 3.2603, 4.8012, 7.8667", \
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7151, 3.2603, 4.8012, 7.8667", \
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7151, 3.2603, 4.8012, 7.8667", \
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7151, 3.2603, 4.8012, 7.8667", \
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7151, 3.2603, 4.8012, 7.8667", \
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7151, 3.2603, 4.8012, 7.8667" \
);
}
fall_transition (lut_timing_5 ){
values(\
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7375, 1.4027, 2.0686, 3.3973", \
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7375, 1.4027, 2.0686, 3.3973", \
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7375, 1.4027, 2.0686, 3.3973", \
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7375, 1.4027, 2.0686, 3.3973", \
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7375, 1.4027, 2.0686, 3.3973", \
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7375, 1.4027, 2.0686, 3.3973", \
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7375, 1.4027, 2.0686, 3.3973", \
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7375, 1.4027, 2.0686, 3.3973" \
);
}
cell_rise (lut_timing_5 ){
values(\
" 0.0758, 0.0781, 0.1241, 0.3236, 1.2344, 2.2849, 3.3326, 5.4150", \
" 0.0758, 0.0781, 0.1241, 0.3236, 1.2344, 2.2849, 3.3326, 5.4150", \
" 0.0758, 0.0781, 0.1241, 0.3236, 1.2344, 2.2849, 3.3326, 5.4150", \
" 0.0758, 0.0781, 0.1241, 0.3236, 1.2344, 2.2849, 3.3326, 5.4150", \
" 0.0758, 0.0781, 0.1241, 0.3236, 1.2344, 2.2849, 3.3326, 5.4150", \
" 0.0758, 0.0781, 0.1241, 0.3236, 1.2344, 2.2849, 3.3326, 5.4150", \
" 0.0758, 0.0781, 0.1241, 0.3236, 1.2344, 2.2849, 3.3326, 5.4150", \
" 0.0758, 0.0781, 0.1241, 0.3236, 1.2344, 2.2849, 3.3326, 5.4150" \
);
}
cell_fall (lut_timing_5 ){
values(\
" 0.1022, 0.1039, 0.1332, 0.2286, 0.6323, 1.0837, 1.5368, 2.4430", \
" 0.1022, 0.1039, 0.1332, 0.2286, 0.6323, 1.0837, 1.5368, 2.4430", \
" 0.1022, 0.1039, 0.1332, 0.2286, 0.6323, 1.0837, 1.5368, 2.4430", \
" 0.1022, 0.1039, 0.1332, 0.2286, 0.6323, 1.0837, 1.5368, 2.4430", \
" 0.1022, 0.1039, 0.1332, 0.2286, 0.6323, 1.0837, 1.5368, 2.4430", \
" 0.1022, 0.1039, 0.1332, 0.2286, 0.6323, 1.0837, 1.5368, 2.4430", \
" 0.1022, 0.1039, 0.1332, 0.2286, 0.6323, 1.0837, 1.5368, 2.4430", \
" 0.1022, 0.1039, 0.1332, 0.2286, 0.6323, 1.0837, 1.5368, 2.4430" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[17] wrt pin FrameStrobe[17] */
/* Start of combinational arc of pin FrameStrobe_O[17] wrt pin FrameStrobe[17] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[17] ";
rise_transition (lut_timing_6 ){
values(\
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7148, 3.2599, 4.8008, 7.8668", \
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7148, 3.2599, 4.8008, 7.8668", \
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7148, 3.2599, 4.8008, 7.8668", \
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7148, 3.2599, 4.8008, 7.8668", \
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7148, 3.2599, 4.8008, 7.8668", \
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7148, 3.2599, 4.8008, 7.8668", \
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7148, 3.2599, 4.8008, 7.8668", \
" 0.0302, 0.0326, 0.0915, 0.3778, 1.7148, 3.2599, 4.8008, 7.8668" \
);
}
fall_transition (lut_timing_6 ){
values(\
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7374, 1.4026, 2.0686, 3.3974", \
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7374, 1.4026, 2.0686, 3.3974", \
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7374, 1.4026, 2.0686, 3.3974", \
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7374, 1.4026, 2.0686, 3.3974", \
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7374, 1.4026, 2.0686, 3.3974", \
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7374, 1.4026, 2.0686, 3.3974", \
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7374, 1.4026, 2.0686, 3.3974", \
" 0.0245, 0.0258, 0.0515, 0.1664, 0.7374, 1.4026, 2.0686, 3.3974" \
);
}
cell_rise (lut_timing_6 ){
values(\
" 0.0767, 0.0790, 0.1248, 0.3243, 1.2349, 2.2853, 3.3331, 5.4159", \
" 0.0767, 0.0790, 0.1248, 0.3243, 1.2349, 2.2853, 3.3331, 5.4159", \
" 0.0767, 0.0790, 0.1248, 0.3243, 1.2349, 2.2853, 3.3331, 5.4159", \
" 0.0767, 0.0790, 0.1248, 0.3243, 1.2349, 2.2853, 3.3331, 5.4159", \
" 0.0767, 0.0790, 0.1248, 0.3243, 1.2349, 2.2853, 3.3331, 5.4159", \
" 0.0767, 0.0790, 0.1248, 0.3243, 1.2349, 2.2853, 3.3331, 5.4159", \
" 0.0767, 0.0790, 0.1248, 0.3243, 1.2349, 2.2853, 3.3331, 5.4159", \
" 0.0767, 0.0790, 0.1248, 0.3243, 1.2349, 2.2853, 3.3331, 5.4159" \
);
}
cell_fall (lut_timing_6 ){
values(\
" 0.1031, 0.1049, 0.1339, 0.2293, 0.6330, 1.0844, 1.5374, 2.4437", \
" 0.1031, 0.1049, 0.1339, 0.2293, 0.6330, 1.0844, 1.5374, 2.4437", \
" 0.1031, 0.1049, 0.1339, 0.2293, 0.6330, 1.0844, 1.5374, 2.4437", \
" 0.1031, 0.1049, 0.1339, 0.2293, 0.6330, 1.0844, 1.5374, 2.4437", \
" 0.1031, 0.1049, 0.1339, 0.2293, 0.6330, 1.0844, 1.5374, 2.4437", \
" 0.1031, 0.1049, 0.1339, 0.2293, 0.6330, 1.0844, 1.5374, 2.4437", \
" 0.1031, 0.1049, 0.1339, 0.2293, 0.6330, 1.0844, 1.5374, 2.4437", \
" 0.1031, 0.1049, 0.1339, 0.2293, 0.6330, 1.0844, 1.5374, 2.4437" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[17] wrt pin FrameStrobe[17] */
} /* End of pin FrameStrobe_O[17] */
/* Start of pin FrameStrobe_O[16] */
pin (FrameStrobe_O[16] ) {
direction : output ;
capacitance : 0.0154;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[16] wrt pin FrameStrobe[16] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[16] ";
rise_transition (lut_timing_7 ){
values(\
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2998, 4.8605, 6.4160, 7.9659", \
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2998, 4.8605, 6.4160, 7.9659", \
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2998, 4.8605, 6.4160, 7.9659", \
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2998, 4.8605, 6.4160, 7.9659", \
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2998, 4.8605, 6.4160, 7.9659", \
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2998, 4.8605, 6.4160, 7.9659", \
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2998, 4.8605, 6.4160, 7.9659", \
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2998, 4.8605, 6.4160, 7.9659" \
);
}
fall_transition (lut_timing_7 ){
values(\
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4985", \
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4985", \
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4985", \
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4985", \
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4985", \
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4985", \
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4985", \
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4985" \
);
}
cell_rise (lut_timing_7 ){
values(\
" 0.0836, 0.1236, 0.3240, 1.2360, 2.2880, 3.3371, 4.3822, 5.4237", \
" 0.0836, 0.1236, 0.3240, 1.2360, 2.2880, 3.3371, 4.3822, 5.4237", \
" 0.0836, 0.1236, 0.3240, 1.2360, 2.2880, 3.3371, 4.3822, 5.4237", \
" 0.0836, 0.1236, 0.3240, 1.2360, 2.2880, 3.3371, 4.3822, 5.4237", \
" 0.0836, 0.1236, 0.3240, 1.2360, 2.2880, 3.3371, 4.3822, 5.4237", \
" 0.0836, 0.1236, 0.3240, 1.2360, 2.2880, 3.3371, 4.3822, 5.4237", \
" 0.0836, 0.1236, 0.3240, 1.2360, 2.2880, 3.3371, 4.3822, 5.4237", \
" 0.0836, 0.1236, 0.3240, 1.2360, 2.2880, 3.3371, 4.3822, 5.4237" \
);
}
cell_fall (lut_timing_7 ){
values(\
" 0.1077, 0.1328, 0.2292, 0.6351, 1.0901, 1.5444, 1.9987, 2.4526", \
" 0.1077, 0.1328, 0.2292, 0.6351, 1.0901, 1.5444, 1.9987, 2.4526", \
" 0.1077, 0.1328, 0.2292, 0.6351, 1.0901, 1.5444, 1.9987, 2.4526", \
" 0.1077, 0.1328, 0.2292, 0.6351, 1.0901, 1.5444, 1.9987, 2.4526", \
" 0.1077, 0.1328, 0.2292, 0.6351, 1.0901, 1.5444, 1.9987, 2.4526", \
" 0.1077, 0.1328, 0.2292, 0.6351, 1.0901, 1.5444, 1.9987, 2.4526", \
" 0.1077, 0.1328, 0.2292, 0.6351, 1.0901, 1.5444, 1.9987, 2.4526", \
" 0.1077, 0.1328, 0.2292, 0.6351, 1.0901, 1.5444, 1.9987, 2.4526" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[16] wrt pin FrameStrobe[16] */
/* Start of combinational arc of pin FrameStrobe_O[16] wrt pin FrameStrobe[16] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[16] ";
rise_transition (lut_timing_8 ){
values(\
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2997, 4.8604, 6.4159, 7.9659", \
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2997, 4.8604, 6.4159, 7.9659", \
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2997, 4.8604, 6.4159, 7.9659", \
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2997, 4.8604, 6.4159, 7.9659", \
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2997, 4.8604, 6.4159, 7.9659", \
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2997, 4.8604, 6.4159, 7.9659", \
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2997, 4.8604, 6.4159, 7.9659", \
" 0.0395, 0.0915, 0.3809, 1.7349, 3.2997, 4.8604, 6.4159, 7.9659" \
);
}
fall_transition (lut_timing_8 ){
values(\
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4986", \
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4986", \
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4986", \
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4986", \
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4986", \
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4986", \
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4986", \
" 0.0290, 0.0517, 0.1694, 0.7566, 1.4424, 2.1284, 2.8142, 3.4986" \
);
}
cell_rise (lut_timing_8 ){
values(\
" 0.0848, 0.1241, 0.3245, 1.2365, 2.2885, 3.3375, 4.3827, 5.4243", \
" 0.0848, 0.1241, 0.3245, 1.2365, 2.2885, 3.3375, 4.3827, 5.4243", \
" 0.0848, 0.1241, 0.3245, 1.2365, 2.2885, 3.3375, 4.3827, 5.4243", \
" 0.0848, 0.1241, 0.3245, 1.2365, 2.2885, 3.3375, 4.3827, 5.4243", \
" 0.0848, 0.1241, 0.3245, 1.2365, 2.2885, 3.3375, 4.3827, 5.4243", \
" 0.0848, 0.1241, 0.3245, 1.2365, 2.2885, 3.3375, 4.3827, 5.4243", \
" 0.0848, 0.1241, 0.3245, 1.2365, 2.2885, 3.3375, 4.3827, 5.4243", \
" 0.0848, 0.1241, 0.3245, 1.2365, 2.2885, 3.3375, 4.3827, 5.4243" \
);
}
cell_fall (lut_timing_8 ){
values(\
" 0.1089, 0.1334, 0.2297, 0.6356, 1.0906, 1.5450, 1.9993, 2.4532", \
" 0.1089, 0.1334, 0.2297, 0.6356, 1.0906, 1.5450, 1.9993, 2.4532", \
" 0.1089, 0.1334, 0.2297, 0.6356, 1.0906, 1.5450, 1.9993, 2.4532", \
" 0.1089, 0.1334, 0.2297, 0.6356, 1.0906, 1.5450, 1.9993, 2.4532", \
" 0.1089, 0.1334, 0.2297, 0.6356, 1.0906, 1.5450, 1.9993, 2.4532", \
" 0.1089, 0.1334, 0.2297, 0.6356, 1.0906, 1.5450, 1.9993, 2.4532", \
" 0.1089, 0.1334, 0.2297, 0.6356, 1.0906, 1.5450, 1.9993, 2.4532", \
" 0.1089, 0.1334, 0.2297, 0.6356, 1.0906, 1.5450, 1.9993, 2.4532" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[16] wrt pin FrameStrobe[16] */
} /* End of pin FrameStrobe_O[16] */
/* Start of pin FrameStrobe_O[15] */
pin (FrameStrobe_O[15] ) {
direction : output ;
capacitance : 0.0065;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[15] wrt pin FrameStrobe[15] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[15] ";
rise_transition (lut_timing_9 ){
values(\
" 0.0263, 0.0326, 0.0914, 0.3754, 1.7002, 3.2320, 4.7587, 7.7937", \
" 0.0263, 0.0326, 0.0914, 0.3754, 1.7002, 3.2320, 4.7587, 7.7937", \
" 0.0263, 0.0326, 0.0914, 0.3754, 1.7002, 3.2320, 4.7587, 7.7937", \
" 0.0263, 0.0326, 0.0914, 0.3754, 1.7002, 3.2320, 4.7587, 7.7937", \
" 0.0263, 0.0326, 0.0914, 0.3754, 1.7002, 3.2320, 4.7587, 7.7937", \
" 0.0263, 0.0326, 0.0914, 0.3754, 1.7002, 3.2320, 4.7587, 7.7937", \
" 0.0263, 0.0326, 0.0914, 0.3754, 1.7002, 3.2320, 4.7587, 7.7937", \
" 0.0263, 0.0326, 0.0914, 0.3754, 1.7002, 3.2320, 4.7587, 7.7937" \
);
}
fall_transition (lut_timing_9 ){
values(\
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7234, 1.3750, 2.0258, 3.3247", \
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7234, 1.3750, 2.0258, 3.3247", \
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7234, 1.3750, 2.0258, 3.3247", \
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7234, 1.3750, 2.0258, 3.3247", \
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7234, 1.3750, 2.0258, 3.3247", \
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7234, 1.3750, 2.0258, 3.3247", \
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7234, 1.3750, 2.0258, 3.3247", \
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7234, 1.3750, 2.0258, 3.3247" \
);
}
cell_rise (lut_timing_9 ){
values(\
" 0.0722, 0.0782, 0.1243, 0.3228, 1.2329, 2.2824, 3.3294, 5.4094", \
" 0.0722, 0.0782, 0.1243, 0.3228, 1.2329, 2.2824, 3.3294, 5.4094", \
" 0.0722, 0.0782, 0.1243, 0.3228, 1.2329, 2.2824, 3.3294, 5.4094", \
" 0.0722, 0.0782, 0.1243, 0.3228, 1.2329, 2.2824, 3.3294, 5.4094", \
" 0.0722, 0.0782, 0.1243, 0.3228, 1.2329, 2.2824, 3.3294, 5.4094", \
" 0.0722, 0.0782, 0.1243, 0.3228, 1.2329, 2.2824, 3.3294, 5.4094", \
" 0.0722, 0.0782, 0.1243, 0.3228, 1.2329, 2.2824, 3.3294, 5.4094", \
" 0.0722, 0.0782, 0.1243, 0.3228, 1.2329, 2.2824, 3.3294, 5.4094" \
);
}
cell_fall (lut_timing_9 ){
values(\
" 0.0993, 0.1040, 0.1328, 0.2275, 0.6289, 1.0785, 1.5314, 2.4364", \
" 0.0993, 0.1040, 0.1328, 0.2275, 0.6289, 1.0785, 1.5314, 2.4364", \
" 0.0993, 0.1040, 0.1328, 0.2275, 0.6289, 1.0785, 1.5314, 2.4364", \
" 0.0993, 0.1040, 0.1328, 0.2275, 0.6289, 1.0785, 1.5314, 2.4364", \
" 0.0993, 0.1040, 0.1328, 0.2275, 0.6289, 1.0785, 1.5314, 2.4364", \
" 0.0993, 0.1040, 0.1328, 0.2275, 0.6289, 1.0785, 1.5314, 2.4364", \
" 0.0993, 0.1040, 0.1328, 0.2275, 0.6289, 1.0785, 1.5314, 2.4364", \
" 0.0993, 0.1040, 0.1328, 0.2275, 0.6289, 1.0785, 1.5314, 2.4364" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[15] wrt pin FrameStrobe[15] */
/* Start of combinational arc of pin FrameStrobe_O[15] wrt pin FrameStrobe[15] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[15] ";
rise_transition (lut_timing_10 ){
values(\
" 0.0263, 0.0326, 0.0914, 0.3754, 1.6998, 3.2314, 4.7582, 7.7940", \
" 0.0263, 0.0326, 0.0914, 0.3754, 1.6998, 3.2314, 4.7582, 7.7940", \
" 0.0263, 0.0326, 0.0914, 0.3754, 1.6998, 3.2314, 4.7582, 7.7940", \
" 0.0263, 0.0326, 0.0914, 0.3754, 1.6998, 3.2314, 4.7582, 7.7940", \
" 0.0263, 0.0326, 0.0914, 0.3754, 1.6998, 3.2314, 4.7582, 7.7940", \
" 0.0263, 0.0326, 0.0914, 0.3754, 1.6998, 3.2314, 4.7582, 7.7940", \
" 0.0263, 0.0326, 0.0914, 0.3754, 1.6998, 3.2314, 4.7582, 7.7940", \
" 0.0263, 0.0326, 0.0914, 0.3754, 1.6998, 3.2314, 4.7582, 7.7940" \
);
}
fall_transition (lut_timing_10 ){
values(\
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7233, 1.3749, 2.0257, 3.3249", \
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7233, 1.3749, 2.0257, 3.3249", \
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7233, 1.3749, 2.0257, 3.3249", \
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7233, 1.3749, 2.0257, 3.3249", \
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7233, 1.3749, 2.0257, 3.3249", \
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7233, 1.3749, 2.0257, 3.3249", \
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7233, 1.3749, 2.0257, 3.3249", \
" 0.0225, 0.0258, 0.0512, 0.1642, 0.7233, 1.3749, 2.0257, 3.3249" \
);
}
cell_rise (lut_timing_10 ){
values(\
" 0.0733, 0.0793, 0.1252, 0.3238, 1.2336, 2.2830, 3.3301, 5.4109", \
" 0.0733, 0.0793, 0.1252, 0.3238, 1.2336, 2.2830, 3.3301, 5.4109", \
" 0.0733, 0.0793, 0.1252, 0.3238, 1.2336, 2.2830, 3.3301, 5.4109", \
" 0.0733, 0.0793, 0.1252, 0.3238, 1.2336, 2.2830, 3.3301, 5.4109", \
" 0.0733, 0.0793, 0.1252, 0.3238, 1.2336, 2.2830, 3.3301, 5.4109", \
" 0.0733, 0.0793, 0.1252, 0.3238, 1.2336, 2.2830, 3.3301, 5.4109", \
" 0.0733, 0.0793, 0.1252, 0.3238, 1.2336, 2.2830, 3.3301, 5.4109", \
" 0.0733, 0.0793, 0.1252, 0.3238, 1.2336, 2.2830, 3.3301, 5.4109" \
);
}
cell_fall (lut_timing_10 ){
values(\
" 0.1004, 0.1052, 0.1337, 0.2285, 0.6298, 1.0794, 1.5324, 2.4375", \
" 0.1004, 0.1052, 0.1337, 0.2285, 0.6298, 1.0794, 1.5324, 2.4375", \
" 0.1004, 0.1052, 0.1337, 0.2285, 0.6298, 1.0794, 1.5324, 2.4375", \
" 0.1004, 0.1052, 0.1337, 0.2285, 0.6298, 1.0794, 1.5324, 2.4375", \
" 0.1004, 0.1052, 0.1337, 0.2285, 0.6298, 1.0794, 1.5324, 2.4375", \
" 0.1004, 0.1052, 0.1337, 0.2285, 0.6298, 1.0794, 1.5324, 2.4375", \
" 0.1004, 0.1052, 0.1337, 0.2285, 0.6298, 1.0794, 1.5324, 2.4375", \
" 0.1004, 0.1052, 0.1337, 0.2285, 0.6298, 1.0794, 1.5324, 2.4375" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[15] wrt pin FrameStrobe[15] */
} /* End of pin FrameStrobe_O[15] */
/* Start of pin FrameStrobe_O[14] */
pin (FrameStrobe_O[14] ) {
direction : output ;
capacitance : 0.0047;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[14] wrt pin FrameStrobe[14] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[14] ";
rise_transition (lut_timing_11 ){
values(\
" 0.0237, 0.0326, 0.0914, 0.3744, 1.6944, 3.2211, 4.7423, 7.7663", \
" 0.0237, 0.0326, 0.0914, 0.3744, 1.6944, 3.2211, 4.7423, 7.7663", \
" 0.0237, 0.0326, 0.0914, 0.3744, 1.6944, 3.2211, 4.7423, 7.7663", \
" 0.0237, 0.0326, 0.0914, 0.3744, 1.6944, 3.2211, 4.7423, 7.7663", \
" 0.0237, 0.0326, 0.0914, 0.3744, 1.6944, 3.2211, 4.7423, 7.7663", \
" 0.0237, 0.0326, 0.0914, 0.3744, 1.6944, 3.2211, 4.7423, 7.7663", \
" 0.0237, 0.0326, 0.0914, 0.3744, 1.6944, 3.2211, 4.7423, 7.7663", \
" 0.0237, 0.0326, 0.0914, 0.3744, 1.6944, 3.2211, 4.7423, 7.7663" \
);
}
fall_transition (lut_timing_11 ){
values(\
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7185, 1.3644, 2.0096, 3.2983", \
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7185, 1.3644, 2.0096, 3.2983", \
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7185, 1.3644, 2.0096, 3.2983", \
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7185, 1.3644, 2.0096, 3.2983", \
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7185, 1.3644, 2.0096, 3.2983", \
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7185, 1.3644, 2.0096, 3.2983", \
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7185, 1.3644, 2.0096, 3.2983", \
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7185, 1.3644, 2.0096, 3.2983" \
);
}
cell_rise (lut_timing_11 ){
values(\
" 0.0693, 0.0778, 0.1239, 0.3221, 1.2318, 2.2811, 3.3279, 5.4070", \
" 0.0693, 0.0778, 0.1239, 0.3221, 1.2318, 2.2811, 3.3279, 5.4070", \
" 0.0693, 0.0778, 0.1239, 0.3221, 1.2318, 2.2811, 3.3279, 5.4070", \
" 0.0693, 0.0778, 0.1239, 0.3221, 1.2318, 2.2811, 3.3279, 5.4070", \
" 0.0693, 0.0778, 0.1239, 0.3221, 1.2318, 2.2811, 3.3279, 5.4070", \
" 0.0693, 0.0778, 0.1239, 0.3221, 1.2318, 2.2811, 3.3279, 5.4070", \
" 0.0693, 0.0778, 0.1239, 0.3221, 1.2318, 2.2811, 3.3279, 5.4070", \
" 0.0693, 0.0778, 0.1239, 0.3221, 1.2318, 2.2811, 3.3279, 5.4070" \
);
}
cell_fall (lut_timing_11 ){
values(\
" 0.0969, 0.1037, 0.1324, 0.2266, 0.6267, 1.0762, 1.5290, 2.4336", \
" 0.0969, 0.1037, 0.1324, 0.2266, 0.6267, 1.0762, 1.5290, 2.4336", \
" 0.0969, 0.1037, 0.1324, 0.2266, 0.6267, 1.0762, 1.5290, 2.4336", \
" 0.0969, 0.1037, 0.1324, 0.2266, 0.6267, 1.0762, 1.5290, 2.4336", \
" 0.0969, 0.1037, 0.1324, 0.2266, 0.6267, 1.0762, 1.5290, 2.4336", \
" 0.0969, 0.1037, 0.1324, 0.2266, 0.6267, 1.0762, 1.5290, 2.4336", \
" 0.0969, 0.1037, 0.1324, 0.2266, 0.6267, 1.0762, 1.5290, 2.4336", \
" 0.0969, 0.1037, 0.1324, 0.2266, 0.6267, 1.0762, 1.5290, 2.4336" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[14] wrt pin FrameStrobe[14] */
/* Start of combinational arc of pin FrameStrobe_O[14] wrt pin FrameStrobe[14] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[14] ";
rise_transition (lut_timing_12 ){
values(\
" 0.0237, 0.0326, 0.0914, 0.3745, 1.6941, 3.2205, 4.7417, 7.7665", \
" 0.0237, 0.0326, 0.0914, 0.3745, 1.6941, 3.2205, 4.7417, 7.7665", \
" 0.0237, 0.0326, 0.0914, 0.3745, 1.6941, 3.2205, 4.7417, 7.7665", \
" 0.0237, 0.0326, 0.0914, 0.3745, 1.6941, 3.2205, 4.7417, 7.7665", \
" 0.0237, 0.0326, 0.0914, 0.3745, 1.6941, 3.2205, 4.7417, 7.7665", \
" 0.0237, 0.0326, 0.0914, 0.3745, 1.6941, 3.2205, 4.7417, 7.7665", \
" 0.0237, 0.0326, 0.0914, 0.3745, 1.6941, 3.2205, 4.7417, 7.7665", \
" 0.0237, 0.0326, 0.0914, 0.3745, 1.6941, 3.2205, 4.7417, 7.7665" \
);
}
fall_transition (lut_timing_12 ){
values(\
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7184, 1.3643, 2.0094, 3.2985", \
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7184, 1.3643, 2.0094, 3.2985", \
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7184, 1.3643, 2.0094, 3.2985", \
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7184, 1.3643, 2.0094, 3.2985", \
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7184, 1.3643, 2.0094, 3.2985", \
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7184, 1.3643, 2.0094, 3.2985", \
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7184, 1.3643, 2.0094, 3.2985", \
" 0.0211, 0.0258, 0.0511, 0.1634, 0.7184, 1.3643, 2.0094, 3.2985" \
);
}
cell_rise (lut_timing_12 ){
values(\
" 0.0703, 0.0789, 0.1249, 0.3230, 1.2326, 2.2817, 3.3286, 5.4085", \
" 0.0703, 0.0789, 0.1249, 0.3230, 1.2326, 2.2817, 3.3286, 5.4085", \
" 0.0703, 0.0789, 0.1249, 0.3230, 1.2326, 2.2817, 3.3286, 5.4085", \
" 0.0703, 0.0789, 0.1249, 0.3230, 1.2326, 2.2817, 3.3286, 5.4085", \
" 0.0703, 0.0789, 0.1249, 0.3230, 1.2326, 2.2817, 3.3286, 5.4085", \
" 0.0703, 0.0789, 0.1249, 0.3230, 1.2326, 2.2817, 3.3286, 5.4085", \
" 0.0703, 0.0789, 0.1249, 0.3230, 1.2326, 2.2817, 3.3286, 5.4085", \
" 0.0703, 0.0789, 0.1249, 0.3230, 1.2326, 2.2817, 3.3286, 5.4085" \
);
}
cell_fall (lut_timing_12 ){
values(\
" 0.0979, 0.1048, 0.1333, 0.2275, 0.6276, 1.0772, 1.5299, 2.4346", \
" 0.0979, 0.1048, 0.1333, 0.2275, 0.6276, 1.0772, 1.5299, 2.4346", \
" 0.0979, 0.1048, 0.1333, 0.2275, 0.6276, 1.0772, 1.5299, 2.4346", \
" 0.0979, 0.1048, 0.1333, 0.2275, 0.6276, 1.0772, 1.5299, 2.4346", \
" 0.0979, 0.1048, 0.1333, 0.2275, 0.6276, 1.0772, 1.5299, 2.4346", \
" 0.0979, 0.1048, 0.1333, 0.2275, 0.6276, 1.0772, 1.5299, 2.4346", \
" 0.0979, 0.1048, 0.1333, 0.2275, 0.6276, 1.0772, 1.5299, 2.4346", \
" 0.0979, 0.1048, 0.1333, 0.2275, 0.6276, 1.0772, 1.5299, 2.4346" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[14] wrt pin FrameStrobe[14] */
} /* End of pin FrameStrobe_O[14] */
/* Start of pin FrameStrobe_O[13] */
pin (FrameStrobe_O[13] ) {
direction : output ;
capacitance : 0.0055;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[13] wrt pin FrameStrobe[13] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[13] ";
rise_transition (lut_timing_13 ){
values(\
" 0.0248, 0.0326, 0.0914, 0.3773, 1.7113, 3.2531, 4.7902, 7.8477", \
" 0.0248, 0.0326, 0.0914, 0.3773, 1.7113, 3.2531, 4.7902, 7.8477", \
" 0.0248, 0.0326, 0.0914, 0.3773, 1.7113, 3.2531, 4.7902, 7.8477", \
" 0.0248, 0.0326, 0.0914, 0.3773, 1.7113, 3.2531, 4.7902, 7.8477", \
" 0.0248, 0.0326, 0.0914, 0.3773, 1.7113, 3.2531, 4.7902, 7.8477", \
" 0.0248, 0.0326, 0.0914, 0.3773, 1.7113, 3.2531, 4.7902, 7.8477", \
" 0.0248, 0.0326, 0.0914, 0.3773, 1.7113, 3.2531, 4.7902, 7.8477", \
" 0.0248, 0.0326, 0.0914, 0.3773, 1.7113, 3.2531, 4.7902, 7.8477" \
);
}
fall_transition (lut_timing_13 ){
values(\
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7340, 1.3950, 2.0573, 3.3782", \
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7340, 1.3950, 2.0573, 3.3782", \
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7340, 1.3950, 2.0573, 3.3782", \
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7340, 1.3950, 2.0573, 3.3782", \
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7340, 1.3950, 2.0573, 3.3782", \
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7340, 1.3950, 2.0573, 3.3782", \
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7340, 1.3950, 2.0573, 3.3782", \
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7340, 1.3950, 2.0573, 3.3782" \
);
}
cell_rise (lut_timing_13 ){
values(\
" 0.0705, 0.0779, 0.1239, 0.3232, 1.2338, 2.2840, 3.3315, 5.4131", \
" 0.0705, 0.0779, 0.1239, 0.3232, 1.2338, 2.2840, 3.3315, 5.4131", \
" 0.0705, 0.0779, 0.1239, 0.3232, 1.2338, 2.2840, 3.3315, 5.4131", \
" 0.0705, 0.0779, 0.1239, 0.3232, 1.2338, 2.2840, 3.3315, 5.4131", \
" 0.0705, 0.0779, 0.1239, 0.3232, 1.2338, 2.2840, 3.3315, 5.4131", \
" 0.0705, 0.0779, 0.1239, 0.3232, 1.2338, 2.2840, 3.3315, 5.4131", \
" 0.0705, 0.0779, 0.1239, 0.3232, 1.2338, 2.2840, 3.3315, 5.4131", \
" 0.0705, 0.0779, 0.1239, 0.3232, 1.2338, 2.2840, 3.3315, 5.4131" \
);
}
cell_fall (lut_timing_13 ){
values(\
" 0.0979, 0.1038, 0.1329, 0.2282, 0.6315, 1.0821, 1.5352, 2.4409", \
" 0.0979, 0.1038, 0.1329, 0.2282, 0.6315, 1.0821, 1.5352, 2.4409", \
" 0.0979, 0.1038, 0.1329, 0.2282, 0.6315, 1.0821, 1.5352, 2.4409", \
" 0.0979, 0.1038, 0.1329, 0.2282, 0.6315, 1.0821, 1.5352, 2.4409", \
" 0.0979, 0.1038, 0.1329, 0.2282, 0.6315, 1.0821, 1.5352, 2.4409", \
" 0.0979, 0.1038, 0.1329, 0.2282, 0.6315, 1.0821, 1.5352, 2.4409", \
" 0.0979, 0.1038, 0.1329, 0.2282, 0.6315, 1.0821, 1.5352, 2.4409", \
" 0.0979, 0.1038, 0.1329, 0.2282, 0.6315, 1.0821, 1.5352, 2.4409" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[13] wrt pin FrameStrobe[13] */
/* Start of combinational arc of pin FrameStrobe_O[13] wrt pin FrameStrobe[13] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[13] ";
rise_transition (lut_timing_14 ){
values(\
" 0.0248, 0.0326, 0.0915, 0.3773, 1.7110, 3.2525, 4.7897, 7.8479", \
" 0.0248, 0.0326, 0.0915, 0.3773, 1.7110, 3.2525, 4.7897, 7.8479", \
" 0.0248, 0.0326, 0.0915, 0.3773, 1.7110, 3.2525, 4.7897, 7.8479", \
" 0.0248, 0.0326, 0.0915, 0.3773, 1.7110, 3.2525, 4.7897, 7.8479", \
" 0.0248, 0.0326, 0.0915, 0.3773, 1.7110, 3.2525, 4.7897, 7.8479", \
" 0.0248, 0.0326, 0.0915, 0.3773, 1.7110, 3.2525, 4.7897, 7.8479", \
" 0.0248, 0.0326, 0.0915, 0.3773, 1.7110, 3.2525, 4.7897, 7.8479", \
" 0.0248, 0.0326, 0.0915, 0.3773, 1.7110, 3.2525, 4.7897, 7.8479" \
);
}
fall_transition (lut_timing_14 ){
values(\
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7339, 1.3949, 2.0572, 3.3783", \
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7339, 1.3949, 2.0572, 3.3783", \
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7339, 1.3949, 2.0572, 3.3783", \
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7339, 1.3949, 2.0572, 3.3783", \
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7339, 1.3949, 2.0572, 3.3783", \
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7339, 1.3949, 2.0572, 3.3783", \
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7339, 1.3949, 2.0572, 3.3783", \
" 0.0217, 0.0258, 0.0515, 0.1659, 0.7339, 1.3949, 2.0572, 3.3783" \
);
}
cell_rise (lut_timing_14 ){
values(\
" 0.0714, 0.0790, 0.1247, 0.3240, 1.2344, 2.2845, 3.3321, 5.4144", \
" 0.0714, 0.0790, 0.1247, 0.3240, 1.2344, 2.2845, 3.3321, 5.4144", \
" 0.0714, 0.0790, 0.1247, 0.3240, 1.2344, 2.2845, 3.3321, 5.4144", \
" 0.0714, 0.0790, 0.1247, 0.3240, 1.2344, 2.2845, 3.3321, 5.4144", \
" 0.0714, 0.0790, 0.1247, 0.3240, 1.2344, 2.2845, 3.3321, 5.4144", \
" 0.0714, 0.0790, 0.1247, 0.3240, 1.2344, 2.2845, 3.3321, 5.4144", \
" 0.0714, 0.0790, 0.1247, 0.3240, 1.2344, 2.2845, 3.3321, 5.4144", \
" 0.0714, 0.0790, 0.1247, 0.3240, 1.2344, 2.2845, 3.3321, 5.4144" \
);
}
cell_fall (lut_timing_14 ){
values(\
" 0.0989, 0.1049, 0.1338, 0.2290, 0.6323, 1.0829, 1.5360, 2.4418", \
" 0.0989, 0.1049, 0.1338, 0.2290, 0.6323, 1.0829, 1.5360, 2.4418", \
" 0.0989, 0.1049, 0.1338, 0.2290, 0.6323, 1.0829, 1.5360, 2.4418", \
" 0.0989, 0.1049, 0.1338, 0.2290, 0.6323, 1.0829, 1.5360, 2.4418", \
" 0.0989, 0.1049, 0.1338, 0.2290, 0.6323, 1.0829, 1.5360, 2.4418", \
" 0.0989, 0.1049, 0.1338, 0.2290, 0.6323, 1.0829, 1.5360, 2.4418", \
" 0.0989, 0.1049, 0.1338, 0.2290, 0.6323, 1.0829, 1.5360, 2.4418", \
" 0.0989, 0.1049, 0.1338, 0.2290, 0.6323, 1.0829, 1.5360, 2.4418" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[13] wrt pin FrameStrobe[13] */
} /* End of pin FrameStrobe_O[13] */
/* Start of pin FrameStrobe_O[12] */
pin (FrameStrobe_O[12] ) {
direction : output ;
capacitance : 0.0087;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[12] wrt pin FrameStrobe[12] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[12] ";
rise_transition (lut_timing_15 ){
values(\
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7081, 3.2471, 4.7814, 7.8326", \
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7081, 3.2471, 4.7814, 7.8326", \
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7081, 3.2471, 4.7814, 7.8326", \
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7081, 3.2471, 4.7814, 7.8326", \
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7081, 3.2471, 4.7814, 7.8326", \
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7081, 3.2471, 4.7814, 7.8326", \
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7081, 3.2471, 4.7814, 7.8326", \
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7081, 3.2471, 4.7814, 7.8326" \
);
}
fall_transition (lut_timing_15 ){
values(\
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7310, 1.3898, 2.0485, 3.3630", \
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7310, 1.3898, 2.0485, 3.3630", \
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7310, 1.3898, 2.0485, 3.3630", \
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7310, 1.3898, 2.0485, 3.3630", \
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7310, 1.3898, 2.0485, 3.3630", \
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7310, 1.3898, 2.0485, 3.3630", \
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7310, 1.3898, 2.0485, 3.3630", \
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7310, 1.3898, 2.0485, 3.3630" \
);
}
cell_rise (lut_timing_15 ){
values(\
" 0.0749, 0.0777, 0.1238, 0.3229, 1.2333, 2.2833, 3.3308, 5.4119", \
" 0.0749, 0.0777, 0.1238, 0.3229, 1.2333, 2.2833, 3.3308, 5.4119", \
" 0.0749, 0.0777, 0.1238, 0.3229, 1.2333, 2.2833, 3.3308, 5.4119", \
" 0.0749, 0.0777, 0.1238, 0.3229, 1.2333, 2.2833, 3.3308, 5.4119", \
" 0.0749, 0.0777, 0.1238, 0.3229, 1.2333, 2.2833, 3.3308, 5.4119", \
" 0.0749, 0.0777, 0.1238, 0.3229, 1.2333, 2.2833, 3.3308, 5.4119", \
" 0.0749, 0.0777, 0.1238, 0.3229, 1.2333, 2.2833, 3.3308, 5.4119", \
" 0.0749, 0.0777, 0.1238, 0.3229, 1.2333, 2.2833, 3.3308, 5.4119" \
);
}
cell_fall (lut_timing_15 ){
values(\
" 0.1014, 0.1035, 0.1328, 0.2277, 0.6307, 1.0808, 1.5338, 2.4395", \
" 0.1014, 0.1035, 0.1328, 0.2277, 0.6307, 1.0808, 1.5338, 2.4395", \
" 0.1014, 0.1035, 0.1328, 0.2277, 0.6307, 1.0808, 1.5338, 2.4395", \
" 0.1014, 0.1035, 0.1328, 0.2277, 0.6307, 1.0808, 1.5338, 2.4395", \
" 0.1014, 0.1035, 0.1328, 0.2277, 0.6307, 1.0808, 1.5338, 2.4395", \
" 0.1014, 0.1035, 0.1328, 0.2277, 0.6307, 1.0808, 1.5338, 2.4395", \
" 0.1014, 0.1035, 0.1328, 0.2277, 0.6307, 1.0808, 1.5338, 2.4395", \
" 0.1014, 0.1035, 0.1328, 0.2277, 0.6307, 1.0808, 1.5338, 2.4395" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[12] wrt pin FrameStrobe[12] */
/* Start of combinational arc of pin FrameStrobe_O[12] wrt pin FrameStrobe[12] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[12] ";
rise_transition (lut_timing_16 ){
values(\
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7078, 3.2467, 4.7810, 7.8327", \
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7078, 3.2467, 4.7810, 7.8327", \
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7078, 3.2467, 4.7810, 7.8327", \
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7078, 3.2467, 4.7810, 7.8327", \
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7078, 3.2467, 4.7810, 7.8327", \
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7078, 3.2467, 4.7810, 7.8327", \
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7078, 3.2467, 4.7810, 7.8327", \
" 0.0295, 0.0326, 0.0914, 0.3767, 1.7078, 3.2467, 4.7810, 7.8327" \
);
}
fall_transition (lut_timing_16 ){
values(\
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7309, 1.3897, 2.0484, 3.3631", \
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7309, 1.3897, 2.0484, 3.3631", \
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7309, 1.3897, 2.0484, 3.3631", \
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7309, 1.3897, 2.0484, 3.3631", \
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7309, 1.3897, 2.0484, 3.3631", \
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7309, 1.3897, 2.0484, 3.3631", \
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7309, 1.3897, 2.0484, 3.3631", \
" 0.0242, 0.0258, 0.0514, 0.1653, 0.7309, 1.3897, 2.0484, 3.3631" \
);
}
cell_rise (lut_timing_16 ){
values(\
" 0.0758, 0.0787, 0.1245, 0.3236, 1.2339, 2.2837, 3.3312, 5.4129", \
" 0.0758, 0.0787, 0.1245, 0.3236, 1.2339, 2.2837, 3.3312, 5.4129", \
" 0.0758, 0.0787, 0.1245, 0.3236, 1.2339, 2.2837, 3.3312, 5.4129", \
" 0.0758, 0.0787, 0.1245, 0.3236, 1.2339, 2.2837, 3.3312, 5.4129", \
" 0.0758, 0.0787, 0.1245, 0.3236, 1.2339, 2.2837, 3.3312, 5.4129", \
" 0.0758, 0.0787, 0.1245, 0.3236, 1.2339, 2.2837, 3.3312, 5.4129", \
" 0.0758, 0.0787, 0.1245, 0.3236, 1.2339, 2.2837, 3.3312, 5.4129", \
" 0.0758, 0.0787, 0.1245, 0.3236, 1.2339, 2.2837, 3.3312, 5.4129" \
);
}
cell_fall (lut_timing_16 ){
values(\
" 0.1023, 0.1045, 0.1335, 0.2284, 0.6314, 1.0815, 1.5345, 2.4403", \
" 0.1023, 0.1045, 0.1335, 0.2284, 0.6314, 1.0815, 1.5345, 2.4403", \
" 0.1023, 0.1045, 0.1335, 0.2284, 0.6314, 1.0815, 1.5345, 2.4403", \
" 0.1023, 0.1045, 0.1335, 0.2284, 0.6314, 1.0815, 1.5345, 2.4403", \
" 0.1023, 0.1045, 0.1335, 0.2284, 0.6314, 1.0815, 1.5345, 2.4403", \
" 0.1023, 0.1045, 0.1335, 0.2284, 0.6314, 1.0815, 1.5345, 2.4403", \
" 0.1023, 0.1045, 0.1335, 0.2284, 0.6314, 1.0815, 1.5345, 2.4403", \
" 0.1023, 0.1045, 0.1335, 0.2284, 0.6314, 1.0815, 1.5345, 2.4403" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[12] wrt pin FrameStrobe[12] */
} /* End of pin FrameStrobe_O[12] */
/* Start of pin FrameStrobe_O[11] */
pin (FrameStrobe_O[11] ) {
direction : output ;
capacitance : 0.0033;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[11] wrt pin FrameStrobe[11] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[11] ";
rise_transition (lut_timing_17 ){
values(\
" 0.0216, 0.0326, 0.0912, 0.3736, 1.6897, 3.2120, 4.7288, 7.7435", \
" 0.0216, 0.0326, 0.0912, 0.3736, 1.6897, 3.2120, 4.7288, 7.7435", \
" 0.0216, 0.0326, 0.0912, 0.3736, 1.6897, 3.2120, 4.7288, 7.7435", \
" 0.0216, 0.0326, 0.0912, 0.3736, 1.6897, 3.2120, 4.7288, 7.7435", \
" 0.0216, 0.0326, 0.0912, 0.3736, 1.6897, 3.2120, 4.7288, 7.7435", \
" 0.0216, 0.0326, 0.0912, 0.3736, 1.6897, 3.2120, 4.7288, 7.7435", \
" 0.0216, 0.0326, 0.0912, 0.3736, 1.6897, 3.2120, 4.7288, 7.7435", \
" 0.0216, 0.0326, 0.0912, 0.3736, 1.6897, 3.2120, 4.7288, 7.7435" \
);
}
fall_transition (lut_timing_17 ){
values(\
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7146, 1.3558, 1.9962, 3.2766", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7146, 1.3558, 1.9962, 3.2766", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7146, 1.3558, 1.9962, 3.2766", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7146, 1.3558, 1.9962, 3.2766", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7146, 1.3558, 1.9962, 3.2766", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7146, 1.3558, 1.9962, 3.2766", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7146, 1.3558, 1.9962, 3.2766", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7146, 1.3558, 1.9962, 3.2766" \
);
}
cell_rise (lut_timing_17 ){
values(\
" 0.0678, 0.0785, 0.1245, 0.3223, 1.2319, 2.2810, 3.3276, 5.4059", \
" 0.0678, 0.0785, 0.1245, 0.3223, 1.2319, 2.2810, 3.3276, 5.4059", \
" 0.0678, 0.0785, 0.1245, 0.3223, 1.2319, 2.2810, 3.3276, 5.4059", \
" 0.0678, 0.0785, 0.1245, 0.3223, 1.2319, 2.2810, 3.3276, 5.4059", \
" 0.0678, 0.0785, 0.1245, 0.3223, 1.2319, 2.2810, 3.3276, 5.4059", \
" 0.0678, 0.0785, 0.1245, 0.3223, 1.2319, 2.2810, 3.3276, 5.4059", \
" 0.0678, 0.0785, 0.1245, 0.3223, 1.2319, 2.2810, 3.3276, 5.4059", \
" 0.0678, 0.0785, 0.1245, 0.3223, 1.2319, 2.2810, 3.3276, 5.4059" \
);
}
cell_fall (lut_timing_17 ){
values(\
" 0.0958, 0.1043, 0.1335, 0.2268, 0.6255, 1.0750, 1.5277, 2.4315", \
" 0.0958, 0.1043, 0.1335, 0.2268, 0.6255, 1.0750, 1.5277, 2.4315", \
" 0.0958, 0.1043, 0.1335, 0.2268, 0.6255, 1.0750, 1.5277, 2.4315", \
" 0.0958, 0.1043, 0.1335, 0.2268, 0.6255, 1.0750, 1.5277, 2.4315", \
" 0.0958, 0.1043, 0.1335, 0.2268, 0.6255, 1.0750, 1.5277, 2.4315", \
" 0.0958, 0.1043, 0.1335, 0.2268, 0.6255, 1.0750, 1.5277, 2.4315", \
" 0.0958, 0.1043, 0.1335, 0.2268, 0.6255, 1.0750, 1.5277, 2.4315", \
" 0.0958, 0.1043, 0.1335, 0.2268, 0.6255, 1.0750, 1.5277, 2.4315" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[11] wrt pin FrameStrobe[11] */
/* Start of combinational arc of pin FrameStrobe_O[11] wrt pin FrameStrobe[11] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[11] ";
rise_transition (lut_timing_18 ){
values(\
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6891, 3.2111, 4.7279, 7.7439", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6891, 3.2111, 4.7279, 7.7439", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6891, 3.2111, 4.7279, 7.7439", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6891, 3.2111, 4.7279, 7.7439", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6891, 3.2111, 4.7279, 7.7439", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6891, 3.2111, 4.7279, 7.7439", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6891, 3.2111, 4.7279, 7.7439", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6891, 3.2111, 4.7279, 7.7439" \
);
}
fall_transition (lut_timing_18 ){
values(\
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7145, 1.3555, 1.9960, 3.2769", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7145, 1.3555, 1.9960, 3.2769", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7145, 1.3555, 1.9960, 3.2769", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7145, 1.3555, 1.9960, 3.2769", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7145, 1.3555, 1.9960, 3.2769", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7145, 1.3555, 1.9960, 3.2769", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7145, 1.3555, 1.9960, 3.2769", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7145, 1.3555, 1.9960, 3.2769" \
);
}
cell_rise (lut_timing_18 ){
values(\
" 0.0691, 0.0798, 0.1263, 0.3235, 1.2327, 2.2817, 3.3284, 5.4082", \
" 0.0691, 0.0798, 0.1263, 0.3235, 1.2327, 2.2817, 3.3284, 5.4082", \
" 0.0691, 0.0798, 0.1263, 0.3235, 1.2327, 2.2817, 3.3284, 5.4082", \
" 0.0691, 0.0798, 0.1263, 0.3235, 1.2327, 2.2817, 3.3284, 5.4082", \
" 0.0691, 0.0798, 0.1263, 0.3235, 1.2327, 2.2817, 3.3284, 5.4082", \
" 0.0691, 0.0798, 0.1263, 0.3235, 1.2327, 2.2817, 3.3284, 5.4082", \
" 0.0691, 0.0798, 0.1263, 0.3235, 1.2327, 2.2817, 3.3284, 5.4082", \
" 0.0691, 0.0798, 0.1263, 0.3235, 1.2327, 2.2817, 3.3284, 5.4082" \
);
}
cell_fall (lut_timing_18 ){
values(\
" 0.0970, 0.1057, 0.1354, 0.2280, 0.6267, 1.0762, 1.5289, 2.4329", \
" 0.0970, 0.1057, 0.1354, 0.2280, 0.6267, 1.0762, 1.5289, 2.4329", \
" 0.0970, 0.1057, 0.1354, 0.2280, 0.6267, 1.0762, 1.5289, 2.4329", \
" 0.0970, 0.1057, 0.1354, 0.2280, 0.6267, 1.0762, 1.5289, 2.4329", \
" 0.0970, 0.1057, 0.1354, 0.2280, 0.6267, 1.0762, 1.5289, 2.4329", \
" 0.0970, 0.1057, 0.1354, 0.2280, 0.6267, 1.0762, 1.5289, 2.4329", \
" 0.0970, 0.1057, 0.1354, 0.2280, 0.6267, 1.0762, 1.5289, 2.4329", \
" 0.0970, 0.1057, 0.1354, 0.2280, 0.6267, 1.0762, 1.5289, 2.4329" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[11] wrt pin FrameStrobe[11] */
} /* End of pin FrameStrobe_O[11] */
/* Start of pin FrameStrobe_O[10] */
pin (FrameStrobe_O[10] ) {
direction : output ;
capacitance : 0.0048;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[10] wrt pin FrameStrobe[10] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[10] ";
rise_transition (lut_timing_19 ){
values(\
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6958, 3.2237, 4.7464, 7.7728", \
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6958, 3.2237, 4.7464, 7.7728", \
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6958, 3.2237, 4.7464, 7.7728", \
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6958, 3.2237, 4.7464, 7.7728", \
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6958, 3.2237, 4.7464, 7.7728", \
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6958, 3.2237, 4.7464, 7.7728", \
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6958, 3.2237, 4.7464, 7.7728", \
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6958, 3.2237, 4.7464, 7.7728" \
);
}
fall_transition (lut_timing_19 ){
values(\
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7199, 1.3667, 2.0134, 3.3046", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7199, 1.3667, 2.0134, 3.3046", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7199, 1.3667, 2.0134, 3.3046", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7199, 1.3667, 2.0134, 3.3046", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7199, 1.3667, 2.0134, 3.3046", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7199, 1.3667, 2.0134, 3.3046", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7199, 1.3667, 2.0134, 3.3046", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7199, 1.3667, 2.0134, 3.3046" \
);
}
cell_rise (lut_timing_19 ){
values(\
" 0.0698, 0.0781, 0.1242, 0.3225, 1.2323, 2.2816, 3.3283, 5.4078", \
" 0.0698, 0.0781, 0.1242, 0.3225, 1.2323, 2.2816, 3.3283, 5.4078", \
" 0.0698, 0.0781, 0.1242, 0.3225, 1.2323, 2.2816, 3.3283, 5.4078", \
" 0.0698, 0.0781, 0.1242, 0.3225, 1.2323, 2.2816, 3.3283, 5.4078", \
" 0.0698, 0.0781, 0.1242, 0.3225, 1.2323, 2.2816, 3.3283, 5.4078", \
" 0.0698, 0.0781, 0.1242, 0.3225, 1.2323, 2.2816, 3.3283, 5.4078", \
" 0.0698, 0.0781, 0.1242, 0.3225, 1.2323, 2.2816, 3.3283, 5.4078", \
" 0.0698, 0.0781, 0.1242, 0.3225, 1.2323, 2.2816, 3.3283, 5.4078" \
);
}
cell_fall (lut_timing_19 ){
values(\
" 0.0973, 0.1040, 0.1327, 0.2270, 0.6274, 1.0769, 1.5298, 2.4344", \
" 0.0973, 0.1040, 0.1327, 0.2270, 0.6274, 1.0769, 1.5298, 2.4344", \
" 0.0973, 0.1040, 0.1327, 0.2270, 0.6274, 1.0769, 1.5298, 2.4344", \
" 0.0973, 0.1040, 0.1327, 0.2270, 0.6274, 1.0769, 1.5298, 2.4344", \
" 0.0973, 0.1040, 0.1327, 0.2270, 0.6274, 1.0769, 1.5298, 2.4344", \
" 0.0973, 0.1040, 0.1327, 0.2270, 0.6274, 1.0769, 1.5298, 2.4344", \
" 0.0973, 0.1040, 0.1327, 0.2270, 0.6274, 1.0769, 1.5298, 2.4344", \
" 0.0973, 0.1040, 0.1327, 0.2270, 0.6274, 1.0769, 1.5298, 2.4344" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[10] wrt pin FrameStrobe[10] */
/* Start of combinational arc of pin FrameStrobe_O[10] wrt pin FrameStrobe[10] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[10] ";
rise_transition (lut_timing_20 ){
values(\
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6954, 3.2230, 4.7457, 7.7731", \
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6954, 3.2230, 4.7457, 7.7731", \
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6954, 3.2230, 4.7457, 7.7731", \
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6954, 3.2230, 4.7457, 7.7731", \
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6954, 3.2230, 4.7457, 7.7731", \
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6954, 3.2230, 4.7457, 7.7731", \
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6954, 3.2230, 4.7457, 7.7731", \
" 0.0239, 0.0326, 0.0914, 0.3747, 1.6954, 3.2230, 4.7457, 7.7731" \
);
}
fall_transition (lut_timing_20 ){
values(\
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7198, 1.3666, 2.0133, 3.3048", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7198, 1.3666, 2.0133, 3.3048", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7198, 1.3666, 2.0133, 3.3048", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7198, 1.3666, 2.0133, 3.3048", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7198, 1.3666, 2.0133, 3.3048", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7198, 1.3666, 2.0133, 3.3048", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7198, 1.3666, 2.0133, 3.3048", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7198, 1.3666, 2.0133, 3.3048" \
);
}
cell_rise (lut_timing_20 ){
values(\
" 0.0708, 0.0793, 0.1252, 0.3235, 1.2330, 2.2822, 3.3290, 5.4095", \
" 0.0708, 0.0793, 0.1252, 0.3235, 1.2330, 2.2822, 3.3290, 5.4095", \
" 0.0708, 0.0793, 0.1252, 0.3235, 1.2330, 2.2822, 3.3290, 5.4095", \
" 0.0708, 0.0793, 0.1252, 0.3235, 1.2330, 2.2822, 3.3290, 5.4095", \
" 0.0708, 0.0793, 0.1252, 0.3235, 1.2330, 2.2822, 3.3290, 5.4095", \
" 0.0708, 0.0793, 0.1252, 0.3235, 1.2330, 2.2822, 3.3290, 5.4095", \
" 0.0708, 0.0793, 0.1252, 0.3235, 1.2330, 2.2822, 3.3290, 5.4095", \
" 0.0708, 0.0793, 0.1252, 0.3235, 1.2330, 2.2822, 3.3290, 5.4095" \
);
}
cell_fall (lut_timing_20 ){
values(\
" 0.0984, 0.1051, 0.1337, 0.2280, 0.6283, 1.0778, 1.5307, 2.4355", \
" 0.0984, 0.1051, 0.1337, 0.2280, 0.6283, 1.0778, 1.5307, 2.4355", \
" 0.0984, 0.1051, 0.1337, 0.2280, 0.6283, 1.0778, 1.5307, 2.4355", \
" 0.0984, 0.1051, 0.1337, 0.2280, 0.6283, 1.0778, 1.5307, 2.4355", \
" 0.0984, 0.1051, 0.1337, 0.2280, 0.6283, 1.0778, 1.5307, 2.4355", \
" 0.0984, 0.1051, 0.1337, 0.2280, 0.6283, 1.0778, 1.5307, 2.4355", \
" 0.0984, 0.1051, 0.1337, 0.2280, 0.6283, 1.0778, 1.5307, 2.4355", \
" 0.0984, 0.1051, 0.1337, 0.2280, 0.6283, 1.0778, 1.5307, 2.4355" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[10] wrt pin FrameStrobe[10] */
} /* End of pin FrameStrobe_O[10] */
/* Start of pin FrameStrobe_O[9] */
pin (FrameStrobe_O[9] ) {
direction : output ;
capacitance : 0.0032;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[9] wrt pin FrameStrobe[9] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[9] ";
rise_transition (lut_timing_21 ){
values(\
" 0.0214, 0.0326, 0.0912, 0.3735, 1.6891, 3.2110, 4.7271, 7.7408", \
" 0.0214, 0.0326, 0.0912, 0.3735, 1.6891, 3.2110, 4.7271, 7.7408", \
" 0.0214, 0.0326, 0.0912, 0.3735, 1.6891, 3.2110, 4.7271, 7.7408", \
" 0.0214, 0.0326, 0.0912, 0.3735, 1.6891, 3.2110, 4.7271, 7.7408", \
" 0.0214, 0.0326, 0.0912, 0.3735, 1.6891, 3.2110, 4.7271, 7.7408", \
" 0.0214, 0.0326, 0.0912, 0.3735, 1.6891, 3.2110, 4.7271, 7.7408", \
" 0.0214, 0.0326, 0.0912, 0.3735, 1.6891, 3.2110, 4.7271, 7.7408", \
" 0.0214, 0.0326, 0.0912, 0.3735, 1.6891, 3.2110, 4.7271, 7.7408" \
);
}
fall_transition (lut_timing_21 ){
values(\
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7141, 1.3547, 1.9945, 3.2740", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7141, 1.3547, 1.9945, 3.2740", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7141, 1.3547, 1.9945, 3.2740", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7141, 1.3547, 1.9945, 3.2740", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7141, 1.3547, 1.9945, 3.2740", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7141, 1.3547, 1.9945, 3.2740", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7141, 1.3547, 1.9945, 3.2740", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7141, 1.3547, 1.9945, 3.2740" \
);
}
cell_rise (lut_timing_21 ){
values(\
" 0.0676, 0.0785, 0.1245, 0.3223, 1.2318, 2.2809, 3.3275, 5.4057", \
" 0.0676, 0.0785, 0.1245, 0.3223, 1.2318, 2.2809, 3.3275, 5.4057", \
" 0.0676, 0.0785, 0.1245, 0.3223, 1.2318, 2.2809, 3.3275, 5.4057", \
" 0.0676, 0.0785, 0.1245, 0.3223, 1.2318, 2.2809, 3.3275, 5.4057", \
" 0.0676, 0.0785, 0.1245, 0.3223, 1.2318, 2.2809, 3.3275, 5.4057", \
" 0.0676, 0.0785, 0.1245, 0.3223, 1.2318, 2.2809, 3.3275, 5.4057", \
" 0.0676, 0.0785, 0.1245, 0.3223, 1.2318, 2.2809, 3.3275, 5.4057", \
" 0.0676, 0.0785, 0.1245, 0.3223, 1.2318, 2.2809, 3.3275, 5.4057" \
);
}
cell_fall (lut_timing_21 ){
values(\
" 0.0956, 0.1043, 0.1335, 0.2266, 0.6253, 1.0748, 1.5275, 2.4312", \
" 0.0956, 0.1043, 0.1335, 0.2266, 0.6253, 1.0748, 1.5275, 2.4312", \
" 0.0956, 0.1043, 0.1335, 0.2266, 0.6253, 1.0748, 1.5275, 2.4312", \
" 0.0956, 0.1043, 0.1335, 0.2266, 0.6253, 1.0748, 1.5275, 2.4312", \
" 0.0956, 0.1043, 0.1335, 0.2266, 0.6253, 1.0748, 1.5275, 2.4312", \
" 0.0956, 0.1043, 0.1335, 0.2266, 0.6253, 1.0748, 1.5275, 2.4312", \
" 0.0956, 0.1043, 0.1335, 0.2266, 0.6253, 1.0748, 1.5275, 2.4312", \
" 0.0956, 0.1043, 0.1335, 0.2266, 0.6253, 1.0748, 1.5275, 2.4312" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[9] wrt pin FrameStrobe[9] */
/* Start of combinational arc of pin FrameStrobe_O[9] wrt pin FrameStrobe[9] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[9] ";
rise_transition (lut_timing_22 ){
values(\
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6885, 3.2101, 4.7263, 7.7412", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6885, 3.2101, 4.7263, 7.7412", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6885, 3.2101, 4.7263, 7.7412", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6885, 3.2101, 4.7263, 7.7412", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6885, 3.2101, 4.7263, 7.7412", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6885, 3.2101, 4.7263, 7.7412", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6885, 3.2101, 4.7263, 7.7412", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6885, 3.2101, 4.7263, 7.7412" \
);
}
fall_transition (lut_timing_22 ){
values(\
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7139, 1.3545, 1.9944, 3.2743", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7139, 1.3545, 1.9944, 3.2743", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7139, 1.3545, 1.9944, 3.2743", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7139, 1.3545, 1.9944, 3.2743", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7139, 1.3545, 1.9944, 3.2743", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7139, 1.3545, 1.9944, 3.2743", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7139, 1.3545, 1.9944, 3.2743", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7139, 1.3545, 1.9944, 3.2743" \
);
}
cell_rise (lut_timing_22 ){
values(\
" 0.0687, 0.0797, 0.1262, 0.3234, 1.2325, 2.2815, 3.3282, 5.4078", \
" 0.0687, 0.0797, 0.1262, 0.3234, 1.2325, 2.2815, 3.3282, 5.4078", \
" 0.0687, 0.0797, 0.1262, 0.3234, 1.2325, 2.2815, 3.3282, 5.4078", \
" 0.0687, 0.0797, 0.1262, 0.3234, 1.2325, 2.2815, 3.3282, 5.4078", \
" 0.0687, 0.0797, 0.1262, 0.3234, 1.2325, 2.2815, 3.3282, 5.4078", \
" 0.0687, 0.0797, 0.1262, 0.3234, 1.2325, 2.2815, 3.3282, 5.4078", \
" 0.0687, 0.0797, 0.1262, 0.3234, 1.2325, 2.2815, 3.3282, 5.4078", \
" 0.0687, 0.0797, 0.1262, 0.3234, 1.2325, 2.2815, 3.3282, 5.4078" \
);
}
cell_fall (lut_timing_22 ){
values(\
" 0.0967, 0.1056, 0.1352, 0.2277, 0.6263, 1.0758, 1.5286, 2.4325", \
" 0.0967, 0.1056, 0.1352, 0.2277, 0.6263, 1.0758, 1.5286, 2.4325", \
" 0.0967, 0.1056, 0.1352, 0.2277, 0.6263, 1.0758, 1.5286, 2.4325", \
" 0.0967, 0.1056, 0.1352, 0.2277, 0.6263, 1.0758, 1.5286, 2.4325", \
" 0.0967, 0.1056, 0.1352, 0.2277, 0.6263, 1.0758, 1.5286, 2.4325", \
" 0.0967, 0.1056, 0.1352, 0.2277, 0.6263, 1.0758, 1.5286, 2.4325", \
" 0.0967, 0.1056, 0.1352, 0.2277, 0.6263, 1.0758, 1.5286, 2.4325", \
" 0.0967, 0.1056, 0.1352, 0.2277, 0.6263, 1.0758, 1.5286, 2.4325" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[9] wrt pin FrameStrobe[9] */
} /* End of pin FrameStrobe_O[9] */
/* Start of pin FrameStrobe_O[8] */
pin (FrameStrobe_O[8] ) {
direction : output ;
capacitance : 0.0049;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[8] wrt pin FrameStrobe[8] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[8] ";
rise_transition (lut_timing_23 ){
values(\
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6960, 3.2241, 4.7469, 7.7739", \
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6960, 3.2241, 4.7469, 7.7739", \
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6960, 3.2241, 4.7469, 7.7739", \
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6960, 3.2241, 4.7469, 7.7739", \
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6960, 3.2241, 4.7469, 7.7739", \
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6960, 3.2241, 4.7469, 7.7739", \
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6960, 3.2241, 4.7469, 7.7739", \
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6960, 3.2241, 4.7469, 7.7739" \
);
}
fall_transition (lut_timing_23 ){
values(\
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7201, 1.3672, 2.0141, 3.3056", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7201, 1.3672, 2.0141, 3.3056", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7201, 1.3672, 2.0141, 3.3056", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7201, 1.3672, 2.0141, 3.3056", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7201, 1.3672, 2.0141, 3.3056", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7201, 1.3672, 2.0141, 3.3056", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7201, 1.3672, 2.0141, 3.3056", \
" 0.0212, 0.0258, 0.0512, 0.1636, 0.7201, 1.3672, 2.0141, 3.3056" \
);
}
cell_rise (lut_timing_23 ){
values(\
" 0.0704, 0.0786, 0.1247, 0.3230, 1.2328, 2.2822, 3.3289, 5.4084", \
" 0.0704, 0.0786, 0.1247, 0.3230, 1.2328, 2.2822, 3.3289, 5.4084", \
" 0.0704, 0.0786, 0.1247, 0.3230, 1.2328, 2.2822, 3.3289, 5.4084", \
" 0.0704, 0.0786, 0.1247, 0.3230, 1.2328, 2.2822, 3.3289, 5.4084", \
" 0.0704, 0.0786, 0.1247, 0.3230, 1.2328, 2.2822, 3.3289, 5.4084", \
" 0.0704, 0.0786, 0.1247, 0.3230, 1.2328, 2.2822, 3.3289, 5.4084", \
" 0.0704, 0.0786, 0.1247, 0.3230, 1.2328, 2.2822, 3.3289, 5.4084", \
" 0.0704, 0.0786, 0.1247, 0.3230, 1.2328, 2.2822, 3.3289, 5.4084" \
);
}
cell_fall (lut_timing_23 ){
values(\
" 0.0979, 0.1045, 0.1332, 0.2275, 0.6280, 1.0775, 1.5304, 2.4350", \
" 0.0979, 0.1045, 0.1332, 0.2275, 0.6280, 1.0775, 1.5304, 2.4350", \
" 0.0979, 0.1045, 0.1332, 0.2275, 0.6280, 1.0775, 1.5304, 2.4350", \
" 0.0979, 0.1045, 0.1332, 0.2275, 0.6280, 1.0775, 1.5304, 2.4350", \
" 0.0979, 0.1045, 0.1332, 0.2275, 0.6280, 1.0775, 1.5304, 2.4350", \
" 0.0979, 0.1045, 0.1332, 0.2275, 0.6280, 1.0775, 1.5304, 2.4350", \
" 0.0979, 0.1045, 0.1332, 0.2275, 0.6280, 1.0775, 1.5304, 2.4350", \
" 0.0979, 0.1045, 0.1332, 0.2275, 0.6280, 1.0775, 1.5304, 2.4350" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[8] wrt pin FrameStrobe[8] */
/* Start of combinational arc of pin FrameStrobe_O[8] wrt pin FrameStrobe[8] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[8] ";
rise_transition (lut_timing_24 ){
values(\
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6955, 3.2232, 4.7461, 7.7743", \
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6955, 3.2232, 4.7461, 7.7743", \
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6955, 3.2232, 4.7461, 7.7743", \
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6955, 3.2232, 4.7461, 7.7743", \
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6955, 3.2232, 4.7461, 7.7743", \
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6955, 3.2232, 4.7461, 7.7743", \
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6955, 3.2232, 4.7461, 7.7743", \
" 0.0240, 0.0326, 0.0914, 0.3747, 1.6955, 3.2232, 4.7461, 7.7743" \
);
}
fall_transition (lut_timing_24 ){
values(\
" 0.0213, 0.0258, 0.0512, 0.1636, 0.7200, 1.3670, 2.0139, 3.3059", \
" 0.0213, 0.0258, 0.0512, 0.1636, 0.7200, 1.3670, 2.0139, 3.3059", \
" 0.0213, 0.0258, 0.0512, 0.1636, 0.7200, 1.3670, 2.0139, 3.3059", \
" 0.0213, 0.0258, 0.0512, 0.1636, 0.7200, 1.3670, 2.0139, 3.3059", \
" 0.0213, 0.0258, 0.0512, 0.1636, 0.7200, 1.3670, 2.0139, 3.3059", \
" 0.0213, 0.0258, 0.0512, 0.1636, 0.7200, 1.3670, 2.0139, 3.3059", \
" 0.0213, 0.0258, 0.0512, 0.1636, 0.7200, 1.3670, 2.0139, 3.3059", \
" 0.0213, 0.0258, 0.0512, 0.1636, 0.7200, 1.3670, 2.0139, 3.3059" \
);
}
cell_rise (lut_timing_24 ){
values(\
" 0.0716, 0.0799, 0.1258, 0.3241, 1.2336, 2.2827, 3.3296, 5.4104", \
" 0.0716, 0.0799, 0.1258, 0.3241, 1.2336, 2.2827, 3.3296, 5.4104", \
" 0.0716, 0.0799, 0.1258, 0.3241, 1.2336, 2.2827, 3.3296, 5.4104", \
" 0.0716, 0.0799, 0.1258, 0.3241, 1.2336, 2.2827, 3.3296, 5.4104", \
" 0.0716, 0.0799, 0.1258, 0.3241, 1.2336, 2.2827, 3.3296, 5.4104", \
" 0.0716, 0.0799, 0.1258, 0.3241, 1.2336, 2.2827, 3.3296, 5.4104", \
" 0.0716, 0.0799, 0.1258, 0.3241, 1.2336, 2.2827, 3.3296, 5.4104", \
" 0.0716, 0.0799, 0.1258, 0.3241, 1.2336, 2.2827, 3.3296, 5.4104" \
);
}
cell_fall (lut_timing_24 ){
values(\
" 0.0991, 0.1058, 0.1343, 0.2287, 0.6290, 1.0785, 1.5314, 2.4363", \
" 0.0991, 0.1058, 0.1343, 0.2287, 0.6290, 1.0785, 1.5314, 2.4363", \
" 0.0991, 0.1058, 0.1343, 0.2287, 0.6290, 1.0785, 1.5314, 2.4363", \
" 0.0991, 0.1058, 0.1343, 0.2287, 0.6290, 1.0785, 1.5314, 2.4363", \
" 0.0991, 0.1058, 0.1343, 0.2287, 0.6290, 1.0785, 1.5314, 2.4363", \
" 0.0991, 0.1058, 0.1343, 0.2287, 0.6290, 1.0785, 1.5314, 2.4363", \
" 0.0991, 0.1058, 0.1343, 0.2287, 0.6290, 1.0785, 1.5314, 2.4363", \
" 0.0991, 0.1058, 0.1343, 0.2287, 0.6290, 1.0785, 1.5314, 2.4363" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[8] wrt pin FrameStrobe[8] */
} /* End of pin FrameStrobe_O[8] */
/* Start of pin FrameStrobe_O[7] */
pin (FrameStrobe_O[7] ) {
direction : output ;
capacitance : 0.0046;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[7] wrt pin FrameStrobe[7] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[7] ";
rise_transition (lut_timing_25 ){
values(\
" 0.0236, 0.0326, 0.0914, 0.3754, 1.7000, 3.2317, 4.7582, 7.7929", \
" 0.0236, 0.0326, 0.0914, 0.3754, 1.7000, 3.2317, 4.7582, 7.7929", \
" 0.0236, 0.0326, 0.0914, 0.3754, 1.7000, 3.2317, 4.7582, 7.7929", \
" 0.0236, 0.0326, 0.0914, 0.3754, 1.7000, 3.2317, 4.7582, 7.7929", \
" 0.0236, 0.0326, 0.0914, 0.3754, 1.7000, 3.2317, 4.7582, 7.7929", \
" 0.0236, 0.0326, 0.0914, 0.3754, 1.7000, 3.2317, 4.7582, 7.7929", \
" 0.0236, 0.0326, 0.0914, 0.3754, 1.7000, 3.2317, 4.7582, 7.7929", \
" 0.0236, 0.0326, 0.0914, 0.3754, 1.7000, 3.2317, 4.7582, 7.7929" \
);
}
fall_transition (lut_timing_25 ){
values(\
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7233, 1.3747, 2.0253, 3.3239", \
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7233, 1.3747, 2.0253, 3.3239", \
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7233, 1.3747, 2.0253, 3.3239", \
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7233, 1.3747, 2.0253, 3.3239", \
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7233, 1.3747, 2.0253, 3.3239", \
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7233, 1.3747, 2.0253, 3.3239", \
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7233, 1.3747, 2.0253, 3.3239", \
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7233, 1.3747, 2.0253, 3.3239" \
);
}
cell_rise (lut_timing_25 ){
values(\
" 0.0694, 0.0781, 0.1241, 0.3227, 1.2328, 2.2822, 3.3292, 5.4092", \
" 0.0694, 0.0781, 0.1241, 0.3227, 1.2328, 2.2822, 3.3292, 5.4092", \
" 0.0694, 0.0781, 0.1241, 0.3227, 1.2328, 2.2822, 3.3292, 5.4092", \
" 0.0694, 0.0781, 0.1241, 0.3227, 1.2328, 2.2822, 3.3292, 5.4092", \
" 0.0694, 0.0781, 0.1241, 0.3227, 1.2328, 2.2822, 3.3292, 5.4092", \
" 0.0694, 0.0781, 0.1241, 0.3227, 1.2328, 2.2822, 3.3292, 5.4092", \
" 0.0694, 0.0781, 0.1241, 0.3227, 1.2328, 2.2822, 3.3292, 5.4092", \
" 0.0694, 0.0781, 0.1241, 0.3227, 1.2328, 2.2822, 3.3292, 5.4092" \
);
}
cell_fall (lut_timing_25 ){
values(\
" 0.0970, 0.1039, 0.1327, 0.2274, 0.6287, 1.0783, 1.5313, 2.4363", \
" 0.0970, 0.1039, 0.1327, 0.2274, 0.6287, 1.0783, 1.5313, 2.4363", \
" 0.0970, 0.1039, 0.1327, 0.2274, 0.6287, 1.0783, 1.5313, 2.4363", \
" 0.0970, 0.1039, 0.1327, 0.2274, 0.6287, 1.0783, 1.5313, 2.4363", \
" 0.0970, 0.1039, 0.1327, 0.2274, 0.6287, 1.0783, 1.5313, 2.4363", \
" 0.0970, 0.1039, 0.1327, 0.2274, 0.6287, 1.0783, 1.5313, 2.4363", \
" 0.0970, 0.1039, 0.1327, 0.2274, 0.6287, 1.0783, 1.5313, 2.4363", \
" 0.0970, 0.1039, 0.1327, 0.2274, 0.6287, 1.0783, 1.5313, 2.4363" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[7] wrt pin FrameStrobe[7] */
/* Start of combinational arc of pin FrameStrobe_O[7] wrt pin FrameStrobe[7] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[7] ";
rise_transition (lut_timing_26 ){
values(\
" 0.0236, 0.0326, 0.0914, 0.3754, 1.6995, 3.2309, 4.7575, 7.7932", \
" 0.0236, 0.0326, 0.0914, 0.3754, 1.6995, 3.2309, 4.7575, 7.7932", \
" 0.0236, 0.0326, 0.0914, 0.3754, 1.6995, 3.2309, 4.7575, 7.7932", \
" 0.0236, 0.0326, 0.0914, 0.3754, 1.6995, 3.2309, 4.7575, 7.7932", \
" 0.0236, 0.0326, 0.0914, 0.3754, 1.6995, 3.2309, 4.7575, 7.7932", \
" 0.0236, 0.0326, 0.0914, 0.3754, 1.6995, 3.2309, 4.7575, 7.7932", \
" 0.0236, 0.0326, 0.0914, 0.3754, 1.6995, 3.2309, 4.7575, 7.7932", \
" 0.0236, 0.0326, 0.0914, 0.3754, 1.6995, 3.2309, 4.7575, 7.7932" \
);
}
fall_transition (lut_timing_26 ){
values(\
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7231, 1.3745, 2.0251, 3.3241", \
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7231, 1.3745, 2.0251, 3.3241", \
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7231, 1.3745, 2.0251, 3.3241", \
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7231, 1.3745, 2.0251, 3.3241", \
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7231, 1.3745, 2.0251, 3.3241", \
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7231, 1.3745, 2.0251, 3.3241", \
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7231, 1.3745, 2.0251, 3.3241", \
" 0.0210, 0.0258, 0.0513, 0.1642, 0.7231, 1.3745, 2.0251, 3.3241" \
);
}
cell_rise (lut_timing_26 ){
values(\
" 0.0704, 0.0792, 0.1251, 0.3236, 1.2334, 2.2827, 3.3298, 5.4109", \
" 0.0704, 0.0792, 0.1251, 0.3236, 1.2334, 2.2827, 3.3298, 5.4109", \
" 0.0704, 0.0792, 0.1251, 0.3236, 1.2334, 2.2827, 3.3298, 5.4109", \
" 0.0704, 0.0792, 0.1251, 0.3236, 1.2334, 2.2827, 3.3298, 5.4109", \
" 0.0704, 0.0792, 0.1251, 0.3236, 1.2334, 2.2827, 3.3298, 5.4109", \
" 0.0704, 0.0792, 0.1251, 0.3236, 1.2334, 2.2827, 3.3298, 5.4109", \
" 0.0704, 0.0792, 0.1251, 0.3236, 1.2334, 2.2827, 3.3298, 5.4109", \
" 0.0704, 0.0792, 0.1251, 0.3236, 1.2334, 2.2827, 3.3298, 5.4109" \
);
}
cell_fall (lut_timing_26 ){
values(\
" 0.0980, 0.1051, 0.1336, 0.2284, 0.6297, 1.0792, 1.5322, 2.4374", \
" 0.0980, 0.1051, 0.1336, 0.2284, 0.6297, 1.0792, 1.5322, 2.4374", \
" 0.0980, 0.1051, 0.1336, 0.2284, 0.6297, 1.0792, 1.5322, 2.4374", \
" 0.0980, 0.1051, 0.1336, 0.2284, 0.6297, 1.0792, 1.5322, 2.4374", \
" 0.0980, 0.1051, 0.1336, 0.2284, 0.6297, 1.0792, 1.5322, 2.4374", \
" 0.0980, 0.1051, 0.1336, 0.2284, 0.6297, 1.0792, 1.5322, 2.4374", \
" 0.0980, 0.1051, 0.1336, 0.2284, 0.6297, 1.0792, 1.5322, 2.4374", \
" 0.0980, 0.1051, 0.1336, 0.2284, 0.6297, 1.0792, 1.5322, 2.4374" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[7] wrt pin FrameStrobe[7] */
} /* End of pin FrameStrobe_O[7] */
/* Start of pin FrameStrobe_O[6] */
pin (FrameStrobe_O[6] ) {
direction : output ;
capacitance : 0.0051;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[6] wrt pin FrameStrobe[6] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[6] ";
rise_transition (lut_timing_27 ){
values(\
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6966, 3.2252, 4.7486, 7.7767", \
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6966, 3.2252, 4.7486, 7.7767", \
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6966, 3.2252, 4.7486, 7.7767", \
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6966, 3.2252, 4.7486, 7.7767", \
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6966, 3.2252, 4.7486, 7.7767", \
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6966, 3.2252, 4.7486, 7.7767", \
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6966, 3.2252, 4.7486, 7.7767", \
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6966, 3.2252, 4.7486, 7.7767" \
);
}
fall_transition (lut_timing_27 ){
values(\
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7203, 1.3679, 2.0157, 3.3083", \
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7203, 1.3679, 2.0157, 3.3083", \
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7203, 1.3679, 2.0157, 3.3083", \
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7203, 1.3679, 2.0157, 3.3083", \
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7203, 1.3679, 2.0157, 3.3083", \
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7203, 1.3679, 2.0157, 3.3083", \
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7203, 1.3679, 2.0157, 3.3083", \
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7203, 1.3679, 2.0157, 3.3083" \
);
}
cell_rise (lut_timing_27 ){
values(\
" 0.0707, 0.0788, 0.1248, 0.3231, 1.2330, 2.2824, 3.3292, 5.4087", \
" 0.0707, 0.0788, 0.1248, 0.3231, 1.2330, 2.2824, 3.3292, 5.4087", \
" 0.0707, 0.0788, 0.1248, 0.3231, 1.2330, 2.2824, 3.3292, 5.4087", \
" 0.0707, 0.0788, 0.1248, 0.3231, 1.2330, 2.2824, 3.3292, 5.4087", \
" 0.0707, 0.0788, 0.1248, 0.3231, 1.2330, 2.2824, 3.3292, 5.4087", \
" 0.0707, 0.0788, 0.1248, 0.3231, 1.2330, 2.2824, 3.3292, 5.4087", \
" 0.0707, 0.0788, 0.1248, 0.3231, 1.2330, 2.2824, 3.3292, 5.4087", \
" 0.0707, 0.0788, 0.1248, 0.3231, 1.2330, 2.2824, 3.3292, 5.4087" \
);
}
cell_fall (lut_timing_27 ){
values(\
" 0.0982, 0.1046, 0.1333, 0.2277, 0.6283, 1.0778, 1.5307, 2.4354", \
" 0.0982, 0.1046, 0.1333, 0.2277, 0.6283, 1.0778, 1.5307, 2.4354", \
" 0.0982, 0.1046, 0.1333, 0.2277, 0.6283, 1.0778, 1.5307, 2.4354", \
" 0.0982, 0.1046, 0.1333, 0.2277, 0.6283, 1.0778, 1.5307, 2.4354", \
" 0.0982, 0.1046, 0.1333, 0.2277, 0.6283, 1.0778, 1.5307, 2.4354", \
" 0.0982, 0.1046, 0.1333, 0.2277, 0.6283, 1.0778, 1.5307, 2.4354", \
" 0.0982, 0.1046, 0.1333, 0.2277, 0.6283, 1.0778, 1.5307, 2.4354", \
" 0.0982, 0.1046, 0.1333, 0.2277, 0.6283, 1.0778, 1.5307, 2.4354" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[6] wrt pin FrameStrobe[6] */
/* Start of combinational arc of pin FrameStrobe_O[6] wrt pin FrameStrobe[6] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[6] ";
rise_transition (lut_timing_28 ){
values(\
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6960, 3.2243, 4.7477, 7.7771", \
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6960, 3.2243, 4.7477, 7.7771", \
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6960, 3.2243, 4.7477, 7.7771", \
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6960, 3.2243, 4.7477, 7.7771", \
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6960, 3.2243, 4.7477, 7.7771", \
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6960, 3.2243, 4.7477, 7.7771", \
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6960, 3.2243, 4.7477, 7.7771", \
" 0.0242, 0.0326, 0.0914, 0.3748, 1.6960, 3.2243, 4.7477, 7.7771" \
);
}
fall_transition (lut_timing_28 ){
values(\
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7202, 1.3676, 2.0155, 3.3086", \
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7202, 1.3676, 2.0155, 3.3086", \
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7202, 1.3676, 2.0155, 3.3086", \
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7202, 1.3676, 2.0155, 3.3086", \
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7202, 1.3676, 2.0155, 3.3086", \
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7202, 1.3676, 2.0155, 3.3086", \
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7202, 1.3676, 2.0155, 3.3086", \
" 0.0214, 0.0258, 0.0512, 0.1637, 0.7202, 1.3676, 2.0155, 3.3086" \
);
}
cell_rise (lut_timing_28 ){
values(\
" 0.0719, 0.0800, 0.1259, 0.3242, 1.2337, 2.2829, 3.3298, 5.4107", \
" 0.0719, 0.0800, 0.1259, 0.3242, 1.2337, 2.2829, 3.3298, 5.4107", \
" 0.0719, 0.0800, 0.1259, 0.3242, 1.2337, 2.2829, 3.3298, 5.4107", \
" 0.0719, 0.0800, 0.1259, 0.3242, 1.2337, 2.2829, 3.3298, 5.4107", \
" 0.0719, 0.0800, 0.1259, 0.3242, 1.2337, 2.2829, 3.3298, 5.4107", \
" 0.0719, 0.0800, 0.1259, 0.3242, 1.2337, 2.2829, 3.3298, 5.4107", \
" 0.0719, 0.0800, 0.1259, 0.3242, 1.2337, 2.2829, 3.3298, 5.4107", \
" 0.0719, 0.0800, 0.1259, 0.3242, 1.2337, 2.2829, 3.3298, 5.4107" \
);
}
cell_fall (lut_timing_28 ){
values(\
" 0.0994, 0.1059, 0.1345, 0.2288, 0.6294, 1.0789, 1.5318, 2.4366", \
" 0.0994, 0.1059, 0.1345, 0.2288, 0.6294, 1.0789, 1.5318, 2.4366", \
" 0.0994, 0.1059, 0.1345, 0.2288, 0.6294, 1.0789, 1.5318, 2.4366", \
" 0.0994, 0.1059, 0.1345, 0.2288, 0.6294, 1.0789, 1.5318, 2.4366", \
" 0.0994, 0.1059, 0.1345, 0.2288, 0.6294, 1.0789, 1.5318, 2.4366", \
" 0.0994, 0.1059, 0.1345, 0.2288, 0.6294, 1.0789, 1.5318, 2.4366", \
" 0.0994, 0.1059, 0.1345, 0.2288, 0.6294, 1.0789, 1.5318, 2.4366", \
" 0.0994, 0.1059, 0.1345, 0.2288, 0.6294, 1.0789, 1.5318, 2.4366" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[6] wrt pin FrameStrobe[6] */
} /* End of pin FrameStrobe_O[6] */
/* Start of pin FrameStrobe_O[5] */
pin (FrameStrobe_O[5] ) {
direction : output ;
capacitance : 0.0045;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[5] wrt pin FrameStrobe[5] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[5] ";
rise_transition (lut_timing_29 ){
values(\
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6943, 3.2207, 4.7418, 7.7654", \
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6943, 3.2207, 4.7418, 7.7654", \
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6943, 3.2207, 4.7418, 7.7654", \
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6943, 3.2207, 4.7418, 7.7654", \
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6943, 3.2207, 4.7418, 7.7654", \
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6943, 3.2207, 4.7418, 7.7654", \
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6943, 3.2207, 4.7418, 7.7654", \
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6943, 3.2207, 4.7418, 7.7654" \
);
}
fall_transition (lut_timing_29 ){
values(\
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7184, 1.3641, 2.0091, 3.2975", \
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7184, 1.3641, 2.0091, 3.2975", \
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7184, 1.3641, 2.0091, 3.2975", \
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7184, 1.3641, 2.0091, 3.2975", \
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7184, 1.3641, 2.0091, 3.2975", \
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7184, 1.3641, 2.0091, 3.2975", \
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7184, 1.3641, 2.0091, 3.2975", \
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7184, 1.3641, 2.0091, 3.2975" \
);
}
cell_rise (lut_timing_29 ){
values(\
" 0.0691, 0.0780, 0.1241, 0.3223, 1.2320, 2.2813, 3.3280, 5.4071", \
" 0.0691, 0.0780, 0.1241, 0.3223, 1.2320, 2.2813, 3.3280, 5.4071", \
" 0.0691, 0.0780, 0.1241, 0.3223, 1.2320, 2.2813, 3.3280, 5.4071", \
" 0.0691, 0.0780, 0.1241, 0.3223, 1.2320, 2.2813, 3.3280, 5.4071", \
" 0.0691, 0.0780, 0.1241, 0.3223, 1.2320, 2.2813, 3.3280, 5.4071", \
" 0.0691, 0.0780, 0.1241, 0.3223, 1.2320, 2.2813, 3.3280, 5.4071", \
" 0.0691, 0.0780, 0.1241, 0.3223, 1.2320, 2.2813, 3.3280, 5.4071", \
" 0.0691, 0.0780, 0.1241, 0.3223, 1.2320, 2.2813, 3.3280, 5.4071" \
);
}
cell_fall (lut_timing_29 ){
values(\
" 0.0968, 0.1039, 0.1326, 0.2267, 0.6268, 1.0764, 1.5291, 2.4337", \
" 0.0968, 0.1039, 0.1326, 0.2267, 0.6268, 1.0764, 1.5291, 2.4337", \
" 0.0968, 0.1039, 0.1326, 0.2267, 0.6268, 1.0764, 1.5291, 2.4337", \
" 0.0968, 0.1039, 0.1326, 0.2267, 0.6268, 1.0764, 1.5291, 2.4337", \
" 0.0968, 0.1039, 0.1326, 0.2267, 0.6268, 1.0764, 1.5291, 2.4337", \
" 0.0968, 0.1039, 0.1326, 0.2267, 0.6268, 1.0764, 1.5291, 2.4337", \
" 0.0968, 0.1039, 0.1326, 0.2267, 0.6268, 1.0764, 1.5291, 2.4337", \
" 0.0968, 0.1039, 0.1326, 0.2267, 0.6268, 1.0764, 1.5291, 2.4337" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[5] wrt pin FrameStrobe[5] */
/* Start of combinational arc of pin FrameStrobe_O[5] wrt pin FrameStrobe[5] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[5] ";
rise_transition (lut_timing_30 ){
values(\
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6938, 3.2201, 4.7411, 7.7657", \
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6938, 3.2201, 4.7411, 7.7657", \
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6938, 3.2201, 4.7411, 7.7657", \
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6938, 3.2201, 4.7411, 7.7657", \
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6938, 3.2201, 4.7411, 7.7657", \
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6938, 3.2201, 4.7411, 7.7657", \
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6938, 3.2201, 4.7411, 7.7657", \
" 0.0234, 0.0326, 0.0914, 0.3744, 1.6938, 3.2201, 4.7411, 7.7657" \
);
}
fall_transition (lut_timing_30 ){
values(\
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7183, 1.3640, 2.0089, 3.2977", \
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7183, 1.3640, 2.0089, 3.2977", \
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7183, 1.3640, 2.0089, 3.2977", \
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7183, 1.3640, 2.0089, 3.2977", \
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7183, 1.3640, 2.0089, 3.2977", \
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7183, 1.3640, 2.0089, 3.2977", \
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7183, 1.3640, 2.0089, 3.2977", \
" 0.0209, 0.0258, 0.0511, 0.1633, 0.7183, 1.3640, 2.0089, 3.2977" \
);
}
cell_rise (lut_timing_30 ){
values(\
" 0.0701, 0.0791, 0.1250, 0.3231, 1.2326, 2.2818, 3.3286, 5.4087", \
" 0.0701, 0.0791, 0.1250, 0.3231, 1.2326, 2.2818, 3.3286, 5.4087", \
" 0.0701, 0.0791, 0.1250, 0.3231, 1.2326, 2.2818, 3.3286, 5.4087", \
" 0.0701, 0.0791, 0.1250, 0.3231, 1.2326, 2.2818, 3.3286, 5.4087", \
" 0.0701, 0.0791, 0.1250, 0.3231, 1.2326, 2.2818, 3.3286, 5.4087", \
" 0.0701, 0.0791, 0.1250, 0.3231, 1.2326, 2.2818, 3.3286, 5.4087", \
" 0.0701, 0.0791, 0.1250, 0.3231, 1.2326, 2.2818, 3.3286, 5.4087", \
" 0.0701, 0.0791, 0.1250, 0.3231, 1.2326, 2.2818, 3.3286, 5.4087" \
);
}
cell_fall (lut_timing_30 ){
values(\
" 0.0978, 0.1049, 0.1335, 0.2277, 0.6277, 1.0772, 1.5300, 2.4347", \
" 0.0978, 0.1049, 0.1335, 0.2277, 0.6277, 1.0772, 1.5300, 2.4347", \
" 0.0978, 0.1049, 0.1335, 0.2277, 0.6277, 1.0772, 1.5300, 2.4347", \
" 0.0978, 0.1049, 0.1335, 0.2277, 0.6277, 1.0772, 1.5300, 2.4347", \
" 0.0978, 0.1049, 0.1335, 0.2277, 0.6277, 1.0772, 1.5300, 2.4347", \
" 0.0978, 0.1049, 0.1335, 0.2277, 0.6277, 1.0772, 1.5300, 2.4347", \
" 0.0978, 0.1049, 0.1335, 0.2277, 0.6277, 1.0772, 1.5300, 2.4347", \
" 0.0978, 0.1049, 0.1335, 0.2277, 0.6277, 1.0772, 1.5300, 2.4347" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[5] wrt pin FrameStrobe[5] */
} /* End of pin FrameStrobe_O[5] */
/* Start of pin FrameStrobe_O[4] */
pin (FrameStrobe_O[4] ) {
direction : output ;
capacitance : 0.0038;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[4] wrt pin FrameStrobe[4] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[4] ";
rise_transition (lut_timing_31 ){
values(\
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6918, 3.2160, 4.7347, 7.7535", \
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6918, 3.2160, 4.7347, 7.7535", \
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6918, 3.2160, 4.7347, 7.7535", \
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6918, 3.2160, 4.7347, 7.7535", \
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6918, 3.2160, 4.7347, 7.7535", \
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6918, 3.2160, 4.7347, 7.7535", \
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6918, 3.2160, 4.7347, 7.7535", \
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6918, 3.2160, 4.7347, 7.7535" \
);
}
fall_transition (lut_timing_31 ){
values(\
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7164, 1.3595, 2.0020, 3.2861", \
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7164, 1.3595, 2.0020, 3.2861", \
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7164, 1.3595, 2.0020, 3.2861", \
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7164, 1.3595, 2.0020, 3.2861", \
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7164, 1.3595, 2.0020, 3.2861", \
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7164, 1.3595, 2.0020, 3.2861", \
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7164, 1.3595, 2.0020, 3.2861", \
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7164, 1.3595, 2.0020, 3.2861" \
);
}
cell_rise (lut_timing_31 ){
values(\
" 0.0689, 0.0788, 0.1248, 0.3228, 1.2325, 2.2817, 3.3282, 5.4070", \
" 0.0689, 0.0788, 0.1248, 0.3228, 1.2325, 2.2817, 3.3282, 5.4070", \
" 0.0689, 0.0788, 0.1248, 0.3228, 1.2325, 2.2817, 3.3282, 5.4070", \
" 0.0689, 0.0788, 0.1248, 0.3228, 1.2325, 2.2817, 3.3282, 5.4070", \
" 0.0689, 0.0788, 0.1248, 0.3228, 1.2325, 2.2817, 3.3282, 5.4070", \
" 0.0689, 0.0788, 0.1248, 0.3228, 1.2325, 2.2817, 3.3282, 5.4070", \
" 0.0689, 0.0788, 0.1248, 0.3228, 1.2325, 2.2817, 3.3282, 5.4070", \
" 0.0689, 0.0788, 0.1248, 0.3228, 1.2325, 2.2817, 3.3282, 5.4070" \
);
}
cell_fall (lut_timing_31 ){
values(\
" 0.0968, 0.1046, 0.1339, 0.2272, 0.6266, 1.0762, 1.5288, 2.4330", \
" 0.0968, 0.1046, 0.1339, 0.2272, 0.6266, 1.0762, 1.5288, 2.4330", \
" 0.0968, 0.1046, 0.1339, 0.2272, 0.6266, 1.0762, 1.5288, 2.4330", \
" 0.0968, 0.1046, 0.1339, 0.2272, 0.6266, 1.0762, 1.5288, 2.4330", \
" 0.0968, 0.1046, 0.1339, 0.2272, 0.6266, 1.0762, 1.5288, 2.4330", \
" 0.0968, 0.1046, 0.1339, 0.2272, 0.6266, 1.0762, 1.5288, 2.4330", \
" 0.0968, 0.1046, 0.1339, 0.2272, 0.6266, 1.0762, 1.5288, 2.4330", \
" 0.0968, 0.1046, 0.1339, 0.2272, 0.6266, 1.0762, 1.5288, 2.4330" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[4] wrt pin FrameStrobe[4] */
/* Start of combinational arc of pin FrameStrobe_O[4] wrt pin FrameStrobe[4] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[4] ";
rise_transition (lut_timing_32 ){
values(\
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6910, 3.2149, 4.7336, 7.7539", \
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6910, 3.2149, 4.7336, 7.7539", \
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6910, 3.2149, 4.7336, 7.7539", \
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6910, 3.2149, 4.7336, 7.7539", \
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6910, 3.2149, 4.7336, 7.7539", \
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6910, 3.2149, 4.7336, 7.7539", \
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6910, 3.2149, 4.7336, 7.7539", \
" 0.0224, 0.0326, 0.0912, 0.3740, 1.6910, 3.2149, 4.7336, 7.7539" \
);
}
fall_transition (lut_timing_32 ){
values(\
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7162, 1.3593, 2.0018, 3.2864", \
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7162, 1.3593, 2.0018, 3.2864", \
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7162, 1.3593, 2.0018, 3.2864", \
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7162, 1.3593, 2.0018, 3.2864", \
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7162, 1.3593, 2.0018, 3.2864", \
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7162, 1.3593, 2.0018, 3.2864", \
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7162, 1.3593, 2.0018, 3.2864", \
" 0.0204, 0.0258, 0.0508, 0.1630, 0.7162, 1.3593, 2.0018, 3.2864" \
);
}
cell_rise (lut_timing_32 ){
values(\
" 0.0701, 0.0801, 0.1267, 0.3240, 1.2332, 2.2822, 3.3290, 5.4094", \
" 0.0701, 0.0801, 0.1267, 0.3240, 1.2332, 2.2822, 3.3290, 5.4094", \
" 0.0701, 0.0801, 0.1267, 0.3240, 1.2332, 2.2822, 3.3290, 5.4094", \
" 0.0701, 0.0801, 0.1267, 0.3240, 1.2332, 2.2822, 3.3290, 5.4094", \
" 0.0701, 0.0801, 0.1267, 0.3240, 1.2332, 2.2822, 3.3290, 5.4094", \
" 0.0701, 0.0801, 0.1267, 0.3240, 1.2332, 2.2822, 3.3290, 5.4094", \
" 0.0701, 0.0801, 0.1267, 0.3240, 1.2332, 2.2822, 3.3290, 5.4094", \
" 0.0701, 0.0801, 0.1267, 0.3240, 1.2332, 2.2822, 3.3290, 5.4094" \
);
}
cell_fall (lut_timing_32 ){
values(\
" 0.0980, 0.1060, 0.1358, 0.2284, 0.6278, 1.0773, 1.5299, 2.4344", \
" 0.0980, 0.1060, 0.1358, 0.2284, 0.6278, 1.0773, 1.5299, 2.4344", \
" 0.0980, 0.1060, 0.1358, 0.2284, 0.6278, 1.0773, 1.5299, 2.4344", \
" 0.0980, 0.1060, 0.1358, 0.2284, 0.6278, 1.0773, 1.5299, 2.4344", \
" 0.0980, 0.1060, 0.1358, 0.2284, 0.6278, 1.0773, 1.5299, 2.4344", \
" 0.0980, 0.1060, 0.1358, 0.2284, 0.6278, 1.0773, 1.5299, 2.4344", \
" 0.0980, 0.1060, 0.1358, 0.2284, 0.6278, 1.0773, 1.5299, 2.4344", \
" 0.0980, 0.1060, 0.1358, 0.2284, 0.6278, 1.0773, 1.5299, 2.4344" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[4] wrt pin FrameStrobe[4] */
} /* End of pin FrameStrobe_O[4] */
/* Start of pin FrameStrobe_O[3] */
pin (FrameStrobe_O[3] ) {
direction : output ;
capacitance : 0.0055;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[3] wrt pin FrameStrobe[3] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[3] ";
rise_transition (lut_timing_33 ){
values(\
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6983, 3.2285, 4.7534, 7.7848", \
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6983, 3.2285, 4.7534, 7.7848", \
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6983, 3.2285, 4.7534, 7.7848", \
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6983, 3.2285, 4.7534, 7.7848", \
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6983, 3.2285, 4.7534, 7.7848", \
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6983, 3.2285, 4.7534, 7.7848", \
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6983, 3.2285, 4.7534, 7.7848", \
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6983, 3.2285, 4.7534, 7.7848" \
);
}
fall_transition (lut_timing_33 ){
values(\
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7217, 1.3716, 2.0206, 3.3161", \
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7217, 1.3716, 2.0206, 3.3161", \
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7217, 1.3716, 2.0206, 3.3161", \
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7217, 1.3716, 2.0206, 3.3161", \
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7217, 1.3716, 2.0206, 3.3161", \
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7217, 1.3716, 2.0206, 3.3161", \
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7217, 1.3716, 2.0206, 3.3161", \
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7217, 1.3716, 2.0206, 3.3161" \
);
}
cell_rise (lut_timing_33 ){
values(\
" 0.0710, 0.0784, 0.1244, 0.3229, 1.2328, 2.2823, 3.3291, 5.4089", \
" 0.0710, 0.0784, 0.1244, 0.3229, 1.2328, 2.2823, 3.3291, 5.4089", \
" 0.0710, 0.0784, 0.1244, 0.3229, 1.2328, 2.2823, 3.3291, 5.4089", \
" 0.0710, 0.0784, 0.1244, 0.3229, 1.2328, 2.2823, 3.3291, 5.4089", \
" 0.0710, 0.0784, 0.1244, 0.3229, 1.2328, 2.2823, 3.3291, 5.4089", \
" 0.0710, 0.0784, 0.1244, 0.3229, 1.2328, 2.2823, 3.3291, 5.4089", \
" 0.0710, 0.0784, 0.1244, 0.3229, 1.2328, 2.2823, 3.3291, 5.4089", \
" 0.0710, 0.0784, 0.1244, 0.3229, 1.2328, 2.2823, 3.3291, 5.4089" \
);
}
cell_fall (lut_timing_33 ){
values(\
" 0.0984, 0.1042, 0.1330, 0.2275, 0.6285, 1.0781, 1.5310, 2.4356", \
" 0.0984, 0.1042, 0.1330, 0.2275, 0.6285, 1.0781, 1.5310, 2.4356", \
" 0.0984, 0.1042, 0.1330, 0.2275, 0.6285, 1.0781, 1.5310, 2.4356", \
" 0.0984, 0.1042, 0.1330, 0.2275, 0.6285, 1.0781, 1.5310, 2.4356", \
" 0.0984, 0.1042, 0.1330, 0.2275, 0.6285, 1.0781, 1.5310, 2.4356", \
" 0.0984, 0.1042, 0.1330, 0.2275, 0.6285, 1.0781, 1.5310, 2.4356", \
" 0.0984, 0.1042, 0.1330, 0.2275, 0.6285, 1.0781, 1.5310, 2.4356", \
" 0.0984, 0.1042, 0.1330, 0.2275, 0.6285, 1.0781, 1.5310, 2.4356" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[3] wrt pin FrameStrobe[3] */
/* Start of combinational arc of pin FrameStrobe_O[3] wrt pin FrameStrobe[3] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[3] ";
rise_transition (lut_timing_34 ){
values(\
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6978, 3.2277, 4.7527, 7.7851", \
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6978, 3.2277, 4.7527, 7.7851", \
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6978, 3.2277, 4.7527, 7.7851", \
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6978, 3.2277, 4.7527, 7.7851", \
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6978, 3.2277, 4.7527, 7.7851", \
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6978, 3.2277, 4.7527, 7.7851", \
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6978, 3.2277, 4.7527, 7.7851", \
" 0.0249, 0.0326, 0.0914, 0.3751, 1.6978, 3.2277, 4.7527, 7.7851" \
);
}
fall_transition (lut_timing_34 ){
values(\
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7216, 1.3714, 2.0204, 3.3163", \
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7216, 1.3714, 2.0204, 3.3163", \
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7216, 1.3714, 2.0204, 3.3163", \
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7216, 1.3714, 2.0204, 3.3163", \
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7216, 1.3714, 2.0204, 3.3163", \
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7216, 1.3714, 2.0204, 3.3163", \
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7216, 1.3714, 2.0204, 3.3163", \
" 0.0217, 0.0258, 0.0512, 0.1640, 0.7216, 1.3714, 2.0204, 3.3163" \
);
}
cell_rise (lut_timing_34 ){
values(\
" 0.0721, 0.0796, 0.1255, 0.3239, 1.2336, 2.2828, 3.3298, 5.4107", \
" 0.0721, 0.0796, 0.1255, 0.3239, 1.2336, 2.2828, 3.3298, 5.4107", \
" 0.0721, 0.0796, 0.1255, 0.3239, 1.2336, 2.2828, 3.3298, 5.4107", \
" 0.0721, 0.0796, 0.1255, 0.3239, 1.2336, 2.2828, 3.3298, 5.4107", \
" 0.0721, 0.0796, 0.1255, 0.3239, 1.2336, 2.2828, 3.3298, 5.4107", \
" 0.0721, 0.0796, 0.1255, 0.3239, 1.2336, 2.2828, 3.3298, 5.4107", \
" 0.0721, 0.0796, 0.1255, 0.3239, 1.2336, 2.2828, 3.3298, 5.4107", \
" 0.0721, 0.0796, 0.1255, 0.3239, 1.2336, 2.2828, 3.3298, 5.4107" \
);
}
cell_fall (lut_timing_34 ){
values(\
" 0.0995, 0.1054, 0.1340, 0.2286, 0.6295, 1.0790, 1.5320, 2.4368", \
" 0.0995, 0.1054, 0.1340, 0.2286, 0.6295, 1.0790, 1.5320, 2.4368", \
" 0.0995, 0.1054, 0.1340, 0.2286, 0.6295, 1.0790, 1.5320, 2.4368", \
" 0.0995, 0.1054, 0.1340, 0.2286, 0.6295, 1.0790, 1.5320, 2.4368", \
" 0.0995, 0.1054, 0.1340, 0.2286, 0.6295, 1.0790, 1.5320, 2.4368", \
" 0.0995, 0.1054, 0.1340, 0.2286, 0.6295, 1.0790, 1.5320, 2.4368", \
" 0.0995, 0.1054, 0.1340, 0.2286, 0.6295, 1.0790, 1.5320, 2.4368", \
" 0.0995, 0.1054, 0.1340, 0.2286, 0.6295, 1.0790, 1.5320, 2.4368" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[3] wrt pin FrameStrobe[3] */
} /* End of pin FrameStrobe_O[3] */
/* Start of pin FrameStrobe_O[2] */
pin (FrameStrobe_O[2] ) {
direction : output ;
capacitance : 0.0043;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[2] wrt pin FrameStrobe[2] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[2] ";
rise_transition (lut_timing_35 ){
values(\
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6936, 3.2196, 4.7400, 7.7625", \
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6936, 3.2196, 4.7400, 7.7625", \
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6936, 3.2196, 4.7400, 7.7625", \
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6936, 3.2196, 4.7400, 7.7625", \
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6936, 3.2196, 4.7400, 7.7625", \
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6936, 3.2196, 4.7400, 7.7625", \
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6936, 3.2196, 4.7400, 7.7625", \
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6936, 3.2196, 4.7400, 7.7625" \
);
}
fall_transition (lut_timing_35 ){
values(\
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7179, 1.3630, 2.0073, 3.2947", \
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7179, 1.3630, 2.0073, 3.2947", \
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7179, 1.3630, 2.0073, 3.2947", \
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7179, 1.3630, 2.0073, 3.2947", \
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7179, 1.3630, 2.0073, 3.2947", \
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7179, 1.3630, 2.0073, 3.2947", \
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7179, 1.3630, 2.0073, 3.2947", \
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7179, 1.3630, 2.0073, 3.2947" \
);
}
cell_rise (lut_timing_35 ){
values(\
" 0.0691, 0.0782, 0.1250, 0.3224, 1.2321, 2.2814, 3.3281, 5.4071", \
" 0.0691, 0.0782, 0.1250, 0.3224, 1.2321, 2.2814, 3.3281, 5.4071", \
" 0.0691, 0.0782, 0.1250, 0.3224, 1.2321, 2.2814, 3.3281, 5.4071", \
" 0.0691, 0.0782, 0.1250, 0.3224, 1.2321, 2.2814, 3.3281, 5.4071", \
" 0.0691, 0.0782, 0.1250, 0.3224, 1.2321, 2.2814, 3.3281, 5.4071", \
" 0.0691, 0.0782, 0.1250, 0.3224, 1.2321, 2.2814, 3.3281, 5.4071", \
" 0.0691, 0.0782, 0.1250, 0.3224, 1.2321, 2.2814, 3.3281, 5.4071", \
" 0.0691, 0.0782, 0.1250, 0.3224, 1.2321, 2.2814, 3.3281, 5.4071" \
);
}
cell_fall (lut_timing_35 ){
values(\
" 0.0968, 0.1041, 0.1341, 0.2269, 0.6268, 1.0763, 1.5290, 2.4336", \
" 0.0968, 0.1041, 0.1341, 0.2269, 0.6268, 1.0763, 1.5290, 2.4336", \
" 0.0968, 0.1041, 0.1341, 0.2269, 0.6268, 1.0763, 1.5290, 2.4336", \
" 0.0968, 0.1041, 0.1341, 0.2269, 0.6268, 1.0763, 1.5290, 2.4336", \
" 0.0968, 0.1041, 0.1341, 0.2269, 0.6268, 1.0763, 1.5290, 2.4336", \
" 0.0968, 0.1041, 0.1341, 0.2269, 0.6268, 1.0763, 1.5290, 2.4336", \
" 0.0968, 0.1041, 0.1341, 0.2269, 0.6268, 1.0763, 1.5290, 2.4336", \
" 0.0968, 0.1041, 0.1341, 0.2269, 0.6268, 1.0763, 1.5290, 2.4336" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[2] wrt pin FrameStrobe[2] */
/* Start of combinational arc of pin FrameStrobe_O[2] wrt pin FrameStrobe[2] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[2] ";
rise_transition (lut_timing_36 ){
values(\
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6931, 3.2188, 4.7393, 7.7628", \
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6931, 3.2188, 4.7393, 7.7628", \
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6931, 3.2188, 4.7393, 7.7628", \
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6931, 3.2188, 4.7393, 7.7628", \
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6931, 3.2188, 4.7393, 7.7628", \
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6931, 3.2188, 4.7393, 7.7628", \
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6931, 3.2188, 4.7393, 7.7628", \
" 0.0231, 0.0326, 0.0912, 0.3743, 1.6931, 3.2188, 4.7393, 7.7628" \
);
}
fall_transition (lut_timing_36 ){
values(\
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7178, 1.3628, 2.0072, 3.2949", \
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7178, 1.3628, 2.0072, 3.2949", \
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7178, 1.3628, 2.0072, 3.2949", \
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7178, 1.3628, 2.0072, 3.2949", \
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7178, 1.3628, 2.0072, 3.2949", \
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7178, 1.3628, 2.0072, 3.2949", \
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7178, 1.3628, 2.0072, 3.2949", \
" 0.0208, 0.0258, 0.0508, 0.1632, 0.7178, 1.3628, 2.0072, 3.2949" \
);
}
cell_rise (lut_timing_36 ){
values(\
" 0.0701, 0.0794, 0.1260, 0.3234, 1.2329, 2.2820, 3.3288, 5.4089", \
" 0.0701, 0.0794, 0.1260, 0.3234, 1.2329, 2.2820, 3.3288, 5.4089", \
" 0.0701, 0.0794, 0.1260, 0.3234, 1.2329, 2.2820, 3.3288, 5.4089", \
" 0.0701, 0.0794, 0.1260, 0.3234, 1.2329, 2.2820, 3.3288, 5.4089", \
" 0.0701, 0.0794, 0.1260, 0.3234, 1.2329, 2.2820, 3.3288, 5.4089", \
" 0.0701, 0.0794, 0.1260, 0.3234, 1.2329, 2.2820, 3.3288, 5.4089", \
" 0.0701, 0.0794, 0.1260, 0.3234, 1.2329, 2.2820, 3.3288, 5.4089", \
" 0.0701, 0.0794, 0.1260, 0.3234, 1.2329, 2.2820, 3.3288, 5.4089" \
);
}
cell_fall (lut_timing_36 ){
values(\
" 0.0979, 0.1053, 0.1351, 0.2279, 0.6278, 1.0773, 1.5301, 2.4348", \
" 0.0979, 0.1053, 0.1351, 0.2279, 0.6278, 1.0773, 1.5301, 2.4348", \
" 0.0979, 0.1053, 0.1351, 0.2279, 0.6278, 1.0773, 1.5301, 2.4348", \
" 0.0979, 0.1053, 0.1351, 0.2279, 0.6278, 1.0773, 1.5301, 2.4348", \
" 0.0979, 0.1053, 0.1351, 0.2279, 0.6278, 1.0773, 1.5301, 2.4348", \
" 0.0979, 0.1053, 0.1351, 0.2279, 0.6278, 1.0773, 1.5301, 2.4348", \
" 0.0979, 0.1053, 0.1351, 0.2279, 0.6278, 1.0773, 1.5301, 2.4348", \
" 0.0979, 0.1053, 0.1351, 0.2279, 0.6278, 1.0773, 1.5301, 2.4348" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[2] wrt pin FrameStrobe[2] */
} /* End of pin FrameStrobe_O[2] */
/* Start of pin FrameStrobe_O[1] */
pin (FrameStrobe_O[1] ) {
direction : output ;
capacitance : 0.0054;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[1] wrt pin FrameStrobe[1] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[1] ";
rise_transition (lut_timing_37 ){
values(\
" 0.0247, 0.0326, 0.0914, 0.3750, 1.6980, 3.2279, 4.7526, 7.7834", \
" 0.0247, 0.0326, 0.0914, 0.3750, 1.6980, 3.2279, 4.7526, 7.7834", \
" 0.0247, 0.0326, 0.0914, 0.3750, 1.6980, 3.2279, 4.7526, 7.7834", \
" 0.0247, 0.0326, 0.0914, 0.3750, 1.6980, 3.2279, 4.7526, 7.7834", \
" 0.0247, 0.0326, 0.0914, 0.3750, 1.6980, 3.2279, 4.7526, 7.7834", \
" 0.0247, 0.0326, 0.0914, 0.3750, 1.6980, 3.2279, 4.7526, 7.7834", \
" 0.0247, 0.0326, 0.0914, 0.3750, 1.6980, 3.2279, 4.7526, 7.7834", \
" 0.0247, 0.0326, 0.0914, 0.3750, 1.6980, 3.2279, 4.7526, 7.7834" \
);
}
fall_transition (lut_timing_37 ){
values(\
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7215, 1.3711, 2.0198, 3.3148", \
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7215, 1.3711, 2.0198, 3.3148", \
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7215, 1.3711, 2.0198, 3.3148", \
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7215, 1.3711, 2.0198, 3.3148", \
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7215, 1.3711, 2.0198, 3.3148", \
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7215, 1.3711, 2.0198, 3.3148", \
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7215, 1.3711, 2.0198, 3.3148", \
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7215, 1.3711, 2.0198, 3.3148" \
);
}
cell_rise (lut_timing_37 ){
values(\
" 0.0706, 0.0782, 0.1242, 0.3227, 1.2326, 2.2820, 3.3289, 5.4086", \
" 0.0706, 0.0782, 0.1242, 0.3227, 1.2326, 2.2820, 3.3289, 5.4086", \
" 0.0706, 0.0782, 0.1242, 0.3227, 1.2326, 2.2820, 3.3289, 5.4086", \
" 0.0706, 0.0782, 0.1242, 0.3227, 1.2326, 2.2820, 3.3289, 5.4086", \
" 0.0706, 0.0782, 0.1242, 0.3227, 1.2326, 2.2820, 3.3289, 5.4086", \
" 0.0706, 0.0782, 0.1242, 0.3227, 1.2326, 2.2820, 3.3289, 5.4086", \
" 0.0706, 0.0782, 0.1242, 0.3227, 1.2326, 2.2820, 3.3289, 5.4086", \
" 0.0706, 0.0782, 0.1242, 0.3227, 1.2326, 2.2820, 3.3289, 5.4086" \
);
}
cell_fall (lut_timing_37 ){
values(\
" 0.0980, 0.1040, 0.1328, 0.2273, 0.6282, 1.0778, 1.5307, 2.4353", \
" 0.0980, 0.1040, 0.1328, 0.2273, 0.6282, 1.0778, 1.5307, 2.4353", \
" 0.0980, 0.1040, 0.1328, 0.2273, 0.6282, 1.0778, 1.5307, 2.4353", \
" 0.0980, 0.1040, 0.1328, 0.2273, 0.6282, 1.0778, 1.5307, 2.4353", \
" 0.0980, 0.1040, 0.1328, 0.2273, 0.6282, 1.0778, 1.5307, 2.4353", \
" 0.0980, 0.1040, 0.1328, 0.2273, 0.6282, 1.0778, 1.5307, 2.4353", \
" 0.0980, 0.1040, 0.1328, 0.2273, 0.6282, 1.0778, 1.5307, 2.4353", \
" 0.0980, 0.1040, 0.1328, 0.2273, 0.6282, 1.0778, 1.5307, 2.4353" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[1] wrt pin FrameStrobe[1] */
/* Start of combinational arc of pin FrameStrobe_O[1] wrt pin FrameStrobe[1] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[1] ";
rise_transition (lut_timing_38 ){
values(\
" 0.0247, 0.0326, 0.0914, 0.3751, 1.6976, 3.2273, 4.7520, 7.7837", \
" 0.0247, 0.0326, 0.0914, 0.3751, 1.6976, 3.2273, 4.7520, 7.7837", \
" 0.0247, 0.0326, 0.0914, 0.3751, 1.6976, 3.2273, 4.7520, 7.7837", \
" 0.0247, 0.0326, 0.0914, 0.3751, 1.6976, 3.2273, 4.7520, 7.7837", \
" 0.0247, 0.0326, 0.0914, 0.3751, 1.6976, 3.2273, 4.7520, 7.7837", \
" 0.0247, 0.0326, 0.0914, 0.3751, 1.6976, 3.2273, 4.7520, 7.7837", \
" 0.0247, 0.0326, 0.0914, 0.3751, 1.6976, 3.2273, 4.7520, 7.7837", \
" 0.0247, 0.0326, 0.0914, 0.3751, 1.6976, 3.2273, 4.7520, 7.7837" \
);
}
fall_transition (lut_timing_38 ){
values(\
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7214, 1.3709, 2.0196, 3.3150", \
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7214, 1.3709, 2.0196, 3.3150", \
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7214, 1.3709, 2.0196, 3.3150", \
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7214, 1.3709, 2.0196, 3.3150", \
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7214, 1.3709, 2.0196, 3.3150", \
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7214, 1.3709, 2.0196, 3.3150", \
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7214, 1.3709, 2.0196, 3.3150", \
" 0.0216, 0.0258, 0.0512, 0.1639, 0.7214, 1.3709, 2.0196, 3.3150" \
);
}
cell_rise (lut_timing_38 ){
values(\
" 0.0716, 0.0793, 0.1252, 0.3236, 1.2333, 2.2826, 3.3296, 5.4102", \
" 0.0716, 0.0793, 0.1252, 0.3236, 1.2333, 2.2826, 3.3296, 5.4102", \
" 0.0716, 0.0793, 0.1252, 0.3236, 1.2333, 2.2826, 3.3296, 5.4102", \
" 0.0716, 0.0793, 0.1252, 0.3236, 1.2333, 2.2826, 3.3296, 5.4102", \
" 0.0716, 0.0793, 0.1252, 0.3236, 1.2333, 2.2826, 3.3296, 5.4102", \
" 0.0716, 0.0793, 0.1252, 0.3236, 1.2333, 2.2826, 3.3296, 5.4102", \
" 0.0716, 0.0793, 0.1252, 0.3236, 1.2333, 2.2826, 3.3296, 5.4102", \
" 0.0716, 0.0793, 0.1252, 0.3236, 1.2333, 2.2826, 3.3296, 5.4102" \
);
}
cell_fall (lut_timing_38 ){
values(\
" 0.0991, 0.1052, 0.1338, 0.2283, 0.6291, 1.0787, 1.5317, 2.4364", \
" 0.0991, 0.1052, 0.1338, 0.2283, 0.6291, 1.0787, 1.5317, 2.4364", \
" 0.0991, 0.1052, 0.1338, 0.2283, 0.6291, 1.0787, 1.5317, 2.4364", \
" 0.0991, 0.1052, 0.1338, 0.2283, 0.6291, 1.0787, 1.5317, 2.4364", \
" 0.0991, 0.1052, 0.1338, 0.2283, 0.6291, 1.0787, 1.5317, 2.4364", \
" 0.0991, 0.1052, 0.1338, 0.2283, 0.6291, 1.0787, 1.5317, 2.4364", \
" 0.0991, 0.1052, 0.1338, 0.2283, 0.6291, 1.0787, 1.5317, 2.4364", \
" 0.0991, 0.1052, 0.1338, 0.2283, 0.6291, 1.0787, 1.5317, 2.4364" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[1] wrt pin FrameStrobe[1] */
} /* End of pin FrameStrobe_O[1] */
/* Start of pin FrameStrobe_O[0] */
pin (FrameStrobe_O[0] ) {
direction : output ;
capacitance : 0.0015;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameStrobe_O[0] wrt pin FrameStrobe[0] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameStrobe[0] ";
rise_transition (lut_timing_39 ){
values(\
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151", \
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151", \
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151", \
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151", \
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151", \
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151", \
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151", \
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151" \
);
}
fall_transition (lut_timing_39 ){
values(\
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489", \
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489", \
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489", \
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489", \
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489", \
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489", \
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489", \
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489" \
);
}
cell_rise (lut_timing_39 ){
values(\
" 0.2396, 0.2409, 0.2531, 0.2991, 0.4965, 1.4003, 3.4978, 5.5994", \
" 0.2396, 0.2409, 0.2531, 0.2991, 0.4965, 1.4003, 3.4978, 5.5994", \
" 0.2396, 0.2409, 0.2531, 0.2991, 0.4965, 1.4003, 3.4978, 5.5994", \
" 0.2396, 0.2409, 0.2531, 0.2991, 0.4965, 1.4003, 3.4978, 5.5994", \
" 0.2396, 0.2409, 0.2531, 0.2991, 0.4965, 1.4003, 3.4978, 5.5994", \
" 0.2396, 0.2409, 0.2531, 0.2991, 0.4965, 1.4003, 3.4978, 5.5994", \
" 0.2396, 0.2409, 0.2531, 0.2991, 0.4965, 1.4003, 3.4978, 5.5994", \
" 0.2396, 0.2409, 0.2531, 0.2991, 0.4965, 1.4003, 3.4978, 5.5994" \
);
}
cell_fall (lut_timing_39 ){
values(\
" 0.2283, 0.2294, 0.2392, 0.2680, 0.3608, 0.7559, 1.6576, 2.5625", \
" 0.2283, 0.2294, 0.2392, 0.2680, 0.3608, 0.7559, 1.6576, 2.5625", \
" 0.2283, 0.2294, 0.2392, 0.2680, 0.3608, 0.7559, 1.6576, 2.5625", \
" 0.2283, 0.2294, 0.2392, 0.2680, 0.3608, 0.7559, 1.6576, 2.5625", \
" 0.2283, 0.2294, 0.2392, 0.2680, 0.3608, 0.7559, 1.6576, 2.5625", \
" 0.2283, 0.2294, 0.2392, 0.2680, 0.3608, 0.7559, 1.6576, 2.5625", \
" 0.2283, 0.2294, 0.2392, 0.2680, 0.3608, 0.7559, 1.6576, 2.5625", \
" 0.2283, 0.2294, 0.2392, 0.2680, 0.3608, 0.7559, 1.6576, 2.5625" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[0] wrt pin FrameStrobe[0] */
/* Start of combinational arc of pin FrameStrobe_O[0] wrt pin FrameStrobe[0] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameStrobe[0] ";
rise_transition (lut_timing_40 ){
values(\
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151", \
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151", \
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151", \
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151", \
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151", \
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151", \
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151", \
" 0.0201, 0.0213, 0.0336, 0.0916, 0.3720, 1.6765, 4.6983, 7.7151" \
);
}
fall_transition (lut_timing_40 ){
values(\
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489", \
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489", \
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489", \
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489", \
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489", \
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489", \
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489", \
" 0.0185, 0.0192, 0.0259, 0.0508, 0.1618, 0.7051, 1.9733, 3.2489" \
);
}
cell_rise (lut_timing_40 ){
values(\
" 0.2435, 0.2448, 0.2571, 0.3034, 0.5004, 1.4042, 3.5017, 5.6033", \
" 0.2435, 0.2448, 0.2571, 0.3034, 0.5004, 1.4042, 3.5017, 5.6033", \
" 0.2435, 0.2448, 0.2571, 0.3034, 0.5004, 1.4042, 3.5017, 5.6033", \
" 0.2435, 0.2448, 0.2571, 0.3034, 0.5004, 1.4042, 3.5017, 5.6033", \
" 0.2435, 0.2448, 0.2571, 0.3034, 0.5004, 1.4042, 3.5017, 5.6033", \
" 0.2435, 0.2448, 0.2571, 0.3034, 0.5004, 1.4042, 3.5017, 5.6033", \
" 0.2435, 0.2448, 0.2571, 0.3034, 0.5004, 1.4042, 3.5017, 5.6033", \
" 0.2435, 0.2448, 0.2571, 0.3034, 0.5004, 1.4042, 3.5017, 5.6033" \
);
}
cell_fall (lut_timing_40 ){
values(\
" 0.2325, 0.2336, 0.2435, 0.2726, 0.3650, 0.7601, 1.6618, 2.5667", \
" 0.2325, 0.2336, 0.2435, 0.2726, 0.3650, 0.7601, 1.6618, 2.5667", \
" 0.2325, 0.2336, 0.2435, 0.2726, 0.3650, 0.7601, 1.6618, 2.5667", \
" 0.2325, 0.2336, 0.2435, 0.2726, 0.3650, 0.7601, 1.6618, 2.5667", \
" 0.2325, 0.2336, 0.2435, 0.2726, 0.3650, 0.7601, 1.6618, 2.5667", \
" 0.2325, 0.2336, 0.2435, 0.2726, 0.3650, 0.7601, 1.6618, 2.5667", \
" 0.2325, 0.2336, 0.2435, 0.2726, 0.3650, 0.7601, 1.6618, 2.5667", \
" 0.2325, 0.2336, 0.2435, 0.2726, 0.3650, 0.7601, 1.6618, 2.5667" \
);
}
} /* End of combinational arc of pin FrameStrobe_O[0] wrt pin FrameStrobe[0] */
} /* End of pin FrameStrobe_O[0] */
}
type (bus1){
base_type : array ;
data_type : bit ;
bit_width : 20;
bit_from : 19;
bit_to : 0;
downto : true ;
}
bus (FrameStrobe ){
bus_type : bus1 ;
/* Start of pin FrameStrobe[19] */
pin (FrameStrobe[19] ) {
direction : input ;
capacitance : 0.0407;
max_transition : 1.5000;
} /* End of pin FrameStrobe[19] */
/* Start of pin FrameStrobe[18] */
pin (FrameStrobe[18] ) {
direction : input ;
capacitance : 0.0373;
max_transition : 1.5000;
} /* End of pin FrameStrobe[18] */
/* Start of pin FrameStrobe[17] */
pin (FrameStrobe[17] ) {
direction : input ;
capacitance : 0.0344;
max_transition : 1.5000;
} /* End of pin FrameStrobe[17] */
/* Start of pin FrameStrobe[16] */
pin (FrameStrobe[16] ) {
direction : input ;
capacitance : 0.0291;
max_transition : 1.5000;
} /* End of pin FrameStrobe[16] */
/* Start of pin FrameStrobe[15] */
pin (FrameStrobe[15] ) {
direction : input ;
capacitance : 0.0373;
max_transition : 1.5000;
} /* End of pin FrameStrobe[15] */
/* Start of pin FrameStrobe[14] */
pin (FrameStrobe[14] ) {
direction : input ;
capacitance : 0.0369;
max_transition : 1.5000;
} /* End of pin FrameStrobe[14] */
/* Start of pin FrameStrobe[13] */
pin (FrameStrobe[13] ) {
direction : input ;
capacitance : 0.0365;
max_transition : 1.5000;
} /* End of pin FrameStrobe[13] */
/* Start of pin FrameStrobe[12] */
pin (FrameStrobe[12] ) {
direction : input ;
capacitance : 0.0354;
max_transition : 1.5000;
} /* End of pin FrameStrobe[12] */
/* Start of pin FrameStrobe[11] */
pin (FrameStrobe[11] ) {
direction : input ;
capacitance : 0.0407;
max_transition : 1.5000;
} /* End of pin FrameStrobe[11] */
/* Start of pin FrameStrobe[10] */
pin (FrameStrobe[10] ) {
direction : input ;
capacitance : 0.0383;
max_transition : 1.5000;
} /* End of pin FrameStrobe[10] */
/* Start of pin FrameStrobe[9] */
pin (FrameStrobe[9] ) {
direction : input ;
capacitance : 0.0412;
max_transition : 1.5000;
} /* End of pin FrameStrobe[9] */
/* Start of pin FrameStrobe[8] */
pin (FrameStrobe[8] ) {
direction : input ;
capacitance : 0.0410;
max_transition : 1.5000;
} /* End of pin FrameStrobe[8] */
/* Start of pin FrameStrobe[7] */
pin (FrameStrobe[7] ) {
direction : input ;
capacitance : 0.0407;
max_transition : 1.5000;
} /* End of pin FrameStrobe[7] */
/* Start of pin FrameStrobe[6] */
pin (FrameStrobe[6] ) {
direction : input ;
capacitance : 0.0416;
max_transition : 1.5000;
} /* End of pin FrameStrobe[6] */
/* Start of pin FrameStrobe[5] */
pin (FrameStrobe[5] ) {
direction : input ;
capacitance : 0.0391;
max_transition : 1.5000;
} /* End of pin FrameStrobe[5] */
/* Start of pin FrameStrobe[4] */
pin (FrameStrobe[4] ) {
direction : input ;
capacitance : 0.0436;
max_transition : 1.5000;
} /* End of pin FrameStrobe[4] */
/* Start of pin FrameStrobe[3] */
pin (FrameStrobe[3] ) {
direction : input ;
capacitance : 0.0400;
max_transition : 1.5000;
} /* End of pin FrameStrobe[3] */
/* Start of pin FrameStrobe[2] */
pin (FrameStrobe[2] ) {
direction : input ;
capacitance : 0.0396;
max_transition : 1.5000;
} /* End of pin FrameStrobe[2] */
/* Start of pin FrameStrobe[1] */
pin (FrameStrobe[1] ) {
direction : input ;
capacitance : 0.0380;
max_transition : 1.5000;
} /* End of pin FrameStrobe[1] */
/* Start of pin FrameStrobe[0] */
pin (FrameStrobe[0] ) {
direction : input ;
capacitance : 0.0867;
max_transition : 1.5000;
} /* End of pin FrameStrobe[0] */
}
type (bus2){
base_type : array ;
data_type : bit ;
bit_width : 32;
bit_from : 31;
bit_to : 0;
downto : true ;
}
bus (FrameData_O ){
bus_type : bus2 ;
/* Start of pin FrameData_O[31] */
pin (FrameData_O[31] ) {
direction : output ;
capacitance : 0.0024;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[31] wrt pin FrameData[31] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[31] ";
rise_transition (lut_timing_41 ){
values(\
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387", \
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387", \
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387", \
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387", \
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387", \
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387", \
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387", \
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387" \
);
}
fall_transition (lut_timing_41 ){
values(\
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720", \
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720", \
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720", \
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720", \
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720", \
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720", \
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720", \
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720" \
);
}
cell_rise (lut_timing_41 ){
values(\
" 0.0640, 0.0760, 0.1219, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030", \
" 0.0640, 0.0760, 0.1219, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030", \
" 0.0640, 0.0760, 0.1219, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030", \
" 0.0640, 0.0760, 0.1219, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030", \
" 0.0640, 0.0760, 0.1219, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030", \
" 0.0640, 0.0760, 0.1219, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030", \
" 0.0640, 0.0760, 0.1219, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030", \
" 0.0640, 0.0760, 0.1219, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030" \
);
}
cell_fall (lut_timing_41 ){
values(\
" 0.0921, 0.1018, 0.1310, 0.2241, 0.6226, 1.0721, 1.5248, 2.4286", \
" 0.0921, 0.1018, 0.1310, 0.2241, 0.6226, 1.0721, 1.5248, 2.4286", \
" 0.0921, 0.1018, 0.1310, 0.2241, 0.6226, 1.0721, 1.5248, 2.4286", \
" 0.0921, 0.1018, 0.1310, 0.2241, 0.6226, 1.0721, 1.5248, 2.4286", \
" 0.0921, 0.1018, 0.1310, 0.2241, 0.6226, 1.0721, 1.5248, 2.4286", \
" 0.0921, 0.1018, 0.1310, 0.2241, 0.6226, 1.0721, 1.5248, 2.4286", \
" 0.0921, 0.1018, 0.1310, 0.2241, 0.6226, 1.0721, 1.5248, 2.4286", \
" 0.0921, 0.1018, 0.1310, 0.2241, 0.6226, 1.0721, 1.5248, 2.4286" \
);
}
} /* End of combinational arc of pin FrameData_O[31] wrt pin FrameData[31] */
/* Start of combinational arc of pin FrameData_O[31] wrt pin FrameData[31] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[31] ";
rise_transition (lut_timing_42 ){
values(\
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387", \
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387", \
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387", \
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387", \
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387", \
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387", \
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387", \
" 0.0203, 0.0326, 0.0912, 0.3735, 1.6887, 3.2101, 4.7259, 7.7387" \
);
}
fall_transition (lut_timing_42 ){
values(\
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720", \
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720", \
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720", \
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720", \
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720", \
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720", \
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720", \
" 0.0193, 0.0258, 0.0508, 0.1626, 0.7138, 1.3539, 1.9934, 3.2720" \
);
}
cell_rise (lut_timing_42 ){
values(\
" 0.0644, 0.0765, 0.1230, 0.3202, 1.2297, 2.2790, 3.3253, 5.4034", \
" 0.0644, 0.0765, 0.1230, 0.3202, 1.2297, 2.2790, 3.3253, 5.4034", \
" 0.0644, 0.0765, 0.1230, 0.3202, 1.2297, 2.2790, 3.3253, 5.4034", \
" 0.0644, 0.0765, 0.1230, 0.3202, 1.2297, 2.2790, 3.3253, 5.4034", \
" 0.0644, 0.0765, 0.1230, 0.3202, 1.2297, 2.2790, 3.3253, 5.4034", \
" 0.0644, 0.0765, 0.1230, 0.3202, 1.2297, 2.2790, 3.3253, 5.4034", \
" 0.0644, 0.0765, 0.1230, 0.3202, 1.2297, 2.2790, 3.3253, 5.4034", \
" 0.0644, 0.0765, 0.1230, 0.3202, 1.2297, 2.2790, 3.3253, 5.4034" \
);
}
cell_fall (lut_timing_42 ){
values(\
" 0.0925, 0.1024, 0.1320, 0.2245, 0.6230, 1.0725, 1.5252, 2.4290", \
" 0.0925, 0.1024, 0.1320, 0.2245, 0.6230, 1.0725, 1.5252, 2.4290", \
" 0.0925, 0.1024, 0.1320, 0.2245, 0.6230, 1.0725, 1.5252, 2.4290", \
" 0.0925, 0.1024, 0.1320, 0.2245, 0.6230, 1.0725, 1.5252, 2.4290", \
" 0.0925, 0.1024, 0.1320, 0.2245, 0.6230, 1.0725, 1.5252, 2.4290", \
" 0.0925, 0.1024, 0.1320, 0.2245, 0.6230, 1.0725, 1.5252, 2.4290", \
" 0.0925, 0.1024, 0.1320, 0.2245, 0.6230, 1.0725, 1.5252, 2.4290", \
" 0.0925, 0.1024, 0.1320, 0.2245, 0.6230, 1.0725, 1.5252, 2.4290" \
);
}
} /* End of combinational arc of pin FrameData_O[31] wrt pin FrameData[31] */
} /* End of pin FrameData_O[31] */
/* Start of pin FrameData_O[30] */
pin (FrameData_O[30] ) {
direction : output ;
capacitance : 0.0011;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[30] wrt pin FrameData[30] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[30] ";
rise_transition (lut_timing_43 ){
values(\
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129" \
);
}
fall_transition (lut_timing_43 ){
values(\
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475" \
);
}
cell_rise (lut_timing_43 ){
values(\
" 0.0621, 0.0642, 0.0764, 0.1222, 0.3196, 1.2288, 3.3241, 5.4015", \
" 0.0621, 0.0642, 0.0764, 0.1222, 0.3196, 1.2288, 3.3241, 5.4015", \
" 0.0621, 0.0642, 0.0764, 0.1222, 0.3196, 1.2288, 3.3241, 5.4015", \
" 0.0621, 0.0642, 0.0764, 0.1222, 0.3196, 1.2288, 3.3241, 5.4015", \
" 0.0621, 0.0642, 0.0764, 0.1222, 0.3196, 1.2288, 3.3241, 5.4015", \
" 0.0621, 0.0642, 0.0764, 0.1222, 0.3196, 1.2288, 3.3241, 5.4015", \
" 0.0621, 0.0642, 0.0764, 0.1222, 0.3196, 1.2288, 3.3241, 5.4015", \
" 0.0621, 0.0642, 0.0764, 0.1222, 0.3196, 1.2288, 3.3241, 5.4015" \
);
}
cell_fall (lut_timing_43 ){
values(\
" 0.0905, 0.0923, 0.1022, 0.1312, 0.2238, 0.6203, 1.5227, 2.4257", \
" 0.0905, 0.0923, 0.1022, 0.1312, 0.2238, 0.6203, 1.5227, 2.4257", \
" 0.0905, 0.0923, 0.1022, 0.1312, 0.2238, 0.6203, 1.5227, 2.4257", \
" 0.0905, 0.0923, 0.1022, 0.1312, 0.2238, 0.6203, 1.5227, 2.4257", \
" 0.0905, 0.0923, 0.1022, 0.1312, 0.2238, 0.6203, 1.5227, 2.4257", \
" 0.0905, 0.0923, 0.1022, 0.1312, 0.2238, 0.6203, 1.5227, 2.4257", \
" 0.0905, 0.0923, 0.1022, 0.1312, 0.2238, 0.6203, 1.5227, 2.4257", \
" 0.0905, 0.0923, 0.1022, 0.1312, 0.2238, 0.6203, 1.5227, 2.4257" \
);
}
} /* End of combinational arc of pin FrameData_O[30] wrt pin FrameData[30] */
/* Start of combinational arc of pin FrameData_O[30] wrt pin FrameData[30] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[30] ";
rise_transition (lut_timing_44 ){
values(\
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0183, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129" \
);
}
fall_transition (lut_timing_44 ){
values(\
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475" \
);
}
cell_rise (lut_timing_44 ){
values(\
" 0.0621, 0.0642, 0.0765, 0.1226, 0.3196, 1.2288, 3.3241, 5.4015", \
" 0.0621, 0.0642, 0.0765, 0.1226, 0.3196, 1.2288, 3.3241, 5.4015", \
" 0.0621, 0.0642, 0.0765, 0.1226, 0.3196, 1.2288, 3.3241, 5.4015", \
" 0.0621, 0.0642, 0.0765, 0.1226, 0.3196, 1.2288, 3.3241, 5.4015", \
" 0.0621, 0.0642, 0.0765, 0.1226, 0.3196, 1.2288, 3.3241, 5.4015", \
" 0.0621, 0.0642, 0.0765, 0.1226, 0.3196, 1.2288, 3.3241, 5.4015", \
" 0.0621, 0.0642, 0.0765, 0.1226, 0.3196, 1.2288, 3.3241, 5.4015", \
" 0.0621, 0.0642, 0.0765, 0.1226, 0.3196, 1.2288, 3.3241, 5.4015" \
);
}
cell_fall (lut_timing_44 ){
values(\
" 0.0906, 0.0924, 0.1023, 0.1317, 0.2238, 0.6203, 1.5227, 2.4257", \
" 0.0906, 0.0924, 0.1023, 0.1317, 0.2238, 0.6203, 1.5227, 2.4257", \
" 0.0906, 0.0924, 0.1023, 0.1317, 0.2238, 0.6203, 1.5227, 2.4257", \
" 0.0906, 0.0924, 0.1023, 0.1317, 0.2238, 0.6203, 1.5227, 2.4257", \
" 0.0906, 0.0924, 0.1023, 0.1317, 0.2238, 0.6203, 1.5227, 2.4257", \
" 0.0906, 0.0924, 0.1023, 0.1317, 0.2238, 0.6203, 1.5227, 2.4257", \
" 0.0906, 0.0924, 0.1023, 0.1317, 0.2238, 0.6203, 1.5227, 2.4257", \
" 0.0906, 0.0924, 0.1023, 0.1317, 0.2238, 0.6203, 1.5227, 2.4257" \
);
}
} /* End of combinational arc of pin FrameData_O[30] wrt pin FrameData[30] */
} /* End of pin FrameData_O[30] */
/* Start of pin FrameData_O[29] */
pin (FrameData_O[29] ) {
direction : output ;
capacitance : 0.0014;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[29] wrt pin FrameData[29] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[29] ";
rise_transition (lut_timing_45 ){
values(\
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177" \
);
}
fall_transition (lut_timing_45 ){
values(\
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521" \
);
}
cell_rise (lut_timing_45 ){
values(\
" 0.0628, 0.0644, 0.0766, 0.1224, 0.3198, 1.2292, 3.3245, 5.4020", \
" 0.0628, 0.0644, 0.0766, 0.1224, 0.3198, 1.2292, 3.3245, 5.4020", \
" 0.0628, 0.0644, 0.0766, 0.1224, 0.3198, 1.2292, 3.3245, 5.4020", \
" 0.0628, 0.0644, 0.0766, 0.1224, 0.3198, 1.2292, 3.3245, 5.4020", \
" 0.0628, 0.0644, 0.0766, 0.1224, 0.3198, 1.2292, 3.3245, 5.4020", \
" 0.0628, 0.0644, 0.0766, 0.1224, 0.3198, 1.2292, 3.3245, 5.4020", \
" 0.0628, 0.0644, 0.0766, 0.1224, 0.3198, 1.2292, 3.3245, 5.4020", \
" 0.0628, 0.0644, 0.0766, 0.1224, 0.3198, 1.2292, 3.3245, 5.4020" \
);
}
cell_fall (lut_timing_45 ){
values(\
" 0.0911, 0.0925, 0.1024, 0.1315, 0.2241, 0.6211, 1.5232, 2.4265", \
" 0.0911, 0.0925, 0.1024, 0.1315, 0.2241, 0.6211, 1.5232, 2.4265", \
" 0.0911, 0.0925, 0.1024, 0.1315, 0.2241, 0.6211, 1.5232, 2.4265", \
" 0.0911, 0.0925, 0.1024, 0.1315, 0.2241, 0.6211, 1.5232, 2.4265", \
" 0.0911, 0.0925, 0.1024, 0.1315, 0.2241, 0.6211, 1.5232, 2.4265", \
" 0.0911, 0.0925, 0.1024, 0.1315, 0.2241, 0.6211, 1.5232, 2.4265", \
" 0.0911, 0.0925, 0.1024, 0.1315, 0.2241, 0.6211, 1.5232, 2.4265", \
" 0.0911, 0.0925, 0.1024, 0.1315, 0.2241, 0.6211, 1.5232, 2.4265" \
);
}
} /* End of combinational arc of pin FrameData_O[29] wrt pin FrameData[29] */
/* Start of combinational arc of pin FrameData_O[29] wrt pin FrameData[29] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[29] ";
rise_transition (lut_timing_46 ){
values(\
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7134, 7.7177" \
);
}
fall_transition (lut_timing_46 ){
values(\
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9810, 3.2521" \
);
}
cell_rise (lut_timing_46 ){
values(\
" 0.0628, 0.0644, 0.0767, 0.1229, 0.3198, 1.2292, 3.3245, 5.4020", \
" 0.0628, 0.0644, 0.0767, 0.1229, 0.3198, 1.2292, 3.3245, 5.4020", \
" 0.0628, 0.0644, 0.0767, 0.1229, 0.3198, 1.2292, 3.3245, 5.4020", \
" 0.0628, 0.0644, 0.0767, 0.1229, 0.3198, 1.2292, 3.3245, 5.4020", \
" 0.0628, 0.0644, 0.0767, 0.1229, 0.3198, 1.2292, 3.3245, 5.4020", \
" 0.0628, 0.0644, 0.0767, 0.1229, 0.3198, 1.2292, 3.3245, 5.4020", \
" 0.0628, 0.0644, 0.0767, 0.1229, 0.3198, 1.2292, 3.3245, 5.4020", \
" 0.0628, 0.0644, 0.0767, 0.1229, 0.3198, 1.2292, 3.3245, 5.4020" \
);
}
cell_fall (lut_timing_46 ){
values(\
" 0.0912, 0.0926, 0.1025, 0.1319, 0.2241, 0.6211, 1.5232, 2.4265", \
" 0.0912, 0.0926, 0.1025, 0.1319, 0.2241, 0.6211, 1.5232, 2.4265", \
" 0.0912, 0.0926, 0.1025, 0.1319, 0.2241, 0.6211, 1.5232, 2.4265", \
" 0.0912, 0.0926, 0.1025, 0.1319, 0.2241, 0.6211, 1.5232, 2.4265", \
" 0.0912, 0.0926, 0.1025, 0.1319, 0.2241, 0.6211, 1.5232, 2.4265", \
" 0.0912, 0.0926, 0.1025, 0.1319, 0.2241, 0.6211, 1.5232, 2.4265", \
" 0.0912, 0.0926, 0.1025, 0.1319, 0.2241, 0.6211, 1.5232, 2.4265", \
" 0.0912, 0.0926, 0.1025, 0.1319, 0.2241, 0.6211, 1.5232, 2.4265" \
);
}
} /* End of combinational arc of pin FrameData_O[29] wrt pin FrameData[29] */
} /* End of pin FrameData_O[29] */
/* Start of pin FrameData_O[28] */
pin (FrameData_O[28] ) {
direction : output ;
capacitance : 0.0016;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[28] wrt pin FrameData[28] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[28] ";
rise_transition (lut_timing_47 ){
values(\
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235" \
);
}
fall_transition (lut_timing_47 ){
values(\
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575" \
);
}
cell_rise (lut_timing_47 ){
values(\
" 0.0630, 0.0642, 0.0764, 0.1222, 0.3197, 1.2292, 3.3246, 5.4023", \
" 0.0630, 0.0642, 0.0764, 0.1222, 0.3197, 1.2292, 3.3246, 5.4023", \
" 0.0630, 0.0642, 0.0764, 0.1222, 0.3197, 1.2292, 3.3246, 5.4023", \
" 0.0630, 0.0642, 0.0764, 0.1222, 0.3197, 1.2292, 3.3246, 5.4023", \
" 0.0630, 0.0642, 0.0764, 0.1222, 0.3197, 1.2292, 3.3246, 5.4023", \
" 0.0630, 0.0642, 0.0764, 0.1222, 0.3197, 1.2292, 3.3246, 5.4023", \
" 0.0630, 0.0642, 0.0764, 0.1222, 0.3197, 1.2292, 3.3246, 5.4023", \
" 0.0630, 0.0642, 0.0764, 0.1222, 0.3197, 1.2292, 3.3246, 5.4023" \
);
}
cell_fall (lut_timing_47 ){
values(\
" 0.0913, 0.0923, 0.1022, 0.1313, 0.2242, 0.6215, 1.5235, 2.4271", \
" 0.0913, 0.0923, 0.1022, 0.1313, 0.2242, 0.6215, 1.5235, 2.4271", \
" 0.0913, 0.0923, 0.1022, 0.1313, 0.2242, 0.6215, 1.5235, 2.4271", \
" 0.0913, 0.0923, 0.1022, 0.1313, 0.2242, 0.6215, 1.5235, 2.4271", \
" 0.0913, 0.0923, 0.1022, 0.1313, 0.2242, 0.6215, 1.5235, 2.4271", \
" 0.0913, 0.0923, 0.1022, 0.1313, 0.2242, 0.6215, 1.5235, 2.4271", \
" 0.0913, 0.0923, 0.1022, 0.1313, 0.2242, 0.6215, 1.5235, 2.4271", \
" 0.0913, 0.0923, 0.1022, 0.1313, 0.2242, 0.6215, 1.5235, 2.4271" \
);
}
} /* End of combinational arc of pin FrameData_O[28] wrt pin FrameData[28] */
/* Start of combinational arc of pin FrameData_O[28] wrt pin FrameData[28] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[28] ";
rise_transition (lut_timing_48 ){
values(\
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3727, 1.6855, 4.7168, 7.7235" \
);
}
fall_transition (lut_timing_48 ){
values(\
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1622, 0.7111, 1.9844, 3.2575" \
);
}
cell_rise (lut_timing_48 ){
values(\
" 0.0630, 0.0642, 0.0765, 0.1228, 0.3197, 1.2292, 3.3246, 5.4023", \
" 0.0630, 0.0642, 0.0765, 0.1228, 0.3197, 1.2292, 3.3246, 5.4023", \
" 0.0630, 0.0642, 0.0765, 0.1228, 0.3197, 1.2292, 3.3246, 5.4023", \
" 0.0630, 0.0642, 0.0765, 0.1228, 0.3197, 1.2292, 3.3246, 5.4023", \
" 0.0630, 0.0642, 0.0765, 0.1228, 0.3197, 1.2292, 3.3246, 5.4023", \
" 0.0630, 0.0642, 0.0765, 0.1228, 0.3197, 1.2292, 3.3246, 5.4023", \
" 0.0630, 0.0642, 0.0765, 0.1228, 0.3197, 1.2292, 3.3246, 5.4023", \
" 0.0630, 0.0642, 0.0765, 0.1228, 0.3197, 1.2292, 3.3246, 5.4023" \
);
}
cell_fall (lut_timing_48 ){
values(\
" 0.0914, 0.0924, 0.1023, 0.1318, 0.2242, 0.6215, 1.5235, 2.4271", \
" 0.0914, 0.0924, 0.1023, 0.1318, 0.2242, 0.6215, 1.5235, 2.4271", \
" 0.0914, 0.0924, 0.1023, 0.1318, 0.2242, 0.6215, 1.5235, 2.4271", \
" 0.0914, 0.0924, 0.1023, 0.1318, 0.2242, 0.6215, 1.5235, 2.4271", \
" 0.0914, 0.0924, 0.1023, 0.1318, 0.2242, 0.6215, 1.5235, 2.4271", \
" 0.0914, 0.0924, 0.1023, 0.1318, 0.2242, 0.6215, 1.5235, 2.4271", \
" 0.0914, 0.0924, 0.1023, 0.1318, 0.2242, 0.6215, 1.5235, 2.4271", \
" 0.0914, 0.0924, 0.1023, 0.1318, 0.2242, 0.6215, 1.5235, 2.4271" \
);
}
} /* End of combinational arc of pin FrameData_O[28] wrt pin FrameData[28] */
} /* End of pin FrameData_O[28] */
/* Start of pin FrameData_O[27] */
pin (FrameData_O[27] ) {
direction : output ;
capacitance : 0.0014;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[27] wrt pin FrameData[27] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[27] ";
rise_transition (lut_timing_49 ){
values(\
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189" \
);
}
fall_transition (lut_timing_49 ){
values(\
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532" \
);
}
cell_rise (lut_timing_49 ){
values(\
" 0.0630, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3247, 5.4022", \
" 0.0630, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3247, 5.4022", \
" 0.0630, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3247, 5.4022", \
" 0.0630, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3247, 5.4022", \
" 0.0630, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3247, 5.4022", \
" 0.0630, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3247, 5.4022", \
" 0.0630, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3247, 5.4022", \
" 0.0630, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3247, 5.4022" \
);
}
cell_fall (lut_timing_49 ){
values(\
" 0.0913, 0.0926, 0.1025, 0.1316, 0.2242, 0.6214, 1.5234, 2.4268", \
" 0.0913, 0.0926, 0.1025, 0.1316, 0.2242, 0.6214, 1.5234, 2.4268", \
" 0.0913, 0.0926, 0.1025, 0.1316, 0.2242, 0.6214, 1.5234, 2.4268", \
" 0.0913, 0.0926, 0.1025, 0.1316, 0.2242, 0.6214, 1.5234, 2.4268", \
" 0.0913, 0.0926, 0.1025, 0.1316, 0.2242, 0.6214, 1.5234, 2.4268", \
" 0.0913, 0.0926, 0.1025, 0.1316, 0.2242, 0.6214, 1.5234, 2.4268", \
" 0.0913, 0.0926, 0.1025, 0.1316, 0.2242, 0.6214, 1.5234, 2.4268", \
" 0.0913, 0.0926, 0.1025, 0.1316, 0.2242, 0.6214, 1.5234, 2.4268" \
);
}
} /* End of combinational arc of pin FrameData_O[27] wrt pin FrameData[27] */
/* Start of combinational arc of pin FrameData_O[27] wrt pin FrameData[27] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[27] ";
rise_transition (lut_timing_50 ){
values(\
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189" \
);
}
fall_transition (lut_timing_50 ){
values(\
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532" \
);
}
cell_rise (lut_timing_50 ){
values(\
" 0.0630, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3247, 5.4022", \
" 0.0630, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3247, 5.4022", \
" 0.0630, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3247, 5.4022", \
" 0.0630, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3247, 5.4022", \
" 0.0630, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3247, 5.4022", \
" 0.0630, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3247, 5.4022", \
" 0.0630, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3247, 5.4022", \
" 0.0630, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3247, 5.4022" \
);
}
cell_fall (lut_timing_50 ){
values(\
" 0.0914, 0.0927, 0.1026, 0.1321, 0.2242, 0.6214, 1.5234, 2.4268", \
" 0.0914, 0.0927, 0.1026, 0.1321, 0.2242, 0.6214, 1.5234, 2.4268", \
" 0.0914, 0.0927, 0.1026, 0.1321, 0.2242, 0.6214, 1.5234, 2.4268", \
" 0.0914, 0.0927, 0.1026, 0.1321, 0.2242, 0.6214, 1.5234, 2.4268", \
" 0.0914, 0.0927, 0.1026, 0.1321, 0.2242, 0.6214, 1.5234, 2.4268", \
" 0.0914, 0.0927, 0.1026, 0.1321, 0.2242, 0.6214, 1.5234, 2.4268", \
" 0.0914, 0.0927, 0.1026, 0.1321, 0.2242, 0.6214, 1.5234, 2.4268", \
" 0.0914, 0.0927, 0.1026, 0.1321, 0.2242, 0.6214, 1.5234, 2.4268" \
);
}
} /* End of combinational arc of pin FrameData_O[27] wrt pin FrameData[27] */
} /* End of pin FrameData_O[27] */
/* Start of pin FrameData_O[26] */
pin (FrameData_O[26] ) {
direction : output ;
capacitance : 0.0013;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[26] wrt pin FrameData[26] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[26] ";
rise_transition (lut_timing_51 ){
values(\
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171" \
);
}
fall_transition (lut_timing_51 ){
values(\
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514" \
);
}
cell_rise (lut_timing_51 ){
values(\
" 0.0628, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3246, 5.4021", \
" 0.0628, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3246, 5.4021", \
" 0.0628, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3246, 5.4021", \
" 0.0628, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3246, 5.4021", \
" 0.0628, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3246, 5.4021", \
" 0.0628, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3246, 5.4021", \
" 0.0628, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3246, 5.4021", \
" 0.0628, 0.0645, 0.0767, 0.1225, 0.3199, 1.2293, 3.3246, 5.4021" \
);
}
cell_fall (lut_timing_51 ){
values(\
" 0.0912, 0.0926, 0.1025, 0.1316, 0.2242, 0.6212, 1.5232, 2.4265", \
" 0.0912, 0.0926, 0.1025, 0.1316, 0.2242, 0.6212, 1.5232, 2.4265", \
" 0.0912, 0.0926, 0.1025, 0.1316, 0.2242, 0.6212, 1.5232, 2.4265", \
" 0.0912, 0.0926, 0.1025, 0.1316, 0.2242, 0.6212, 1.5232, 2.4265", \
" 0.0912, 0.0926, 0.1025, 0.1316, 0.2242, 0.6212, 1.5232, 2.4265", \
" 0.0912, 0.0926, 0.1025, 0.1316, 0.2242, 0.6212, 1.5232, 2.4265", \
" 0.0912, 0.0926, 0.1025, 0.1316, 0.2242, 0.6212, 1.5232, 2.4265", \
" 0.0912, 0.0926, 0.1025, 0.1316, 0.2242, 0.6212, 1.5232, 2.4265" \
);
}
} /* End of combinational arc of pin FrameData_O[26] wrt pin FrameData[26] */
/* Start of combinational arc of pin FrameData_O[26] wrt pin FrameData[26] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[26] ";
rise_transition (lut_timing_52 ){
values(\
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3725, 1.6841, 4.7130, 7.7171" \
);
}
fall_transition (lut_timing_52 ){
values(\
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7100, 1.9806, 3.2514" \
);
}
cell_rise (lut_timing_52 ){
values(\
" 0.0628, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3246, 5.4021", \
" 0.0628, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3246, 5.4021", \
" 0.0628, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3246, 5.4021", \
" 0.0628, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3246, 5.4021", \
" 0.0628, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3246, 5.4021", \
" 0.0628, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3246, 5.4021", \
" 0.0628, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3246, 5.4021", \
" 0.0628, 0.0645, 0.0768, 0.1230, 0.3199, 1.2293, 3.3246, 5.4021" \
);
}
cell_fall (lut_timing_52 ){
values(\
" 0.0912, 0.0927, 0.1026, 0.1320, 0.2242, 0.6212, 1.5232, 2.4265", \
" 0.0912, 0.0927, 0.1026, 0.1320, 0.2242, 0.6212, 1.5232, 2.4265", \
" 0.0912, 0.0927, 0.1026, 0.1320, 0.2242, 0.6212, 1.5232, 2.4265", \
" 0.0912, 0.0927, 0.1026, 0.1320, 0.2242, 0.6212, 1.5232, 2.4265", \
" 0.0912, 0.0927, 0.1026, 0.1320, 0.2242, 0.6212, 1.5232, 2.4265", \
" 0.0912, 0.0927, 0.1026, 0.1320, 0.2242, 0.6212, 1.5232, 2.4265", \
" 0.0912, 0.0927, 0.1026, 0.1320, 0.2242, 0.6212, 1.5232, 2.4265", \
" 0.0912, 0.0927, 0.1026, 0.1320, 0.2242, 0.6212, 1.5232, 2.4265" \
);
}
} /* End of combinational arc of pin FrameData_O[26] wrt pin FrameData[26] */
} /* End of pin FrameData_O[26] */
/* Start of pin FrameData_O[25] */
pin (FrameData_O[25] ) {
direction : output ;
capacitance : 0.0017;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[25] wrt pin FrameData[25] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[25] ";
rise_transition (lut_timing_53 ){
values(\
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268", \
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268", \
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268", \
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268", \
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268", \
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268", \
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268", \
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268" \
);
}
fall_transition (lut_timing_53 ){
values(\
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607" \
);
}
cell_rise (lut_timing_53 ){
values(\
" 0.0636, 0.0646, 0.0768, 0.1227, 0.3202, 1.2297, 3.3251, 5.4029", \
" 0.0636, 0.0646, 0.0768, 0.1227, 0.3202, 1.2297, 3.3251, 5.4029", \
" 0.0636, 0.0646, 0.0768, 0.1227, 0.3202, 1.2297, 3.3251, 5.4029", \
" 0.0636, 0.0646, 0.0768, 0.1227, 0.3202, 1.2297, 3.3251, 5.4029", \
" 0.0636, 0.0646, 0.0768, 0.1227, 0.3202, 1.2297, 3.3251, 5.4029", \
" 0.0636, 0.0646, 0.0768, 0.1227, 0.3202, 1.2297, 3.3251, 5.4029", \
" 0.0636, 0.0646, 0.0768, 0.1227, 0.3202, 1.2297, 3.3251, 5.4029", \
" 0.0636, 0.0646, 0.0768, 0.1227, 0.3202, 1.2297, 3.3251, 5.4029" \
);
}
cell_fall (lut_timing_53 ){
values(\
" 0.0919, 0.0927, 0.1026, 0.1317, 0.2246, 0.6223, 1.5242, 2.4279", \
" 0.0919, 0.0927, 0.1026, 0.1317, 0.2246, 0.6223, 1.5242, 2.4279", \
" 0.0919, 0.0927, 0.1026, 0.1317, 0.2246, 0.6223, 1.5242, 2.4279", \
" 0.0919, 0.0927, 0.1026, 0.1317, 0.2246, 0.6223, 1.5242, 2.4279", \
" 0.0919, 0.0927, 0.1026, 0.1317, 0.2246, 0.6223, 1.5242, 2.4279", \
" 0.0919, 0.0927, 0.1026, 0.1317, 0.2246, 0.6223, 1.5242, 2.4279", \
" 0.0919, 0.0927, 0.1026, 0.1317, 0.2246, 0.6223, 1.5242, 2.4279", \
" 0.0919, 0.0927, 0.1026, 0.1317, 0.2246, 0.6223, 1.5242, 2.4279" \
);
}
} /* End of combinational arc of pin FrameData_O[25] wrt pin FrameData[25] */
/* Start of combinational arc of pin FrameData_O[25] wrt pin FrameData[25] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[25] ";
rise_transition (lut_timing_54 ){
values(\
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268", \
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268", \
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268", \
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268", \
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268", \
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268", \
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268", \
" 0.0193, 0.0201, 0.0326, 0.0912, 0.3728, 1.6862, 4.7188, 7.7268" \
);
}
fall_transition (lut_timing_54 ){
values(\
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1623, 0.7117, 1.9863, 3.2607" \
);
}
cell_rise (lut_timing_54 ){
values(\
" 0.0636, 0.0646, 0.0769, 0.1232, 0.3202, 1.2297, 3.3251, 5.4029", \
" 0.0636, 0.0646, 0.0769, 0.1232, 0.3202, 1.2297, 3.3251, 5.4029", \
" 0.0636, 0.0646, 0.0769, 0.1232, 0.3202, 1.2297, 3.3251, 5.4029", \
" 0.0636, 0.0646, 0.0769, 0.1232, 0.3202, 1.2297, 3.3251, 5.4029", \
" 0.0636, 0.0646, 0.0769, 0.1232, 0.3202, 1.2297, 3.3251, 5.4029", \
" 0.0636, 0.0646, 0.0769, 0.1232, 0.3202, 1.2297, 3.3251, 5.4029", \
" 0.0636, 0.0646, 0.0769, 0.1232, 0.3202, 1.2297, 3.3251, 5.4029", \
" 0.0636, 0.0646, 0.0769, 0.1232, 0.3202, 1.2297, 3.3251, 5.4029" \
);
}
cell_fall (lut_timing_54 ){
values(\
" 0.0919, 0.0928, 0.1027, 0.1323, 0.2246, 0.6223, 1.5242, 2.4279", \
" 0.0919, 0.0928, 0.1027, 0.1323, 0.2246, 0.6223, 1.5242, 2.4279", \
" 0.0919, 0.0928, 0.1027, 0.1323, 0.2246, 0.6223, 1.5242, 2.4279", \
" 0.0919, 0.0928, 0.1027, 0.1323, 0.2246, 0.6223, 1.5242, 2.4279", \
" 0.0919, 0.0928, 0.1027, 0.1323, 0.2246, 0.6223, 1.5242, 2.4279", \
" 0.0919, 0.0928, 0.1027, 0.1323, 0.2246, 0.6223, 1.5242, 2.4279", \
" 0.0919, 0.0928, 0.1027, 0.1323, 0.2246, 0.6223, 1.5242, 2.4279", \
" 0.0919, 0.0928, 0.1027, 0.1323, 0.2246, 0.6223, 1.5242, 2.4279" \
);
}
} /* End of combinational arc of pin FrameData_O[25] wrt pin FrameData[25] */
} /* End of pin FrameData_O[25] */
/* Start of pin FrameData_O[24] */
pin (FrameData_O[24] ) {
direction : output ;
capacitance : 0.0016;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[24] wrt pin FrameData[24] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[24] ";
rise_transition (lut_timing_55 ){
values(\
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221" \
);
}
fall_transition (lut_timing_55 ){
values(\
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563" \
);
}
cell_rise (lut_timing_55 ){
values(\
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3201, 1.2295, 3.3249, 5.4026", \
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3201, 1.2295, 3.3249, 5.4026", \
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3201, 1.2295, 3.3249, 5.4026", \
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3201, 1.2295, 3.3249, 5.4026", \
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3201, 1.2295, 3.3249, 5.4026", \
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3201, 1.2295, 3.3249, 5.4026", \
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3201, 1.2295, 3.3249, 5.4026", \
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3201, 1.2295, 3.3249, 5.4026" \
);
}
cell_fall (lut_timing_55 ){
values(\
" 0.0917, 0.0927, 0.1026, 0.1317, 0.2244, 0.6218, 1.5238, 2.4273", \
" 0.0917, 0.0927, 0.1026, 0.1317, 0.2244, 0.6218, 1.5238, 2.4273", \
" 0.0917, 0.0927, 0.1026, 0.1317, 0.2244, 0.6218, 1.5238, 2.4273", \
" 0.0917, 0.0927, 0.1026, 0.1317, 0.2244, 0.6218, 1.5238, 2.4273", \
" 0.0917, 0.0927, 0.1026, 0.1317, 0.2244, 0.6218, 1.5238, 2.4273", \
" 0.0917, 0.0927, 0.1026, 0.1317, 0.2244, 0.6218, 1.5238, 2.4273", \
" 0.0917, 0.0927, 0.1026, 0.1317, 0.2244, 0.6218, 1.5238, 2.4273", \
" 0.0917, 0.0927, 0.1026, 0.1317, 0.2244, 0.6218, 1.5238, 2.4273" \
);
}
} /* End of combinational arc of pin FrameData_O[24] wrt pin FrameData[24] */
/* Start of combinational arc of pin FrameData_O[24] wrt pin FrameData[24] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[24] ";
rise_transition (lut_timing_56 ){
values(\
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3727, 1.6852, 4.7160, 7.7221" \
);
}
fall_transition (lut_timing_56 ){
values(\
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7108, 1.9836, 3.2563" \
);
}
cell_rise (lut_timing_56 ){
values(\
" 0.0633, 0.0645, 0.0768, 0.1230, 0.3200, 1.2294, 3.3248, 5.4025", \
" 0.0633, 0.0645, 0.0768, 0.1230, 0.3200, 1.2294, 3.3248, 5.4025", \
" 0.0633, 0.0645, 0.0768, 0.1230, 0.3200, 1.2294, 3.3248, 5.4025", \
" 0.0633, 0.0645, 0.0768, 0.1230, 0.3200, 1.2294, 3.3248, 5.4025", \
" 0.0633, 0.0645, 0.0768, 0.1230, 0.3200, 1.2294, 3.3248, 5.4025", \
" 0.0633, 0.0645, 0.0768, 0.1230, 0.3200, 1.2294, 3.3248, 5.4025", \
" 0.0633, 0.0645, 0.0768, 0.1230, 0.3200, 1.2294, 3.3248, 5.4025", \
" 0.0633, 0.0645, 0.0768, 0.1230, 0.3200, 1.2294, 3.3248, 5.4025" \
);
}
cell_fall (lut_timing_56 ){
values(\
" 0.0916, 0.0927, 0.1026, 0.1321, 0.2243, 0.6217, 1.5237, 2.4272", \
" 0.0916, 0.0927, 0.1026, 0.1321, 0.2243, 0.6217, 1.5237, 2.4272", \
" 0.0916, 0.0927, 0.1026, 0.1321, 0.2243, 0.6217, 1.5237, 2.4272", \
" 0.0916, 0.0927, 0.1026, 0.1321, 0.2243, 0.6217, 1.5237, 2.4272", \
" 0.0916, 0.0927, 0.1026, 0.1321, 0.2243, 0.6217, 1.5237, 2.4272", \
" 0.0916, 0.0927, 0.1026, 0.1321, 0.2243, 0.6217, 1.5237, 2.4272", \
" 0.0916, 0.0927, 0.1026, 0.1321, 0.2243, 0.6217, 1.5237, 2.4272", \
" 0.0916, 0.0927, 0.1026, 0.1321, 0.2243, 0.6217, 1.5237, 2.4272" \
);
}
} /* End of combinational arc of pin FrameData_O[24] wrt pin FrameData[24] */
} /* End of pin FrameData_O[24] */
/* Start of pin FrameData_O[23] */
pin (FrameData_O[23] ) {
direction : output ;
capacitance : 0.0011;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[23] wrt pin FrameData[23] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[23] ";
rise_transition (lut_timing_57 ){
values(\
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124" \
);
}
fall_transition (lut_timing_57 ){
values(\
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470" \
);
}
cell_rise (lut_timing_57 ){
values(\
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3249, 5.4022", \
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3249, 5.4022", \
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3249, 5.4022", \
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3249, 5.4022", \
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3249, 5.4022", \
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3249, 5.4022", \
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3249, 5.4022", \
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3249, 5.4022" \
);
}
cell_fall (lut_timing_57 ){
values(\
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2245, 0.6211, 1.5234, 2.4264" \
);
}
} /* End of combinational arc of pin FrameData_O[23] wrt pin FrameData[23] */
/* Start of combinational arc of pin FrameData_O[23] wrt pin FrameData[23] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[23] ";
rise_transition (lut_timing_58 ){
values(\
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6831, 4.7102, 7.7124" \
);
}
fall_transition (lut_timing_58 ){
values(\
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7088, 1.9780, 3.2470" \
);
}
cell_rise (lut_timing_58 ){
values(\
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3249, 5.4022", \
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3249, 5.4022", \
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3249, 5.4022", \
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3249, 5.4022", \
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3249, 5.4022", \
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3249, 5.4022", \
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3249, 5.4022", \
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3249, 5.4022" \
);
}
cell_fall (lut_timing_58 ){
values(\
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2245, 0.6211, 1.5234, 2.4264" \
);
}
} /* End of combinational arc of pin FrameData_O[23] wrt pin FrameData[23] */
} /* End of pin FrameData_O[23] */
/* Start of pin FrameData_O[22] */
pin (FrameData_O[22] ) {
direction : output ;
capacitance : 0.0020;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[22] wrt pin FrameData[22] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[22] ";
rise_transition (lut_timing_59 ){
values(\
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332", \
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332", \
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332", \
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332", \
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332", \
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332", \
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332", \
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332" \
);
}
fall_transition (lut_timing_59 ){
values(\
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668", \
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668", \
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668", \
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668", \
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668", \
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668", \
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668", \
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668" \
);
}
cell_rise (lut_timing_59 ){
values(\
" 0.0640, 0.0645, 0.0767, 0.1226, 0.3202, 1.2298, 3.3253, 5.4033", \
" 0.0640, 0.0645, 0.0767, 0.1226, 0.3202, 1.2298, 3.3253, 5.4033", \
" 0.0640, 0.0645, 0.0767, 0.1226, 0.3202, 1.2298, 3.3253, 5.4033", \
" 0.0640, 0.0645, 0.0767, 0.1226, 0.3202, 1.2298, 3.3253, 5.4033", \
" 0.0640, 0.0645, 0.0767, 0.1226, 0.3202, 1.2298, 3.3253, 5.4033", \
" 0.0640, 0.0645, 0.0767, 0.1226, 0.3202, 1.2298, 3.3253, 5.4033", \
" 0.0640, 0.0645, 0.0767, 0.1226, 0.3202, 1.2298, 3.3253, 5.4033", \
" 0.0640, 0.0645, 0.0767, 0.1226, 0.3202, 1.2298, 3.3253, 5.4033" \
);
}
cell_fall (lut_timing_59 ){
values(\
" 0.0922, 0.0926, 0.1025, 0.1317, 0.2247, 0.6228, 1.5250, 2.4286", \
" 0.0922, 0.0926, 0.1025, 0.1317, 0.2247, 0.6228, 1.5250, 2.4286", \
" 0.0922, 0.0926, 0.1025, 0.1317, 0.2247, 0.6228, 1.5250, 2.4286", \
" 0.0922, 0.0926, 0.1025, 0.1317, 0.2247, 0.6228, 1.5250, 2.4286", \
" 0.0922, 0.0926, 0.1025, 0.1317, 0.2247, 0.6228, 1.5250, 2.4286", \
" 0.0922, 0.0926, 0.1025, 0.1317, 0.2247, 0.6228, 1.5250, 2.4286", \
" 0.0922, 0.0926, 0.1025, 0.1317, 0.2247, 0.6228, 1.5250, 2.4286", \
" 0.0922, 0.0926, 0.1025, 0.1317, 0.2247, 0.6228, 1.5250, 2.4286" \
);
}
} /* End of combinational arc of pin FrameData_O[22] wrt pin FrameData[22] */
/* Start of combinational arc of pin FrameData_O[22] wrt pin FrameData[22] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[22] ";
rise_transition (lut_timing_60 ){
values(\
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332", \
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332", \
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332", \
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332", \
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332", \
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332", \
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332", \
" 0.0197, 0.0201, 0.0326, 0.0912, 0.3730, 1.6875, 4.7226, 7.7332" \
);
}
fall_transition (lut_timing_60 ){
values(\
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668", \
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668", \
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668", \
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668", \
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668", \
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668", \
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668", \
" 0.0189, 0.0192, 0.0258, 0.0508, 0.1624, 0.7128, 1.9902, 3.2668" \
);
}
cell_rise (lut_timing_60 ){
values(\
" 0.0641, 0.0646, 0.0769, 0.1233, 0.3203, 1.2299, 3.3254, 5.4034", \
" 0.0641, 0.0646, 0.0769, 0.1233, 0.3203, 1.2299, 3.3254, 5.4034", \
" 0.0641, 0.0646, 0.0769, 0.1233, 0.3203, 1.2299, 3.3254, 5.4034", \
" 0.0641, 0.0646, 0.0769, 0.1233, 0.3203, 1.2299, 3.3254, 5.4034", \
" 0.0641, 0.0646, 0.0769, 0.1233, 0.3203, 1.2299, 3.3254, 5.4034", \
" 0.0641, 0.0646, 0.0769, 0.1233, 0.3203, 1.2299, 3.3254, 5.4034", \
" 0.0641, 0.0646, 0.0769, 0.1233, 0.3203, 1.2299, 3.3254, 5.4034", \
" 0.0641, 0.0646, 0.0769, 0.1233, 0.3203, 1.2299, 3.3254, 5.4034" \
);
}
cell_fall (lut_timing_60 ){
values(\
" 0.0923, 0.0928, 0.1027, 0.1323, 0.2248, 0.6229, 1.5251, 2.4287", \
" 0.0923, 0.0928, 0.1027, 0.1323, 0.2248, 0.6229, 1.5251, 2.4287", \
" 0.0923, 0.0928, 0.1027, 0.1323, 0.2248, 0.6229, 1.5251, 2.4287", \
" 0.0923, 0.0928, 0.1027, 0.1323, 0.2248, 0.6229, 1.5251, 2.4287", \
" 0.0923, 0.0928, 0.1027, 0.1323, 0.2248, 0.6229, 1.5251, 2.4287", \
" 0.0923, 0.0928, 0.1027, 0.1323, 0.2248, 0.6229, 1.5251, 2.4287", \
" 0.0923, 0.0928, 0.1027, 0.1323, 0.2248, 0.6229, 1.5251, 2.4287", \
" 0.0923, 0.0928, 0.1027, 0.1323, 0.2248, 0.6229, 1.5251, 2.4287" \
);
}
} /* End of combinational arc of pin FrameData_O[22] wrt pin FrameData[22] */
} /* End of pin FrameData_O[22] */
/* Start of pin FrameData_O[21] */
pin (FrameData_O[21] ) {
direction : output ;
capacitance : 0.0016;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[21] wrt pin FrameData[21] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[21] ";
rise_transition (lut_timing_61 ){
values(\
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7141, 7.7189" \
);
}
fall_transition (lut_timing_61 ){
values(\
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2532" \
);
}
cell_rise (lut_timing_61 ){
values(\
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3200, 1.2294, 3.3248, 5.4023", \
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3200, 1.2294, 3.3248, 5.4023", \
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3200, 1.2294, 3.3248, 5.4023", \
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3200, 1.2294, 3.3248, 5.4023", \
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3200, 1.2294, 3.3248, 5.4023", \
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3200, 1.2294, 3.3248, 5.4023", \
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3200, 1.2294, 3.3248, 5.4023", \
" 0.0633, 0.0646, 0.0768, 0.1226, 0.3200, 1.2294, 3.3248, 5.4023" \
);
}
cell_fall (lut_timing_61 ){
values(\
" 0.0916, 0.0927, 0.1026, 0.1317, 0.2243, 0.6215, 1.5235, 2.4269", \
" 0.0916, 0.0927, 0.1026, 0.1317, 0.2243, 0.6215, 1.5235, 2.4269", \
" 0.0916, 0.0927, 0.1026, 0.1317, 0.2243, 0.6215, 1.5235, 2.4269", \
" 0.0916, 0.0927, 0.1026, 0.1317, 0.2243, 0.6215, 1.5235, 2.4269", \
" 0.0916, 0.0927, 0.1026, 0.1317, 0.2243, 0.6215, 1.5235, 2.4269", \
" 0.0916, 0.0927, 0.1026, 0.1317, 0.2243, 0.6215, 1.5235, 2.4269", \
" 0.0916, 0.0927, 0.1026, 0.1317, 0.2243, 0.6215, 1.5235, 2.4269", \
" 0.0916, 0.0927, 0.1026, 0.1317, 0.2243, 0.6215, 1.5235, 2.4269" \
);
}
} /* End of combinational arc of pin FrameData_O[21] wrt pin FrameData[21] */
/* Start of combinational arc of pin FrameData_O[21] wrt pin FrameData[21] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[21] ";
rise_transition (lut_timing_62 ){
values(\
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6844, 4.7139, 7.7190", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6844, 4.7139, 7.7190", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6844, 4.7139, 7.7190", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6844, 4.7139, 7.7190", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6844, 4.7139, 7.7190", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6844, 4.7139, 7.7190", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6844, 4.7139, 7.7190", \
" 0.0190, 0.0201, 0.0326, 0.0912, 0.3726, 1.6844, 4.7139, 7.7190" \
);
}
fall_transition (lut_timing_62 ){
values(\
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2533", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2533", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2533", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2533", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2533", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2533", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2533", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7103, 1.9817, 3.2533" \
);
}
cell_rise (lut_timing_62 ){
values(\
" 0.0639, 0.0652, 0.0774, 0.1237, 0.3206, 1.2299, 3.3253, 5.4031", \
" 0.0639, 0.0652, 0.0774, 0.1237, 0.3206, 1.2299, 3.3253, 5.4031", \
" 0.0639, 0.0652, 0.0774, 0.1237, 0.3206, 1.2299, 3.3253, 5.4031", \
" 0.0639, 0.0652, 0.0774, 0.1237, 0.3206, 1.2299, 3.3253, 5.4031", \
" 0.0639, 0.0652, 0.0774, 0.1237, 0.3206, 1.2299, 3.3253, 5.4031", \
" 0.0639, 0.0652, 0.0774, 0.1237, 0.3206, 1.2299, 3.3253, 5.4031", \
" 0.0639, 0.0652, 0.0774, 0.1237, 0.3206, 1.2299, 3.3253, 5.4031", \
" 0.0639, 0.0652, 0.0774, 0.1237, 0.3206, 1.2299, 3.3253, 5.4031" \
);
}
cell_fall (lut_timing_62 ){
values(\
" 0.0922, 0.0933, 0.1033, 0.1327, 0.2249, 0.6220, 1.5241, 2.4275", \
" 0.0922, 0.0933, 0.1033, 0.1327, 0.2249, 0.6220, 1.5241, 2.4275", \
" 0.0922, 0.0933, 0.1033, 0.1327, 0.2249, 0.6220, 1.5241, 2.4275", \
" 0.0922, 0.0933, 0.1033, 0.1327, 0.2249, 0.6220, 1.5241, 2.4275", \
" 0.0922, 0.0933, 0.1033, 0.1327, 0.2249, 0.6220, 1.5241, 2.4275", \
" 0.0922, 0.0933, 0.1033, 0.1327, 0.2249, 0.6220, 1.5241, 2.4275", \
" 0.0922, 0.0933, 0.1033, 0.1327, 0.2249, 0.6220, 1.5241, 2.4275", \
" 0.0922, 0.0933, 0.1033, 0.1327, 0.2249, 0.6220, 1.5241, 2.4275" \
);
}
} /* End of combinational arc of pin FrameData_O[21] wrt pin FrameData[21] */
} /* End of pin FrameData_O[21] */
/* Start of pin FrameData_O[20] */
pin (FrameData_O[20] ) {
direction : output ;
capacitance : 0.0009;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[20] wrt pin FrameData[20] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[20] ";
rise_transition (lut_timing_63 ){
values(\
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098", \
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098", \
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098", \
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098", \
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098", \
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098", \
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098", \
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098" \
);
}
fall_transition (lut_timing_63 ){
values(\
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445", \
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445", \
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445", \
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445", \
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445", \
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445", \
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445", \
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445" \
);
}
cell_rise (lut_timing_63 ){
values(\
" 0.0624, 0.0648, 0.0770, 0.1228, 0.3201, 1.2295, 3.3246, 5.4018", \
" 0.0624, 0.0648, 0.0770, 0.1228, 0.3201, 1.2295, 3.3246, 5.4018", \
" 0.0624, 0.0648, 0.0770, 0.1228, 0.3201, 1.2295, 3.3246, 5.4018", \
" 0.0624, 0.0648, 0.0770, 0.1228, 0.3201, 1.2295, 3.3246, 5.4018", \
" 0.0624, 0.0648, 0.0770, 0.1228, 0.3201, 1.2295, 3.3246, 5.4018", \
" 0.0624, 0.0648, 0.0770, 0.1228, 0.3201, 1.2295, 3.3246, 5.4018", \
" 0.0624, 0.0648, 0.0770, 0.1228, 0.3201, 1.2295, 3.3246, 5.4018", \
" 0.0624, 0.0648, 0.0770, 0.1228, 0.3201, 1.2295, 3.3246, 5.4018" \
);
}
cell_fall (lut_timing_63 ){
values(\
" 0.0909, 0.0929, 0.1028, 0.1318, 0.2243, 0.6204, 1.5229, 2.4257", \
" 0.0909, 0.0929, 0.1028, 0.1318, 0.2243, 0.6204, 1.5229, 2.4257", \
" 0.0909, 0.0929, 0.1028, 0.1318, 0.2243, 0.6204, 1.5229, 2.4257", \
" 0.0909, 0.0929, 0.1028, 0.1318, 0.2243, 0.6204, 1.5229, 2.4257", \
" 0.0909, 0.0929, 0.1028, 0.1318, 0.2243, 0.6204, 1.5229, 2.4257", \
" 0.0909, 0.0929, 0.1028, 0.1318, 0.2243, 0.6204, 1.5229, 2.4257", \
" 0.0909, 0.0929, 0.1028, 0.1318, 0.2243, 0.6204, 1.5229, 2.4257", \
" 0.0909, 0.0929, 0.1028, 0.1318, 0.2243, 0.6204, 1.5229, 2.4257" \
);
}
} /* End of combinational arc of pin FrameData_O[20] wrt pin FrameData[20] */
/* Start of combinational arc of pin FrameData_O[20] wrt pin FrameData[20] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[20] ";
rise_transition (lut_timing_64 ){
values(\
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098", \
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098", \
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098", \
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098", \
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098", \
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098", \
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098", \
" 0.0180, 0.0201, 0.0326, 0.0912, 0.3721, 1.6827, 4.7086, 7.7098" \
);
}
fall_transition (lut_timing_64 ){
values(\
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445", \
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445", \
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445", \
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445", \
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445", \
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445", \
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445", \
" 0.0180, 0.0192, 0.0258, 0.0508, 0.1618, 0.7082, 1.9766, 3.2445" \
);
}
cell_rise (lut_timing_64 ){
values(\
" 0.0628, 0.0652, 0.0775, 0.1236, 0.3206, 1.2299, 3.3250, 5.4023", \
" 0.0628, 0.0652, 0.0775, 0.1236, 0.3206, 1.2299, 3.3250, 5.4023", \
" 0.0628, 0.0652, 0.0775, 0.1236, 0.3206, 1.2299, 3.3250, 5.4023", \
" 0.0628, 0.0652, 0.0775, 0.1236, 0.3206, 1.2299, 3.3250, 5.4023", \
" 0.0628, 0.0652, 0.0775, 0.1236, 0.3206, 1.2299, 3.3250, 5.4023", \
" 0.0628, 0.0652, 0.0775, 0.1236, 0.3206, 1.2299, 3.3250, 5.4023", \
" 0.0628, 0.0652, 0.0775, 0.1236, 0.3206, 1.2299, 3.3250, 5.4023", \
" 0.0628, 0.0652, 0.0775, 0.1236, 0.3206, 1.2299, 3.3250, 5.4023" \
);
}
cell_fall (lut_timing_64 ){
values(\
" 0.0913, 0.0934, 0.1033, 0.1327, 0.2247, 0.6208, 1.5233, 2.4261", \
" 0.0913, 0.0934, 0.1033, 0.1327, 0.2247, 0.6208, 1.5233, 2.4261", \
" 0.0913, 0.0934, 0.1033, 0.1327, 0.2247, 0.6208, 1.5233, 2.4261", \
" 0.0913, 0.0934, 0.1033, 0.1327, 0.2247, 0.6208, 1.5233, 2.4261", \
" 0.0913, 0.0934, 0.1033, 0.1327, 0.2247, 0.6208, 1.5233, 2.4261", \
" 0.0913, 0.0934, 0.1033, 0.1327, 0.2247, 0.6208, 1.5233, 2.4261", \
" 0.0913, 0.0934, 0.1033, 0.1327, 0.2247, 0.6208, 1.5233, 2.4261", \
" 0.0913, 0.0934, 0.1033, 0.1327, 0.2247, 0.6208, 1.5233, 2.4261" \
);
}
} /* End of combinational arc of pin FrameData_O[20] wrt pin FrameData[20] */
} /* End of pin FrameData_O[20] */
/* Start of pin FrameData_O[19] */
pin (FrameData_O[19] ) {
direction : output ;
capacitance : 0.0024;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[19] wrt pin FrameData[19] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[19] ";
rise_transition (lut_timing_65 ){
values(\
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6868, 3.2066, 4.7207, 7.7300", \
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6868, 3.2066, 4.7207, 7.7300", \
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6868, 3.2066, 4.7207, 7.7300", \
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6868, 3.2066, 4.7207, 7.7300", \
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6868, 3.2066, 4.7207, 7.7300", \
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6868, 3.2066, 4.7207, 7.7300", \
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6868, 3.2066, 4.7207, 7.7300", \
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6868, 3.2066, 4.7207, 7.7300" \
);
}
fall_transition (lut_timing_65 ){
values(\
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3505, 1.9882, 3.2637", \
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3505, 1.9882, 3.2637", \
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3505, 1.9882, 3.2637", \
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3505, 1.9882, 3.2637", \
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3505, 1.9882, 3.2637", \
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3505, 1.9882, 3.2637", \
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3505, 1.9882, 3.2637", \
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3505, 1.9882, 3.2637" \
);
}
cell_rise (lut_timing_65 ){
values(\
" 0.0646, 0.0767, 0.1226, 0.3202, 1.2297, 2.2789, 3.3252, 5.4031", \
" 0.0646, 0.0767, 0.1226, 0.3202, 1.2297, 2.2789, 3.3252, 5.4031", \
" 0.0646, 0.0767, 0.1226, 0.3202, 1.2297, 2.2789, 3.3252, 5.4031", \
" 0.0646, 0.0767, 0.1226, 0.3202, 1.2297, 2.2789, 3.3252, 5.4031", \
" 0.0646, 0.0767, 0.1226, 0.3202, 1.2297, 2.2789, 3.3252, 5.4031", \
" 0.0646, 0.0767, 0.1226, 0.3202, 1.2297, 2.2789, 3.3252, 5.4031", \
" 0.0646, 0.0767, 0.1226, 0.3202, 1.2297, 2.2789, 3.3252, 5.4031", \
" 0.0646, 0.0767, 0.1226, 0.3202, 1.2297, 2.2789, 3.3252, 5.4031" \
);
}
cell_fall (lut_timing_65 ){
values(\
" 0.0928, 0.1025, 0.1316, 0.2246, 0.6224, 1.0722, 1.5245, 2.4282", \
" 0.0928, 0.1025, 0.1316, 0.2246, 0.6224, 1.0722, 1.5245, 2.4282", \
" 0.0928, 0.1025, 0.1316, 0.2246, 0.6224, 1.0722, 1.5245, 2.4282", \
" 0.0928, 0.1025, 0.1316, 0.2246, 0.6224, 1.0722, 1.5245, 2.4282", \
" 0.0928, 0.1025, 0.1316, 0.2246, 0.6224, 1.0722, 1.5245, 2.4282", \
" 0.0928, 0.1025, 0.1316, 0.2246, 0.6224, 1.0722, 1.5245, 2.4282", \
" 0.0928, 0.1025, 0.1316, 0.2246, 0.6224, 1.0722, 1.5245, 2.4282", \
" 0.0928, 0.1025, 0.1316, 0.2246, 0.6224, 1.0722, 1.5245, 2.4282" \
);
}
} /* End of combinational arc of pin FrameData_O[19] wrt pin FrameData[19] */
/* Start of combinational arc of pin FrameData_O[19] wrt pin FrameData[19] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[19] ";
rise_transition (lut_timing_66 ){
values(\
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6866, 3.2063, 4.7204, 7.7301", \
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6866, 3.2063, 4.7204, 7.7301", \
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6866, 3.2063, 4.7204, 7.7301", \
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6866, 3.2063, 4.7204, 7.7301", \
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6866, 3.2063, 4.7204, 7.7301", \
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6866, 3.2063, 4.7204, 7.7301", \
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6866, 3.2063, 4.7204, 7.7301", \
" 0.0203, 0.0326, 0.0912, 0.3729, 1.6866, 3.2063, 4.7204, 7.7301" \
);
}
fall_transition (lut_timing_66 ){
values(\
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3504, 1.9881, 3.2638", \
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3504, 1.9881, 3.2638", \
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3504, 1.9881, 3.2638", \
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3504, 1.9881, 3.2638", \
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3504, 1.9881, 3.2638", \
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3504, 1.9881, 3.2638", \
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3504, 1.9881, 3.2638", \
" 0.0192, 0.0258, 0.0508, 0.1624, 0.7122, 1.3504, 1.9881, 3.2638" \
);
}
cell_rise (lut_timing_66 ){
values(\
" 0.0653, 0.0774, 0.1238, 0.3208, 1.2302, 2.2794, 3.3257, 5.4041", \
" 0.0653, 0.0774, 0.1238, 0.3208, 1.2302, 2.2794, 3.3257, 5.4041", \
" 0.0653, 0.0774, 0.1238, 0.3208, 1.2302, 2.2794, 3.3257, 5.4041", \
" 0.0653, 0.0774, 0.1238, 0.3208, 1.2302, 2.2794, 3.3257, 5.4041", \
" 0.0653, 0.0774, 0.1238, 0.3208, 1.2302, 2.2794, 3.3257, 5.4041", \
" 0.0653, 0.0774, 0.1238, 0.3208, 1.2302, 2.2794, 3.3257, 5.4041", \
" 0.0653, 0.0774, 0.1238, 0.3208, 1.2302, 2.2794, 3.3257, 5.4041", \
" 0.0653, 0.0774, 0.1238, 0.3208, 1.2302, 2.2794, 3.3257, 5.4041" \
);
}
cell_fall (lut_timing_66 ){
values(\
" 0.0934, 0.1033, 0.1328, 0.2252, 0.6231, 1.0728, 1.5252, 2.4289", \
" 0.0934, 0.1033, 0.1328, 0.2252, 0.6231, 1.0728, 1.5252, 2.4289", \
" 0.0934, 0.1033, 0.1328, 0.2252, 0.6231, 1.0728, 1.5252, 2.4289", \
" 0.0934, 0.1033, 0.1328, 0.2252, 0.6231, 1.0728, 1.5252, 2.4289", \
" 0.0934, 0.1033, 0.1328, 0.2252, 0.6231, 1.0728, 1.5252, 2.4289", \
" 0.0934, 0.1033, 0.1328, 0.2252, 0.6231, 1.0728, 1.5252, 2.4289", \
" 0.0934, 0.1033, 0.1328, 0.2252, 0.6231, 1.0728, 1.5252, 2.4289", \
" 0.0934, 0.1033, 0.1328, 0.2252, 0.6231, 1.0728, 1.5252, 2.4289" \
);
}
} /* End of combinational arc of pin FrameData_O[19] wrt pin FrameData[19] */
} /* End of pin FrameData_O[19] */
/* Start of pin FrameData_O[18] */
pin (FrameData_O[18] ) {
direction : output ;
capacitance : 0.0017;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[18] wrt pin FrameData[18] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[18] ";
rise_transition (lut_timing_67 ){
values(\
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6848, 4.7148, 7.7202", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6848, 4.7148, 7.7202", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6848, 4.7148, 7.7202", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6848, 4.7148, 7.7202", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6848, 4.7148, 7.7202", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6848, 4.7148, 7.7202", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6848, 4.7148, 7.7202", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6848, 4.7148, 7.7202" \
);
}
fall_transition (lut_timing_67 ){
values(\
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7105, 1.9824, 3.2544", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7105, 1.9824, 3.2544", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7105, 1.9824, 3.2544", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7105, 1.9824, 3.2544", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7105, 1.9824, 3.2544", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7105, 1.9824, 3.2544", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7105, 1.9824, 3.2544", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7105, 1.9824, 3.2544" \
);
}
cell_rise (lut_timing_67 ){
values(\
" 0.0636, 0.0647, 0.0769, 0.1227, 0.3202, 1.2296, 3.3249, 5.4025", \
" 0.0636, 0.0647, 0.0769, 0.1227, 0.3202, 1.2296, 3.3249, 5.4025", \
" 0.0636, 0.0647, 0.0769, 0.1227, 0.3202, 1.2296, 3.3249, 5.4025", \
" 0.0636, 0.0647, 0.0769, 0.1227, 0.3202, 1.2296, 3.3249, 5.4025", \
" 0.0636, 0.0647, 0.0769, 0.1227, 0.3202, 1.2296, 3.3249, 5.4025", \
" 0.0636, 0.0647, 0.0769, 0.1227, 0.3202, 1.2296, 3.3249, 5.4025", \
" 0.0636, 0.0647, 0.0769, 0.1227, 0.3202, 1.2296, 3.3249, 5.4025", \
" 0.0636, 0.0647, 0.0769, 0.1227, 0.3202, 1.2296, 3.3249, 5.4025" \
);
}
cell_fall (lut_timing_67 ){
values(\
" 0.0919, 0.0928, 0.1027, 0.1318, 0.2245, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0928, 0.1027, 0.1318, 0.2245, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0928, 0.1027, 0.1318, 0.2245, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0928, 0.1027, 0.1318, 0.2245, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0928, 0.1027, 0.1318, 0.2245, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0928, 0.1027, 0.1318, 0.2245, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0928, 0.1027, 0.1318, 0.2245, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0928, 0.1027, 0.1318, 0.2245, 0.6217, 1.5237, 2.4271" \
);
}
} /* End of combinational arc of pin FrameData_O[18] wrt pin FrameData[18] */
/* Start of combinational arc of pin FrameData_O[18] wrt pin FrameData[18] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[18] ";
rise_transition (lut_timing_68 ){
values(\
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7145, 7.7204", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7145, 7.7204", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7145, 7.7204", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7145, 7.7204", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7145, 7.7204", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7145, 7.7204", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7145, 7.7204", \
" 0.0191, 0.0201, 0.0326, 0.0912, 0.3726, 1.6845, 4.7145, 7.7204" \
);
}
fall_transition (lut_timing_68 ){
values(\
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7104, 1.9824, 3.2545", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7104, 1.9824, 3.2545", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7104, 1.9824, 3.2545", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7104, 1.9824, 3.2545", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7104, 1.9824, 3.2545", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7104, 1.9824, 3.2545", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7104, 1.9824, 3.2545", \
" 0.0186, 0.0192, 0.0258, 0.0508, 0.1621, 0.7104, 1.9824, 3.2545" \
);
}
cell_rise (lut_timing_68 ){
values(\
" 0.0644, 0.0654, 0.0777, 0.1240, 0.3209, 1.2302, 3.3256, 5.4038", \
" 0.0644, 0.0654, 0.0777, 0.1240, 0.3209, 1.2302, 3.3256, 5.4038", \
" 0.0644, 0.0654, 0.0777, 0.1240, 0.3209, 1.2302, 3.3256, 5.4038", \
" 0.0644, 0.0654, 0.0777, 0.1240, 0.3209, 1.2302, 3.3256, 5.4038", \
" 0.0644, 0.0654, 0.0777, 0.1240, 0.3209, 1.2302, 3.3256, 5.4038", \
" 0.0644, 0.0654, 0.0777, 0.1240, 0.3209, 1.2302, 3.3256, 5.4038", \
" 0.0644, 0.0654, 0.0777, 0.1240, 0.3209, 1.2302, 3.3256, 5.4038", \
" 0.0644, 0.0654, 0.0777, 0.1240, 0.3209, 1.2302, 3.3256, 5.4038" \
);
}
cell_fall (lut_timing_68 ){
values(\
" 0.0927, 0.0936, 0.1036, 0.1331, 0.2253, 0.6224, 1.5244, 2.4279", \
" 0.0927, 0.0936, 0.1036, 0.1331, 0.2253, 0.6224, 1.5244, 2.4279", \
" 0.0927, 0.0936, 0.1036, 0.1331, 0.2253, 0.6224, 1.5244, 2.4279", \
" 0.0927, 0.0936, 0.1036, 0.1331, 0.2253, 0.6224, 1.5244, 2.4279", \
" 0.0927, 0.0936, 0.1036, 0.1331, 0.2253, 0.6224, 1.5244, 2.4279", \
" 0.0927, 0.0936, 0.1036, 0.1331, 0.2253, 0.6224, 1.5244, 2.4279", \
" 0.0927, 0.0936, 0.1036, 0.1331, 0.2253, 0.6224, 1.5244, 2.4279", \
" 0.0927, 0.0936, 0.1036, 0.1331, 0.2253, 0.6224, 1.5244, 2.4279" \
);
}
} /* End of combinational arc of pin FrameData_O[18] wrt pin FrameData[18] */
} /* End of pin FrameData_O[18] */
/* Start of pin FrameData_O[17] */
pin (FrameData_O[17] ) {
direction : output ;
capacitance : 0.0012;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[17] wrt pin FrameData[17] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[17] ";
rise_transition (lut_timing_69 ){
values(\
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7144", \
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7144", \
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7144", \
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7144", \
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7144", \
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7144", \
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7144", \
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7144" \
);
}
fall_transition (lut_timing_69 ){
values(\
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2488", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2488", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2488", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2488", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2488", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2488", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2488", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2488" \
);
}
cell_rise (lut_timing_69 ){
values(\
" 0.0629, 0.0647, 0.0769, 0.1227, 0.3201, 1.2294, 3.3247, 5.4021", \
" 0.0629, 0.0647, 0.0769, 0.1227, 0.3201, 1.2294, 3.3247, 5.4021", \
" 0.0629, 0.0647, 0.0769, 0.1227, 0.3201, 1.2294, 3.3247, 5.4021", \
" 0.0629, 0.0647, 0.0769, 0.1227, 0.3201, 1.2294, 3.3247, 5.4021", \
" 0.0629, 0.0647, 0.0769, 0.1227, 0.3201, 1.2294, 3.3247, 5.4021", \
" 0.0629, 0.0647, 0.0769, 0.1227, 0.3201, 1.2294, 3.3247, 5.4021", \
" 0.0629, 0.0647, 0.0769, 0.1227, 0.3201, 1.2294, 3.3247, 5.4021", \
" 0.0629, 0.0647, 0.0769, 0.1227, 0.3201, 1.2294, 3.3247, 5.4021" \
);
}
cell_fall (lut_timing_69 ){
values(\
" 0.0913, 0.0928, 0.1027, 0.1318, 0.2243, 0.6210, 1.5231, 2.4263", \
" 0.0913, 0.0928, 0.1027, 0.1318, 0.2243, 0.6210, 1.5231, 2.4263", \
" 0.0913, 0.0928, 0.1027, 0.1318, 0.2243, 0.6210, 1.5231, 2.4263", \
" 0.0913, 0.0928, 0.1027, 0.1318, 0.2243, 0.6210, 1.5231, 2.4263", \
" 0.0913, 0.0928, 0.1027, 0.1318, 0.2243, 0.6210, 1.5231, 2.4263", \
" 0.0913, 0.0928, 0.1027, 0.1318, 0.2243, 0.6210, 1.5231, 2.4263", \
" 0.0913, 0.0928, 0.1027, 0.1318, 0.2243, 0.6210, 1.5231, 2.4263", \
" 0.0913, 0.0928, 0.1027, 0.1318, 0.2243, 0.6210, 1.5231, 2.4263" \
);
}
} /* End of combinational arc of pin FrameData_O[17] wrt pin FrameData[17] */
/* Start of combinational arc of pin FrameData_O[17] wrt pin FrameData[17] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[17] ";
rise_transition (lut_timing_70 ){
values(\
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6833, 4.7111, 7.7145", \
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6833, 4.7111, 7.7145", \
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6833, 4.7111, 7.7145", \
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6833, 4.7111, 7.7145", \
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6833, 4.7111, 7.7145", \
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6833, 4.7111, 7.7145", \
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6833, 4.7111, 7.7145", \
" 0.0185, 0.0201, 0.0326, 0.0912, 0.3724, 1.6833, 4.7111, 7.7145" \
);
}
fall_transition (lut_timing_70 ){
values(\
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9791, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9791, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9791, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9791, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9791, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9791, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9791, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9791, 3.2490" \
);
}
cell_rise (lut_timing_70 ){
values(\
" 0.0635, 0.0653, 0.0776, 0.1238, 0.3207, 1.2299, 3.3252, 5.4031", \
" 0.0635, 0.0653, 0.0776, 0.1238, 0.3207, 1.2299, 3.3252, 5.4031", \
" 0.0635, 0.0653, 0.0776, 0.1238, 0.3207, 1.2299, 3.3252, 5.4031", \
" 0.0635, 0.0653, 0.0776, 0.1238, 0.3207, 1.2299, 3.3252, 5.4031", \
" 0.0635, 0.0653, 0.0776, 0.1238, 0.3207, 1.2299, 3.3252, 5.4031", \
" 0.0635, 0.0653, 0.0776, 0.1238, 0.3207, 1.2299, 3.3252, 5.4031", \
" 0.0635, 0.0653, 0.0776, 0.1238, 0.3207, 1.2299, 3.3252, 5.4031", \
" 0.0635, 0.0653, 0.0776, 0.1238, 0.3207, 1.2299, 3.3252, 5.4031" \
);
}
cell_fall (lut_timing_70 ){
values(\
" 0.0919, 0.0935, 0.1034, 0.1329, 0.2249, 0.6216, 1.5237, 2.4270", \
" 0.0919, 0.0935, 0.1034, 0.1329, 0.2249, 0.6216, 1.5237, 2.4270", \
" 0.0919, 0.0935, 0.1034, 0.1329, 0.2249, 0.6216, 1.5237, 2.4270", \
" 0.0919, 0.0935, 0.1034, 0.1329, 0.2249, 0.6216, 1.5237, 2.4270", \
" 0.0919, 0.0935, 0.1034, 0.1329, 0.2249, 0.6216, 1.5237, 2.4270", \
" 0.0919, 0.0935, 0.1034, 0.1329, 0.2249, 0.6216, 1.5237, 2.4270", \
" 0.0919, 0.0935, 0.1034, 0.1329, 0.2249, 0.6216, 1.5237, 2.4270", \
" 0.0919, 0.0935, 0.1034, 0.1329, 0.2249, 0.6216, 1.5237, 2.4270" \
);
}
} /* End of combinational arc of pin FrameData_O[17] wrt pin FrameData[17] */
} /* End of pin FrameData_O[17] */
/* Start of pin FrameData_O[16] */
pin (FrameData_O[16] ) {
direction : output ;
capacitance : 0.0011;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[16] wrt pin FrameData[16] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[16] ";
rise_transition (lut_timing_71 ){
values(\
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6830, 4.7098, 7.7118", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6830, 4.7098, 7.7118", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6830, 4.7098, 7.7118", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6830, 4.7098, 7.7118", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6830, 4.7098, 7.7118", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6830, 4.7098, 7.7118", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6830, 4.7098, 7.7118", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6830, 4.7098, 7.7118" \
);
}
fall_transition (lut_timing_71 ){
values(\
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9777, 3.2464", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9777, 3.2464", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9777, 3.2464", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9777, 3.2464", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9777, 3.2464", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9777, 3.2464", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9777, 3.2464", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9777, 3.2464" \
);
}
cell_rise (lut_timing_71 ){
values(\
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3247, 5.4020", \
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3247, 5.4020", \
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3247, 5.4020", \
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3247, 5.4020", \
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3247, 5.4020", \
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3247, 5.4020", \
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3247, 5.4020", \
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3247, 5.4020" \
);
}
cell_fall (lut_timing_71 ){
values(\
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2243, 0.6208, 1.5231, 2.4261", \
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2243, 0.6208, 1.5231, 2.4261", \
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2243, 0.6208, 1.5231, 2.4261", \
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2243, 0.6208, 1.5231, 2.4261", \
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2243, 0.6208, 1.5231, 2.4261", \
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2243, 0.6208, 1.5231, 2.4261", \
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2243, 0.6208, 1.5231, 2.4261", \
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2243, 0.6208, 1.5231, 2.4261" \
);
}
} /* End of combinational arc of pin FrameData_O[16] wrt pin FrameData[16] */
/* Start of combinational arc of pin FrameData_O[16] wrt pin FrameData[16] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[16] ";
rise_transition (lut_timing_72 ){
values(\
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6828, 4.7095, 7.7119", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6828, 4.7095, 7.7119", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6828, 4.7095, 7.7119", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6828, 4.7095, 7.7119", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6828, 4.7095, 7.7119", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6828, 4.7095, 7.7119", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6828, 4.7095, 7.7119", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6828, 4.7095, 7.7119" \
);
}
fall_transition (lut_timing_72 ){
values(\
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9776, 3.2465", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9776, 3.2465", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9776, 3.2465", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9776, 3.2465", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9776, 3.2465", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9776, 3.2465", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9776, 3.2465", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7087, 1.9776, 3.2465" \
);
}
cell_rise (lut_timing_72 ){
values(\
" 0.0633, 0.0654, 0.0777, 0.1238, 0.3208, 1.2299, 3.3252, 5.4031", \
" 0.0633, 0.0654, 0.0777, 0.1238, 0.3208, 1.2299, 3.3252, 5.4031", \
" 0.0633, 0.0654, 0.0777, 0.1238, 0.3208, 1.2299, 3.3252, 5.4031", \
" 0.0633, 0.0654, 0.0777, 0.1238, 0.3208, 1.2299, 3.3252, 5.4031", \
" 0.0633, 0.0654, 0.0777, 0.1238, 0.3208, 1.2299, 3.3252, 5.4031", \
" 0.0633, 0.0654, 0.0777, 0.1238, 0.3208, 1.2299, 3.3252, 5.4031", \
" 0.0633, 0.0654, 0.0777, 0.1238, 0.3208, 1.2299, 3.3252, 5.4031", \
" 0.0633, 0.0654, 0.0777, 0.1238, 0.3208, 1.2299, 3.3252, 5.4031" \
);
}
cell_fall (lut_timing_72 ){
values(\
" 0.0917, 0.0936, 0.1035, 0.1329, 0.2250, 0.6214, 1.5238, 2.4268", \
" 0.0917, 0.0936, 0.1035, 0.1329, 0.2250, 0.6214, 1.5238, 2.4268", \
" 0.0917, 0.0936, 0.1035, 0.1329, 0.2250, 0.6214, 1.5238, 2.4268", \
" 0.0917, 0.0936, 0.1035, 0.1329, 0.2250, 0.6214, 1.5238, 2.4268", \
" 0.0917, 0.0936, 0.1035, 0.1329, 0.2250, 0.6214, 1.5238, 2.4268", \
" 0.0917, 0.0936, 0.1035, 0.1329, 0.2250, 0.6214, 1.5238, 2.4268", \
" 0.0917, 0.0936, 0.1035, 0.1329, 0.2250, 0.6214, 1.5238, 2.4268", \
" 0.0917, 0.0936, 0.1035, 0.1329, 0.2250, 0.6214, 1.5238, 2.4268" \
);
}
} /* End of combinational arc of pin FrameData_O[16] wrt pin FrameData[16] */
} /* End of pin FrameData_O[16] */
/* Start of pin FrameData_O[15] */
pin (FrameData_O[15] ) {
direction : output ;
capacitance : 0.0011;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[15] wrt pin FrameData[15] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[15] ";
rise_transition (lut_timing_73 ){
values(\
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7107, 7.7133", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7107, 7.7133", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7107, 7.7133", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7107, 7.7133", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7107, 7.7133", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7107, 7.7133", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7107, 7.7133", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7107, 7.7133" \
);
}
fall_transition (lut_timing_73 ){
values(\
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2478", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2478", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2478", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2478", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2478", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2478", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2478", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2478" \
);
}
cell_rise (lut_timing_73 ){
values(\
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2295, 3.3248, 5.4021", \
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2295, 3.3248, 5.4021", \
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2295, 3.3248, 5.4021", \
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2295, 3.3248, 5.4021", \
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2295, 3.3248, 5.4021", \
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2295, 3.3248, 5.4021", \
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2295, 3.3248, 5.4021", \
" 0.0626, 0.0648, 0.0770, 0.1228, 0.3202, 1.2295, 3.3248, 5.4021" \
);
}
cell_fall (lut_timing_73 ){
values(\
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0911, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263" \
);
}
} /* End of combinational arc of pin FrameData_O[15] wrt pin FrameData[15] */
/* Start of combinational arc of pin FrameData_O[15] wrt pin FrameData[15] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[15] ";
rise_transition (lut_timing_74 ){
values(\
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7105, 7.7134", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7105, 7.7134", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7105, 7.7134", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7105, 7.7134", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7105, 7.7134", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7105, 7.7134", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7105, 7.7134", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7105, 7.7134" \
);
}
fall_transition (lut_timing_74 ){
values(\
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2479", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2479", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2479", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2479", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2479", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2479", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2479", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2479" \
);
}
cell_rise (lut_timing_74 ){
values(\
" 0.0632, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4029", \
" 0.0632, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4029", \
" 0.0632, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4029", \
" 0.0632, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4029", \
" 0.0632, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4029", \
" 0.0632, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4029", \
" 0.0632, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4029", \
" 0.0632, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4029" \
);
}
cell_fall (lut_timing_74 ){
values(\
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2249, 0.6215, 1.5238, 2.4268", \
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2249, 0.6215, 1.5238, 2.4268", \
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2249, 0.6215, 1.5238, 2.4268", \
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2249, 0.6215, 1.5238, 2.4268", \
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2249, 0.6215, 1.5238, 2.4268", \
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2249, 0.6215, 1.5238, 2.4268", \
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2249, 0.6215, 1.5238, 2.4268", \
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2249, 0.6215, 1.5238, 2.4268" \
);
}
} /* End of combinational arc of pin FrameData_O[15] wrt pin FrameData[15] */
} /* End of pin FrameData_O[15] */
/* Start of pin FrameData_O[14] */
pin (FrameData_O[14] ) {
direction : output ;
capacitance : 0.0014;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[14] wrt pin FrameData[14] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[14] ";
rise_transition (lut_timing_75 ){
values(\
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6850, 4.7155, 7.7214", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6850, 4.7155, 7.7214", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6850, 4.7155, 7.7214", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6850, 4.7155, 7.7214", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6850, 4.7155, 7.7214", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6850, 4.7155, 7.7214", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6850, 4.7155, 7.7214", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6850, 4.7155, 7.7214" \
);
}
fall_transition (lut_timing_75 ){
values(\
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2555", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2555", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2555", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2555", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2555", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2555", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2555", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2555" \
);
}
cell_rise (lut_timing_75 ){
values(\
" 0.0633, 0.0648, 0.0770, 0.1228, 0.3203, 1.2297, 3.3251, 5.4027", \
" 0.0633, 0.0648, 0.0770, 0.1228, 0.3203, 1.2297, 3.3251, 5.4027", \
" 0.0633, 0.0648, 0.0770, 0.1228, 0.3203, 1.2297, 3.3251, 5.4027", \
" 0.0633, 0.0648, 0.0770, 0.1228, 0.3203, 1.2297, 3.3251, 5.4027", \
" 0.0633, 0.0648, 0.0770, 0.1228, 0.3203, 1.2297, 3.3251, 5.4027", \
" 0.0633, 0.0648, 0.0770, 0.1228, 0.3203, 1.2297, 3.3251, 5.4027", \
" 0.0633, 0.0648, 0.0770, 0.1228, 0.3203, 1.2297, 3.3251, 5.4027", \
" 0.0633, 0.0648, 0.0770, 0.1228, 0.3203, 1.2297, 3.3251, 5.4027" \
);
}
cell_fall (lut_timing_75 ){
values(\
" 0.0917, 0.0929, 0.1028, 0.1319, 0.2246, 0.6219, 1.5239, 2.4274", \
" 0.0917, 0.0929, 0.1028, 0.1319, 0.2246, 0.6219, 1.5239, 2.4274", \
" 0.0917, 0.0929, 0.1028, 0.1319, 0.2246, 0.6219, 1.5239, 2.4274", \
" 0.0917, 0.0929, 0.1028, 0.1319, 0.2246, 0.6219, 1.5239, 2.4274", \
" 0.0917, 0.0929, 0.1028, 0.1319, 0.2246, 0.6219, 1.5239, 2.4274", \
" 0.0917, 0.0929, 0.1028, 0.1319, 0.2246, 0.6219, 1.5239, 2.4274", \
" 0.0917, 0.0929, 0.1028, 0.1319, 0.2246, 0.6219, 1.5239, 2.4274", \
" 0.0917, 0.0929, 0.1028, 0.1319, 0.2246, 0.6219, 1.5239, 2.4274" \
);
}
} /* End of combinational arc of pin FrameData_O[14] wrt pin FrameData[14] */
/* Start of combinational arc of pin FrameData_O[14] wrt pin FrameData[14] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[14] ";
rise_transition (lut_timing_76 ){
values(\
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7154, 7.7215", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7154, 7.7215", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7154, 7.7215", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7154, 7.7215", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7154, 7.7215", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7154, 7.7215", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7154, 7.7215", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7154, 7.7215" \
);
}
fall_transition (lut_timing_76 ){
values(\
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2556", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2556", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2556", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2556", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2556", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2556", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2556", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1621, 0.7107, 1.9831, 3.2556" \
);
}
cell_rise (lut_timing_76 ){
values(\
" 0.0638, 0.0652, 0.0775, 0.1238, 0.3208, 1.2301, 3.3255, 5.4034", \
" 0.0638, 0.0652, 0.0775, 0.1238, 0.3208, 1.2301, 3.3255, 5.4034", \
" 0.0638, 0.0652, 0.0775, 0.1238, 0.3208, 1.2301, 3.3255, 5.4034", \
" 0.0638, 0.0652, 0.0775, 0.1238, 0.3208, 1.2301, 3.3255, 5.4034", \
" 0.0638, 0.0652, 0.0775, 0.1238, 0.3208, 1.2301, 3.3255, 5.4034", \
" 0.0638, 0.0652, 0.0775, 0.1238, 0.3208, 1.2301, 3.3255, 5.4034", \
" 0.0638, 0.0652, 0.0775, 0.1238, 0.3208, 1.2301, 3.3255, 5.4034", \
" 0.0638, 0.0652, 0.0775, 0.1238, 0.3208, 1.2301, 3.3255, 5.4034" \
);
}
cell_fall (lut_timing_76 ){
values(\
" 0.0921, 0.0934, 0.1034, 0.1329, 0.2251, 0.6224, 1.5244, 2.4279", \
" 0.0921, 0.0934, 0.1034, 0.1329, 0.2251, 0.6224, 1.5244, 2.4279", \
" 0.0921, 0.0934, 0.1034, 0.1329, 0.2251, 0.6224, 1.5244, 2.4279", \
" 0.0921, 0.0934, 0.1034, 0.1329, 0.2251, 0.6224, 1.5244, 2.4279", \
" 0.0921, 0.0934, 0.1034, 0.1329, 0.2251, 0.6224, 1.5244, 2.4279", \
" 0.0921, 0.0934, 0.1034, 0.1329, 0.2251, 0.6224, 1.5244, 2.4279", \
" 0.0921, 0.0934, 0.1034, 0.1329, 0.2251, 0.6224, 1.5244, 2.4279", \
" 0.0921, 0.0934, 0.1034, 0.1329, 0.2251, 0.6224, 1.5244, 2.4279" \
);
}
} /* End of combinational arc of pin FrameData_O[14] wrt pin FrameData[14] */
} /* End of pin FrameData_O[14] */
/* Start of pin FrameData_O[13] */
pin (FrameData_O[13] ) {
direction : output ;
capacitance : 0.0012;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[13] wrt pin FrameData[13] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[13] ";
rise_transition (lut_timing_77 ){
values(\
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7116, 7.7148", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7116, 7.7148", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7116, 7.7148", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7116, 7.7148", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7116, 7.7148", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7116, 7.7148", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7116, 7.7148", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7116, 7.7148" \
);
}
fall_transition (lut_timing_77 ){
values(\
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7093, 1.9794, 3.2493", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7093, 1.9794, 3.2493", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7093, 1.9794, 3.2493", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7093, 1.9794, 3.2493", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7093, 1.9794, 3.2493", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7093, 1.9794, 3.2493", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7093, 1.9794, 3.2493", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7093, 1.9794, 3.2493" \
);
}
cell_rise (lut_timing_77 ){
values(\
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4023", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4023", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4023", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4023", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4023", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4023", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4023", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4023" \
);
}
cell_fall (lut_timing_77 ){
values(\
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6212, 1.5234, 2.4266", \
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6212, 1.5234, 2.4266", \
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6212, 1.5234, 2.4266", \
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6212, 1.5234, 2.4266", \
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6212, 1.5234, 2.4266", \
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6212, 1.5234, 2.4266", \
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6212, 1.5234, 2.4266", \
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6212, 1.5234, 2.4266" \
);
}
} /* End of combinational arc of pin FrameData_O[13] wrt pin FrameData[13] */
/* Start of combinational arc of pin FrameData_O[13] wrt pin FrameData[13] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[13] ";
rise_transition (lut_timing_78 ){
values(\
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6834, 4.7113, 7.7149", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6834, 4.7113, 7.7149", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6834, 4.7113, 7.7149", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6834, 4.7113, 7.7149", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6834, 4.7113, 7.7149", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6834, 4.7113, 7.7149", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6834, 4.7113, 7.7149", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3724, 1.6834, 4.7113, 7.7149" \
);
}
fall_transition (lut_timing_78 ){
values(\
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7092, 1.9794, 3.2494", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7092, 1.9794, 3.2494", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7092, 1.9794, 3.2494", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7092, 1.9794, 3.2494", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7092, 1.9794, 3.2494", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7092, 1.9794, 3.2494", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7092, 1.9794, 3.2494", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1620, 0.7092, 1.9794, 3.2494" \
);
}
cell_rise (lut_timing_78 ){
values(\
" 0.0634, 0.0654, 0.0777, 0.1239, 0.3208, 1.2300, 3.3253, 5.4032", \
" 0.0634, 0.0654, 0.0777, 0.1239, 0.3208, 1.2300, 3.3253, 5.4032", \
" 0.0634, 0.0654, 0.0777, 0.1239, 0.3208, 1.2300, 3.3253, 5.4032", \
" 0.0634, 0.0654, 0.0777, 0.1239, 0.3208, 1.2300, 3.3253, 5.4032", \
" 0.0634, 0.0654, 0.0777, 0.1239, 0.3208, 1.2300, 3.3253, 5.4032", \
" 0.0634, 0.0654, 0.0777, 0.1239, 0.3208, 1.2300, 3.3253, 5.4032", \
" 0.0634, 0.0654, 0.0777, 0.1239, 0.3208, 1.2300, 3.3253, 5.4032", \
" 0.0634, 0.0654, 0.0777, 0.1239, 0.3208, 1.2300, 3.3253, 5.4032" \
);
}
cell_fall (lut_timing_78 ){
values(\
" 0.0919, 0.0936, 0.1035, 0.1329, 0.2250, 0.6218, 1.5239, 2.4272", \
" 0.0919, 0.0936, 0.1035, 0.1329, 0.2250, 0.6218, 1.5239, 2.4272", \
" 0.0919, 0.0936, 0.1035, 0.1329, 0.2250, 0.6218, 1.5239, 2.4272", \
" 0.0919, 0.0936, 0.1035, 0.1329, 0.2250, 0.6218, 1.5239, 2.4272", \
" 0.0919, 0.0936, 0.1035, 0.1329, 0.2250, 0.6218, 1.5239, 2.4272", \
" 0.0919, 0.0936, 0.1035, 0.1329, 0.2250, 0.6218, 1.5239, 2.4272", \
" 0.0919, 0.0936, 0.1035, 0.1329, 0.2250, 0.6218, 1.5239, 2.4272", \
" 0.0919, 0.0936, 0.1035, 0.1329, 0.2250, 0.6218, 1.5239, 2.4272" \
);
}
} /* End of combinational arc of pin FrameData_O[13] wrt pin FrameData[13] */
} /* End of pin FrameData_O[13] */
/* Start of pin FrameData_O[12] */
pin (FrameData_O[12] ) {
direction : output ;
capacitance : 0.0010;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[12] wrt pin FrameData[12] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[12] ";
rise_transition (lut_timing_79 ){
values(\
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131" \
);
}
fall_transition (lut_timing_79 ){
values(\
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2476", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2476", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2476", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2476", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2476", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2476", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2476", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2476" \
);
}
cell_rise (lut_timing_79 ){
values(\
" 0.0630, 0.0652, 0.0774, 0.1232, 0.3206, 1.2298, 3.3252, 5.4025", \
" 0.0630, 0.0652, 0.0774, 0.1232, 0.3206, 1.2298, 3.3252, 5.4025", \
" 0.0630, 0.0652, 0.0774, 0.1232, 0.3206, 1.2298, 3.3252, 5.4025", \
" 0.0630, 0.0652, 0.0774, 0.1232, 0.3206, 1.2298, 3.3252, 5.4025", \
" 0.0630, 0.0652, 0.0774, 0.1232, 0.3206, 1.2298, 3.3252, 5.4025", \
" 0.0630, 0.0652, 0.0774, 0.1232, 0.3206, 1.2298, 3.3252, 5.4025", \
" 0.0630, 0.0652, 0.0774, 0.1232, 0.3206, 1.2298, 3.3252, 5.4025", \
" 0.0630, 0.0652, 0.0774, 0.1232, 0.3206, 1.2298, 3.3252, 5.4025" \
);
}
cell_fall (lut_timing_79 ){
values(\
" 0.0915, 0.0933, 0.1032, 0.1322, 0.2248, 0.6213, 1.5236, 2.4266", \
" 0.0915, 0.0933, 0.1032, 0.1322, 0.2248, 0.6213, 1.5236, 2.4266", \
" 0.0915, 0.0933, 0.1032, 0.1322, 0.2248, 0.6213, 1.5236, 2.4266", \
" 0.0915, 0.0933, 0.1032, 0.1322, 0.2248, 0.6213, 1.5236, 2.4266", \
" 0.0915, 0.0933, 0.1032, 0.1322, 0.2248, 0.6213, 1.5236, 2.4266", \
" 0.0915, 0.0933, 0.1032, 0.1322, 0.2248, 0.6213, 1.5236, 2.4266", \
" 0.0915, 0.0933, 0.1032, 0.1322, 0.2248, 0.6213, 1.5236, 2.4266", \
" 0.0915, 0.0933, 0.1032, 0.1322, 0.2248, 0.6213, 1.5236, 2.4266" \
);
}
} /* End of combinational arc of pin FrameData_O[12] wrt pin FrameData[12] */
/* Start of combinational arc of pin FrameData_O[12] wrt pin FrameData[12] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[12] ";
rise_transition (lut_timing_80 ){
values(\
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7103, 7.7132", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7103, 7.7132", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7103, 7.7132", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7103, 7.7132", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7103, 7.7132", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7103, 7.7132", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7103, 7.7132", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3722, 1.6831, 4.7103, 7.7132" \
);
}
fall_transition (lut_timing_80 ){
values(\
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2477", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2477", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2477", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2477", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2477", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2477", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2477", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2477" \
);
}
cell_rise (lut_timing_80 ){
values(\
" 0.0636, 0.0658, 0.0781, 0.1242, 0.3212, 1.2303, 3.3257, 5.4034", \
" 0.0636, 0.0658, 0.0781, 0.1242, 0.3212, 1.2303, 3.3257, 5.4034", \
" 0.0636, 0.0658, 0.0781, 0.1242, 0.3212, 1.2303, 3.3257, 5.4034", \
" 0.0636, 0.0658, 0.0781, 0.1242, 0.3212, 1.2303, 3.3257, 5.4034", \
" 0.0636, 0.0658, 0.0781, 0.1242, 0.3212, 1.2303, 3.3257, 5.4034", \
" 0.0636, 0.0658, 0.0781, 0.1242, 0.3212, 1.2303, 3.3257, 5.4034", \
" 0.0636, 0.0658, 0.0781, 0.1242, 0.3212, 1.2303, 3.3257, 5.4034", \
" 0.0636, 0.0658, 0.0781, 0.1242, 0.3212, 1.2303, 3.3257, 5.4034" \
);
}
cell_fall (lut_timing_80 ){
values(\
" 0.0921, 0.0940, 0.1039, 0.1333, 0.2254, 0.6219, 1.5243, 2.4273", \
" 0.0921, 0.0940, 0.1039, 0.1333, 0.2254, 0.6219, 1.5243, 2.4273", \
" 0.0921, 0.0940, 0.1039, 0.1333, 0.2254, 0.6219, 1.5243, 2.4273", \
" 0.0921, 0.0940, 0.1039, 0.1333, 0.2254, 0.6219, 1.5243, 2.4273", \
" 0.0921, 0.0940, 0.1039, 0.1333, 0.2254, 0.6219, 1.5243, 2.4273", \
" 0.0921, 0.0940, 0.1039, 0.1333, 0.2254, 0.6219, 1.5243, 2.4273", \
" 0.0921, 0.0940, 0.1039, 0.1333, 0.2254, 0.6219, 1.5243, 2.4273", \
" 0.0921, 0.0940, 0.1039, 0.1333, 0.2254, 0.6219, 1.5243, 2.4273" \
);
}
} /* End of combinational arc of pin FrameData_O[12] wrt pin FrameData[12] */
} /* End of pin FrameData_O[12] */
/* Start of pin FrameData_O[11] */
pin (FrameData_O[11] ) {
direction : output ;
capacitance : 0.0080;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[11] wrt pin FrameData[11] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[11] ";
rise_transition (lut_timing_81 ){
values(\
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513", \
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513", \
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513", \
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513", \
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513", \
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513", \
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513", \
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513" \
);
}
fall_transition (lut_timing_81 ){
values(\
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818", \
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818", \
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818", \
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818", \
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818", \
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818", \
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818", \
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818" \
);
}
cell_rise (lut_timing_81 ){
values(\
" 0.0724, 0.0762, 0.1222, 0.3215, 1.2322, 2.2824, 3.3300, 5.4117", \
" 0.0724, 0.0762, 0.1222, 0.3215, 1.2322, 2.2824, 3.3300, 5.4117", \
" 0.0724, 0.0762, 0.1222, 0.3215, 1.2322, 2.2824, 3.3300, 5.4117", \
" 0.0724, 0.0762, 0.1222, 0.3215, 1.2322, 2.2824, 3.3300, 5.4117", \
" 0.0724, 0.0762, 0.1222, 0.3215, 1.2322, 2.2824, 3.3300, 5.4117", \
" 0.0724, 0.0762, 0.1222, 0.3215, 1.2322, 2.2824, 3.3300, 5.4117", \
" 0.0724, 0.0762, 0.1222, 0.3215, 1.2322, 2.2824, 3.3300, 5.4117", \
" 0.0724, 0.0762, 0.1222, 0.3215, 1.2322, 2.2824, 3.3300, 5.4117" \
);
}
cell_fall (lut_timing_81 ){
values(\
" 0.0991, 0.1020, 0.1312, 0.2264, 0.6300, 1.0806, 1.5338, 2.4395", \
" 0.0991, 0.1020, 0.1312, 0.2264, 0.6300, 1.0806, 1.5338, 2.4395", \
" 0.0991, 0.1020, 0.1312, 0.2264, 0.6300, 1.0806, 1.5338, 2.4395", \
" 0.0991, 0.1020, 0.1312, 0.2264, 0.6300, 1.0806, 1.5338, 2.4395", \
" 0.0991, 0.1020, 0.1312, 0.2264, 0.6300, 1.0806, 1.5338, 2.4395", \
" 0.0991, 0.1020, 0.1312, 0.2264, 0.6300, 1.0806, 1.5338, 2.4395", \
" 0.0991, 0.1020, 0.1312, 0.2264, 0.6300, 1.0806, 1.5338, 2.4395", \
" 0.0991, 0.1020, 0.1312, 0.2264, 0.6300, 1.0806, 1.5338, 2.4395" \
);
}
} /* End of combinational arc of pin FrameData_O[11] wrt pin FrameData[11] */
/* Start of combinational arc of pin FrameData_O[11] wrt pin FrameData[11] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[11] ";
rise_transition (lut_timing_82 ){
values(\
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513", \
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513", \
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513", \
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513", \
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513", \
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513", \
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513", \
" 0.0286, 0.0326, 0.0914, 0.3773, 1.7120, 3.2544, 4.7923, 7.8513" \
);
}
fall_transition (lut_timing_82 ){
values(\
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818", \
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818", \
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818", \
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818", \
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818", \
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818", \
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818", \
" 0.0237, 0.0258, 0.0515, 0.1659, 0.7346, 1.3964, 2.0594, 3.3818" \
);
}
cell_rise (lut_timing_82 ){
values(\
" 0.0728, 0.0766, 0.1224, 0.3217, 1.2324, 2.2826, 3.3302, 5.4119", \
" 0.0728, 0.0766, 0.1224, 0.3217, 1.2324, 2.2826, 3.3302, 5.4119", \
" 0.0728, 0.0766, 0.1224, 0.3217, 1.2324, 2.2826, 3.3302, 5.4119", \
" 0.0728, 0.0766, 0.1224, 0.3217, 1.2324, 2.2826, 3.3302, 5.4119", \
" 0.0728, 0.0766, 0.1224, 0.3217, 1.2324, 2.2826, 3.3302, 5.4119", \
" 0.0728, 0.0766, 0.1224, 0.3217, 1.2324, 2.2826, 3.3302, 5.4119", \
" 0.0728, 0.0766, 0.1224, 0.3217, 1.2324, 2.2826, 3.3302, 5.4119", \
" 0.0728, 0.0766, 0.1224, 0.3217, 1.2324, 2.2826, 3.3302, 5.4119" \
);
}
cell_fall (lut_timing_82 ){
values(\
" 0.0995, 0.1025, 0.1314, 0.2266, 0.6302, 1.0808, 1.5340, 2.4397", \
" 0.0995, 0.1025, 0.1314, 0.2266, 0.6302, 1.0808, 1.5340, 2.4397", \
" 0.0995, 0.1025, 0.1314, 0.2266, 0.6302, 1.0808, 1.5340, 2.4397", \
" 0.0995, 0.1025, 0.1314, 0.2266, 0.6302, 1.0808, 1.5340, 2.4397", \
" 0.0995, 0.1025, 0.1314, 0.2266, 0.6302, 1.0808, 1.5340, 2.4397", \
" 0.0995, 0.1025, 0.1314, 0.2266, 0.6302, 1.0808, 1.5340, 2.4397", \
" 0.0995, 0.1025, 0.1314, 0.2266, 0.6302, 1.0808, 1.5340, 2.4397", \
" 0.0995, 0.1025, 0.1314, 0.2266, 0.6302, 1.0808, 1.5340, 2.4397" \
);
}
} /* End of combinational arc of pin FrameData_O[11] wrt pin FrameData[11] */
} /* End of pin FrameData_O[11] */
/* Start of pin FrameData_O[10] */
pin (FrameData_O[10] ) {
direction : output ;
capacitance : 0.0017;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[10] wrt pin FrameData[10] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[10] ";
rise_transition (lut_timing_83 ){
values(\
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207", \
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207", \
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207", \
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207", \
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207", \
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207", \
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207", \
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207" \
);
}
fall_transition (lut_timing_83 ){
values(\
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549" \
);
}
cell_rise (lut_timing_83 ){
values(\
" 0.0628, 0.0639, 0.0761, 0.1219, 0.3194, 1.2288, 3.3242, 5.4018", \
" 0.0628, 0.0639, 0.0761, 0.1219, 0.3194, 1.2288, 3.3242, 5.4018", \
" 0.0628, 0.0639, 0.0761, 0.1219, 0.3194, 1.2288, 3.3242, 5.4018", \
" 0.0628, 0.0639, 0.0761, 0.1219, 0.3194, 1.2288, 3.3242, 5.4018", \
" 0.0628, 0.0639, 0.0761, 0.1219, 0.3194, 1.2288, 3.3242, 5.4018", \
" 0.0628, 0.0639, 0.0761, 0.1219, 0.3194, 1.2288, 3.3242, 5.4018", \
" 0.0628, 0.0639, 0.0761, 0.1219, 0.3194, 1.2288, 3.3242, 5.4018", \
" 0.0628, 0.0639, 0.0761, 0.1219, 0.3194, 1.2288, 3.3242, 5.4018" \
);
}
cell_fall (lut_timing_83 ){
values(\
" 0.0911, 0.0920, 0.1019, 0.1310, 0.2237, 0.6209, 1.5230, 2.4264", \
" 0.0911, 0.0920, 0.1019, 0.1310, 0.2237, 0.6209, 1.5230, 2.4264", \
" 0.0911, 0.0920, 0.1019, 0.1310, 0.2237, 0.6209, 1.5230, 2.4264", \
" 0.0911, 0.0920, 0.1019, 0.1310, 0.2237, 0.6209, 1.5230, 2.4264", \
" 0.0911, 0.0920, 0.1019, 0.1310, 0.2237, 0.6209, 1.5230, 2.4264", \
" 0.0911, 0.0920, 0.1019, 0.1310, 0.2237, 0.6209, 1.5230, 2.4264", \
" 0.0911, 0.0920, 0.1019, 0.1310, 0.2237, 0.6209, 1.5230, 2.4264", \
" 0.0911, 0.0920, 0.1019, 0.1310, 0.2237, 0.6209, 1.5230, 2.4264" \
);
}
} /* End of combinational arc of pin FrameData_O[10] wrt pin FrameData[10] */
/* Start of combinational arc of pin FrameData_O[10] wrt pin FrameData[10] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[10] ";
rise_transition (lut_timing_84 ){
values(\
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207", \
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207", \
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207", \
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207", \
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207", \
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207", \
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207", \
" 0.0192, 0.0201, 0.0326, 0.0912, 0.3726, 1.6849, 4.7152, 7.7207" \
);
}
fall_transition (lut_timing_84 ){
values(\
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549", \
" 0.0187, 0.0192, 0.0258, 0.0508, 0.1621, 0.7106, 1.9828, 3.2549" \
);
}
cell_rise (lut_timing_84 ){
values(\
" 0.0633, 0.0644, 0.0767, 0.1229, 0.3199, 1.2293, 3.3247, 5.4023", \
" 0.0633, 0.0644, 0.0767, 0.1229, 0.3199, 1.2293, 3.3247, 5.4023", \
" 0.0633, 0.0644, 0.0767, 0.1229, 0.3199, 1.2293, 3.3247, 5.4023", \
" 0.0633, 0.0644, 0.0767, 0.1229, 0.3199, 1.2293, 3.3247, 5.4023", \
" 0.0633, 0.0644, 0.0767, 0.1229, 0.3199, 1.2293, 3.3247, 5.4023", \
" 0.0633, 0.0644, 0.0767, 0.1229, 0.3199, 1.2293, 3.3247, 5.4023", \
" 0.0633, 0.0644, 0.0767, 0.1229, 0.3199, 1.2293, 3.3247, 5.4023", \
" 0.0633, 0.0644, 0.0767, 0.1229, 0.3199, 1.2293, 3.3247, 5.4023" \
);
}
cell_fall (lut_timing_84 ){
values(\
" 0.0916, 0.0926, 0.1025, 0.1320, 0.2242, 0.6214, 1.5235, 2.4269", \
" 0.0916, 0.0926, 0.1025, 0.1320, 0.2242, 0.6214, 1.5235, 2.4269", \
" 0.0916, 0.0926, 0.1025, 0.1320, 0.2242, 0.6214, 1.5235, 2.4269", \
" 0.0916, 0.0926, 0.1025, 0.1320, 0.2242, 0.6214, 1.5235, 2.4269", \
" 0.0916, 0.0926, 0.1025, 0.1320, 0.2242, 0.6214, 1.5235, 2.4269", \
" 0.0916, 0.0926, 0.1025, 0.1320, 0.2242, 0.6214, 1.5235, 2.4269", \
" 0.0916, 0.0926, 0.1025, 0.1320, 0.2242, 0.6214, 1.5235, 2.4269", \
" 0.0916, 0.0926, 0.1025, 0.1320, 0.2242, 0.6214, 1.5235, 2.4269" \
);
}
} /* End of combinational arc of pin FrameData_O[10] wrt pin FrameData[10] */
} /* End of pin FrameData_O[10] */
/* Start of pin FrameData_O[9] */
pin (FrameData_O[9] ) {
direction : output ;
capacitance : 0.0010;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[9] wrt pin FrameData[9] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[9] ";
rise_transition (lut_timing_85 ){
values(\
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110", \
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110", \
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110", \
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110", \
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110", \
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110", \
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110", \
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110" \
);
}
fall_transition (lut_timing_85 ){
values(\
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456" \
);
}
cell_rise (lut_timing_85 ){
values(\
" 0.0623, 0.0646, 0.0768, 0.1226, 0.3200, 1.2293, 3.3245, 5.4017", \
" 0.0623, 0.0646, 0.0768, 0.1226, 0.3200, 1.2293, 3.3245, 5.4017", \
" 0.0623, 0.0646, 0.0768, 0.1226, 0.3200, 1.2293, 3.3245, 5.4017", \
" 0.0623, 0.0646, 0.0768, 0.1226, 0.3200, 1.2293, 3.3245, 5.4017", \
" 0.0623, 0.0646, 0.0768, 0.1226, 0.3200, 1.2293, 3.3245, 5.4017", \
" 0.0623, 0.0646, 0.0768, 0.1226, 0.3200, 1.2293, 3.3245, 5.4017", \
" 0.0623, 0.0646, 0.0768, 0.1226, 0.3200, 1.2293, 3.3245, 5.4017", \
" 0.0623, 0.0646, 0.0768, 0.1226, 0.3200, 1.2293, 3.3245, 5.4017" \
);
}
cell_fall (lut_timing_85 ){
values(\
" 0.0907, 0.0927, 0.1026, 0.1316, 0.2241, 0.6205, 1.5228, 2.4257", \
" 0.0907, 0.0927, 0.1026, 0.1316, 0.2241, 0.6205, 1.5228, 2.4257", \
" 0.0907, 0.0927, 0.1026, 0.1316, 0.2241, 0.6205, 1.5228, 2.4257", \
" 0.0907, 0.0927, 0.1026, 0.1316, 0.2241, 0.6205, 1.5228, 2.4257", \
" 0.0907, 0.0927, 0.1026, 0.1316, 0.2241, 0.6205, 1.5228, 2.4257", \
" 0.0907, 0.0927, 0.1026, 0.1316, 0.2241, 0.6205, 1.5228, 2.4257", \
" 0.0907, 0.0927, 0.1026, 0.1316, 0.2241, 0.6205, 1.5228, 2.4257", \
" 0.0907, 0.0927, 0.1026, 0.1316, 0.2241, 0.6205, 1.5228, 2.4257" \
);
}
} /* End of combinational arc of pin FrameData_O[9] wrt pin FrameData[9] */
/* Start of combinational arc of pin FrameData_O[9] wrt pin FrameData[9] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[9] ";
rise_transition (lut_timing_86 ){
values(\
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110", \
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110", \
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110", \
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110", \
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110", \
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110", \
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110", \
" 0.0181, 0.0201, 0.0326, 0.0912, 0.3721, 1.6829, 4.7093, 7.7110" \
);
}
fall_transition (lut_timing_86 ){
values(\
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7086, 1.9772, 3.2456" \
);
}
cell_rise (lut_timing_86 ){
values(\
" 0.0623, 0.0646, 0.0769, 0.1230, 0.3200, 1.2293, 3.3245, 5.4017", \
" 0.0623, 0.0646, 0.0769, 0.1230, 0.3200, 1.2293, 3.3245, 5.4017", \
" 0.0623, 0.0646, 0.0769, 0.1230, 0.3200, 1.2293, 3.3245, 5.4017", \
" 0.0623, 0.0646, 0.0769, 0.1230, 0.3200, 1.2293, 3.3245, 5.4017", \
" 0.0623, 0.0646, 0.0769, 0.1230, 0.3200, 1.2293, 3.3245, 5.4017", \
" 0.0623, 0.0646, 0.0769, 0.1230, 0.3200, 1.2293, 3.3245, 5.4017", \
" 0.0623, 0.0646, 0.0769, 0.1230, 0.3200, 1.2293, 3.3245, 5.4017", \
" 0.0623, 0.0646, 0.0769, 0.1230, 0.3200, 1.2293, 3.3245, 5.4017" \
);
}
cell_fall (lut_timing_86 ){
values(\
" 0.0907, 0.0928, 0.1027, 0.1321, 0.2241, 0.6205, 1.5228, 2.4257", \
" 0.0907, 0.0928, 0.1027, 0.1321, 0.2241, 0.6205, 1.5228, 2.4257", \
" 0.0907, 0.0928, 0.1027, 0.1321, 0.2241, 0.6205, 1.5228, 2.4257", \
" 0.0907, 0.0928, 0.1027, 0.1321, 0.2241, 0.6205, 1.5228, 2.4257", \
" 0.0907, 0.0928, 0.1027, 0.1321, 0.2241, 0.6205, 1.5228, 2.4257", \
" 0.0907, 0.0928, 0.1027, 0.1321, 0.2241, 0.6205, 1.5228, 2.4257", \
" 0.0907, 0.0928, 0.1027, 0.1321, 0.2241, 0.6205, 1.5228, 2.4257", \
" 0.0907, 0.0928, 0.1027, 0.1321, 0.2241, 0.6205, 1.5228, 2.4257" \
);
}
} /* End of combinational arc of pin FrameData_O[9] wrt pin FrameData[9] */
} /* End of pin FrameData_O[9] */
/* Start of pin FrameData_O[8] */
pin (FrameData_O[8] ) {
direction : output ;
capacitance : 0.0023;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[8] wrt pin FrameData[8] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[8] ";
rise_transition (lut_timing_87 ){
values(\
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370", \
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370", \
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370", \
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370", \
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370", \
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370", \
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370", \
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370" \
);
}
fall_transition (lut_timing_87 ){
values(\
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704", \
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704", \
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704", \
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704", \
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704", \
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704", \
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704", \
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704" \
);
}
cell_rise (lut_timing_87 ){
values(\
" 0.0638, 0.0761, 0.1220, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030", \
" 0.0638, 0.0761, 0.1220, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030", \
" 0.0638, 0.0761, 0.1220, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030", \
" 0.0638, 0.0761, 0.1220, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030", \
" 0.0638, 0.0761, 0.1220, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030", \
" 0.0638, 0.0761, 0.1220, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030", \
" 0.0638, 0.0761, 0.1220, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030", \
" 0.0638, 0.0761, 0.1220, 0.3198, 1.2293, 2.2786, 3.3249, 5.4030" \
);
}
cell_fall (lut_timing_87 ){
values(\
" 0.0920, 0.1019, 0.1311, 0.2241, 0.6225, 1.0720, 1.5247, 2.4285", \
" 0.0920, 0.1019, 0.1311, 0.2241, 0.6225, 1.0720, 1.5247, 2.4285", \
" 0.0920, 0.1019, 0.1311, 0.2241, 0.6225, 1.0720, 1.5247, 2.4285", \
" 0.0920, 0.1019, 0.1311, 0.2241, 0.6225, 1.0720, 1.5247, 2.4285", \
" 0.0920, 0.1019, 0.1311, 0.2241, 0.6225, 1.0720, 1.5247, 2.4285", \
" 0.0920, 0.1019, 0.1311, 0.2241, 0.6225, 1.0720, 1.5247, 2.4285", \
" 0.0920, 0.1019, 0.1311, 0.2241, 0.6225, 1.0720, 1.5247, 2.4285", \
" 0.0920, 0.1019, 0.1311, 0.2241, 0.6225, 1.0720, 1.5247, 2.4285" \
);
}
} /* End of combinational arc of pin FrameData_O[8] wrt pin FrameData[8] */
/* Start of combinational arc of pin FrameData_O[8] wrt pin FrameData[8] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[8] ";
rise_transition (lut_timing_88 ){
values(\
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370", \
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370", \
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370", \
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370", \
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370", \
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370", \
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370", \
" 0.0201, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7248, 7.7370" \
);
}
fall_transition (lut_timing_88 ){
values(\
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704", \
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704", \
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704", \
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704", \
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704", \
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704", \
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704", \
" 0.0191, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9924, 3.2704" \
);
}
cell_rise (lut_timing_88 ){
values(\
" 0.0643, 0.0767, 0.1231, 0.3203, 1.2298, 2.2791, 3.3254, 5.4035", \
" 0.0643, 0.0767, 0.1231, 0.3203, 1.2298, 2.2791, 3.3254, 5.4035", \
" 0.0643, 0.0767, 0.1231, 0.3203, 1.2298, 2.2791, 3.3254, 5.4035", \
" 0.0643, 0.0767, 0.1231, 0.3203, 1.2298, 2.2791, 3.3254, 5.4035", \
" 0.0643, 0.0767, 0.1231, 0.3203, 1.2298, 2.2791, 3.3254, 5.4035", \
" 0.0643, 0.0767, 0.1231, 0.3203, 1.2298, 2.2791, 3.3254, 5.4035", \
" 0.0643, 0.0767, 0.1231, 0.3203, 1.2298, 2.2791, 3.3254, 5.4035", \
" 0.0643, 0.0767, 0.1231, 0.3203, 1.2298, 2.2791, 3.3254, 5.4035" \
);
}
cell_fall (lut_timing_88 ){
values(\
" 0.0925, 0.1025, 0.1322, 0.2246, 0.6230, 1.0725, 1.5252, 2.4290", \
" 0.0925, 0.1025, 0.1322, 0.2246, 0.6230, 1.0725, 1.5252, 2.4290", \
" 0.0925, 0.1025, 0.1322, 0.2246, 0.6230, 1.0725, 1.5252, 2.4290", \
" 0.0925, 0.1025, 0.1322, 0.2246, 0.6230, 1.0725, 1.5252, 2.4290", \
" 0.0925, 0.1025, 0.1322, 0.2246, 0.6230, 1.0725, 1.5252, 2.4290", \
" 0.0925, 0.1025, 0.1322, 0.2246, 0.6230, 1.0725, 1.5252, 2.4290", \
" 0.0925, 0.1025, 0.1322, 0.2246, 0.6230, 1.0725, 1.5252, 2.4290", \
" 0.0925, 0.1025, 0.1322, 0.2246, 0.6230, 1.0725, 1.5252, 2.4290" \
);
}
} /* End of combinational arc of pin FrameData_O[8] wrt pin FrameData[8] */
} /* End of pin FrameData_O[8] */
/* Start of pin FrameData_O[7] */
pin (FrameData_O[7] ) {
direction : output ;
capacitance : 0.0012;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[7] wrt pin FrameData[7] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[7] ";
rise_transition (lut_timing_89 ){
values(\
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131" \
);
}
fall_transition (lut_timing_89 ){
values(\
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477" \
);
}
cell_rise (lut_timing_89 ){
values(\
" 0.0628, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3248, 5.4021", \
" 0.0628, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3248, 5.4021", \
" 0.0628, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3248, 5.4021", \
" 0.0628, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3248, 5.4021", \
" 0.0628, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3248, 5.4021", \
" 0.0628, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3248, 5.4021", \
" 0.0628, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3248, 5.4021", \
" 0.0628, 0.0648, 0.0770, 0.1228, 0.3202, 1.2294, 3.3248, 5.4021" \
);
}
cell_fall (lut_timing_89 ){
values(\
" 0.0912, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0929, 0.1028, 0.1318, 0.2244, 0.6210, 1.5233, 2.4263" \
);
}
} /* End of combinational arc of pin FrameData_O[7] wrt pin FrameData[7] */
/* Start of combinational arc of pin FrameData_O[7] wrt pin FrameData[7] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[7] ";
rise_transition (lut_timing_90 ){
values(\
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7131" \
);
}
fall_transition (lut_timing_90 ){
values(\
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9784, 3.2477" \
);
}
cell_rise (lut_timing_90 ){
values(\
" 0.0628, 0.0648, 0.0771, 0.1232, 0.3202, 1.2294, 3.3248, 5.4021", \
" 0.0628, 0.0648, 0.0771, 0.1232, 0.3202, 1.2294, 3.3248, 5.4021", \
" 0.0628, 0.0648, 0.0771, 0.1232, 0.3202, 1.2294, 3.3248, 5.4021", \
" 0.0628, 0.0648, 0.0771, 0.1232, 0.3202, 1.2294, 3.3248, 5.4021", \
" 0.0628, 0.0648, 0.0771, 0.1232, 0.3202, 1.2294, 3.3248, 5.4021", \
" 0.0628, 0.0648, 0.0771, 0.1232, 0.3202, 1.2294, 3.3248, 5.4021", \
" 0.0628, 0.0648, 0.0771, 0.1232, 0.3202, 1.2294, 3.3248, 5.4021", \
" 0.0628, 0.0648, 0.0771, 0.1232, 0.3202, 1.2294, 3.3248, 5.4021" \
);
}
cell_fall (lut_timing_90 ){
values(\
" 0.0912, 0.0930, 0.1029, 0.1323, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0930, 0.1029, 0.1323, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0930, 0.1029, 0.1323, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0930, 0.1029, 0.1323, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0930, 0.1029, 0.1323, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0930, 0.1029, 0.1323, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0930, 0.1029, 0.1323, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0930, 0.1029, 0.1323, 0.2244, 0.6210, 1.5233, 2.4263" \
);
}
} /* End of combinational arc of pin FrameData_O[7] wrt pin FrameData[7] */
} /* End of pin FrameData_O[7] */
/* Start of pin FrameData_O[6] */
pin (FrameData_O[6] ) {
direction : output ;
capacitance : 0.0014;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[6] wrt pin FrameData[6] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[6] ";
rise_transition (lut_timing_91 ){
values(\
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155" \
);
}
fall_transition (lut_timing_91 ){
values(\
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499" \
);
}
cell_rise (lut_timing_91 ){
values(\
" 0.0633, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3250, 5.4024", \
" 0.0633, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3250, 5.4024", \
" 0.0633, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3250, 5.4024", \
" 0.0633, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3250, 5.4024", \
" 0.0633, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3250, 5.4024", \
" 0.0633, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3250, 5.4024", \
" 0.0633, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3250, 5.4024", \
" 0.0633, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3250, 5.4024" \
);
}
cell_fall (lut_timing_91 ){
values(\
" 0.0917, 0.0930, 0.1029, 0.1319, 0.2245, 0.6213, 1.5234, 2.4267", \
" 0.0917, 0.0930, 0.1029, 0.1319, 0.2245, 0.6213, 1.5234, 2.4267", \
" 0.0917, 0.0930, 0.1029, 0.1319, 0.2245, 0.6213, 1.5234, 2.4267", \
" 0.0917, 0.0930, 0.1029, 0.1319, 0.2245, 0.6213, 1.5234, 2.4267", \
" 0.0917, 0.0930, 0.1029, 0.1319, 0.2245, 0.6213, 1.5234, 2.4267", \
" 0.0917, 0.0930, 0.1029, 0.1319, 0.2245, 0.6213, 1.5234, 2.4267", \
" 0.0917, 0.0930, 0.1029, 0.1319, 0.2245, 0.6213, 1.5234, 2.4267", \
" 0.0917, 0.0930, 0.1029, 0.1319, 0.2245, 0.6213, 1.5234, 2.4267" \
);
}
} /* End of combinational arc of pin FrameData_O[6] wrt pin FrameData[6] */
/* Start of combinational arc of pin FrameData_O[6] wrt pin FrameData[6] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[6] ";
rise_transition (lut_timing_92 ){
values(\
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155", \
" 0.0187, 0.0201, 0.0326, 0.0912, 0.3725, 1.6838, 4.7120, 7.7155" \
);
}
fall_transition (lut_timing_92 ){
values(\
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1620, 0.7094, 1.9798, 3.2499" \
);
}
cell_rise (lut_timing_92 ){
values(\
" 0.0633, 0.0649, 0.0772, 0.1234, 0.3203, 1.2296, 3.3250, 5.4024", \
" 0.0633, 0.0649, 0.0772, 0.1234, 0.3203, 1.2296, 3.3250, 5.4024", \
" 0.0633, 0.0649, 0.0772, 0.1234, 0.3203, 1.2296, 3.3250, 5.4024", \
" 0.0633, 0.0649, 0.0772, 0.1234, 0.3203, 1.2296, 3.3250, 5.4024", \
" 0.0633, 0.0649, 0.0772, 0.1234, 0.3203, 1.2296, 3.3250, 5.4024", \
" 0.0633, 0.0649, 0.0772, 0.1234, 0.3203, 1.2296, 3.3250, 5.4024", \
" 0.0633, 0.0649, 0.0772, 0.1234, 0.3203, 1.2296, 3.3250, 5.4024", \
" 0.0633, 0.0649, 0.0772, 0.1234, 0.3203, 1.2296, 3.3250, 5.4024" \
);
}
cell_fall (lut_timing_92 ){
values(\
" 0.0917, 0.0931, 0.1030, 0.1324, 0.2245, 0.6213, 1.5234, 2.4267", \
" 0.0917, 0.0931, 0.1030, 0.1324, 0.2245, 0.6213, 1.5234, 2.4267", \
" 0.0917, 0.0931, 0.1030, 0.1324, 0.2245, 0.6213, 1.5234, 2.4267", \
" 0.0917, 0.0931, 0.1030, 0.1324, 0.2245, 0.6213, 1.5234, 2.4267", \
" 0.0917, 0.0931, 0.1030, 0.1324, 0.2245, 0.6213, 1.5234, 2.4267", \
" 0.0917, 0.0931, 0.1030, 0.1324, 0.2245, 0.6213, 1.5234, 2.4267", \
" 0.0917, 0.0931, 0.1030, 0.1324, 0.2245, 0.6213, 1.5234, 2.4267", \
" 0.0917, 0.0931, 0.1030, 0.1324, 0.2245, 0.6213, 1.5234, 2.4267" \
);
}
} /* End of combinational arc of pin FrameData_O[6] wrt pin FrameData[6] */
} /* End of pin FrameData_O[6] */
/* Start of pin FrameData_O[5] */
pin (FrameData_O[5] ) {
direction : output ;
capacitance : 0.0012;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[5] wrt pin FrameData[5] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[5] ";
rise_transition (lut_timing_93 ){
values(\
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130" \
);
}
fall_transition (lut_timing_93 ){
values(\
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475" \
);
}
cell_rise (lut_timing_93 ){
values(\
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3249, 5.4022", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3249, 5.4022", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3249, 5.4022", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3249, 5.4022", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3249, 5.4022", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3249, 5.4022", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3249, 5.4022", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3249, 5.4022" \
);
}
cell_fall (lut_timing_93 ){
values(\
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6210, 1.5234, 2.4264", \
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6210, 1.5234, 2.4264", \
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6210, 1.5234, 2.4264", \
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6210, 1.5234, 2.4264", \
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6210, 1.5234, 2.4264", \
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6210, 1.5234, 2.4264", \
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6210, 1.5234, 2.4264", \
" 0.0913, 0.0930, 0.1029, 0.1319, 0.2245, 0.6210, 1.5234, 2.4264" \
);
}
} /* End of combinational arc of pin FrameData_O[5] wrt pin FrameData[5] */
/* Start of combinational arc of pin FrameData_O[5] wrt pin FrameData[5] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[5] ";
rise_transition (lut_timing_94 ){
values(\
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7130" \
);
}
fall_transition (lut_timing_94 ){
values(\
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475" \
);
}
cell_rise (lut_timing_94 ){
values(\
" 0.0632, 0.0652, 0.0775, 0.1236, 0.3206, 1.2298, 3.3252, 5.4025", \
" 0.0632, 0.0652, 0.0775, 0.1236, 0.3206, 1.2298, 3.3252, 5.4025", \
" 0.0632, 0.0652, 0.0775, 0.1236, 0.3206, 1.2298, 3.3252, 5.4025", \
" 0.0632, 0.0652, 0.0775, 0.1236, 0.3206, 1.2298, 3.3252, 5.4025", \
" 0.0632, 0.0652, 0.0775, 0.1236, 0.3206, 1.2298, 3.3252, 5.4025", \
" 0.0632, 0.0652, 0.0775, 0.1236, 0.3206, 1.2298, 3.3252, 5.4025", \
" 0.0632, 0.0652, 0.0775, 0.1236, 0.3206, 1.2298, 3.3252, 5.4025", \
" 0.0632, 0.0652, 0.0775, 0.1236, 0.3206, 1.2298, 3.3252, 5.4025" \
);
}
cell_fall (lut_timing_94 ){
values(\
" 0.0916, 0.0934, 0.1033, 0.1327, 0.2248, 0.6213, 1.5237, 2.4267", \
" 0.0916, 0.0934, 0.1033, 0.1327, 0.2248, 0.6213, 1.5237, 2.4267", \
" 0.0916, 0.0934, 0.1033, 0.1327, 0.2248, 0.6213, 1.5237, 2.4267", \
" 0.0916, 0.0934, 0.1033, 0.1327, 0.2248, 0.6213, 1.5237, 2.4267", \
" 0.0916, 0.0934, 0.1033, 0.1327, 0.2248, 0.6213, 1.5237, 2.4267", \
" 0.0916, 0.0934, 0.1033, 0.1327, 0.2248, 0.6213, 1.5237, 2.4267", \
" 0.0916, 0.0934, 0.1033, 0.1327, 0.2248, 0.6213, 1.5237, 2.4267", \
" 0.0916, 0.0934, 0.1033, 0.1327, 0.2248, 0.6213, 1.5237, 2.4267" \
);
}
} /* End of combinational arc of pin FrameData_O[5] wrt pin FrameData[5] */
} /* End of pin FrameData_O[5] */
/* Start of pin FrameData_O[4] */
pin (FrameData_O[4] ) {
direction : output ;
capacitance : 0.0011;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[4] wrt pin FrameData[4] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[4] ";
rise_transition (lut_timing_95 ){
values(\
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127" \
);
}
fall_transition (lut_timing_95 ){
values(\
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473" \
);
}
cell_rise (lut_timing_95 ){
values(\
" 0.0627, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3248, 5.4021", \
" 0.0627, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3248, 5.4021", \
" 0.0627, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3248, 5.4021", \
" 0.0627, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3248, 5.4021", \
" 0.0627, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3248, 5.4021", \
" 0.0627, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3248, 5.4021", \
" 0.0627, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3248, 5.4021", \
" 0.0627, 0.0649, 0.0771, 0.1229, 0.3203, 1.2295, 3.3248, 5.4021" \
);
}
cell_fall (lut_timing_95 ){
values(\
" 0.0912, 0.0930, 0.1029, 0.1319, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0930, 0.1029, 0.1319, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0930, 0.1029, 0.1319, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0930, 0.1029, 0.1319, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0930, 0.1029, 0.1319, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0930, 0.1029, 0.1319, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0930, 0.1029, 0.1319, 0.2244, 0.6210, 1.5233, 2.4263", \
" 0.0912, 0.0930, 0.1029, 0.1319, 0.2244, 0.6210, 1.5233, 2.4263" \
);
}
} /* End of combinational arc of pin FrameData_O[4] wrt pin FrameData[4] */
/* Start of combinational arc of pin FrameData_O[4] wrt pin FrameData[4] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[4] ";
rise_transition (lut_timing_96 ){
values(\
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7104, 7.7127" \
);
}
fall_transition (lut_timing_96 ){
values(\
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9782, 3.2473" \
);
}
cell_rise (lut_timing_96 ){
values(\
" 0.0631, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4025", \
" 0.0631, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4025", \
" 0.0631, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4025", \
" 0.0631, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4025", \
" 0.0631, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4025", \
" 0.0631, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4025", \
" 0.0631, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4025", \
" 0.0631, 0.0653, 0.0776, 0.1237, 0.3207, 1.2299, 3.3252, 5.4025" \
);
}
cell_fall (lut_timing_96 ){
values(\
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2248, 0.6214, 1.5237, 2.4267", \
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2248, 0.6214, 1.5237, 2.4267", \
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2248, 0.6214, 1.5237, 2.4267", \
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2248, 0.6214, 1.5237, 2.4267", \
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2248, 0.6214, 1.5237, 2.4267", \
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2248, 0.6214, 1.5237, 2.4267", \
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2248, 0.6214, 1.5237, 2.4267", \
" 0.0916, 0.0935, 0.1034, 0.1328, 0.2248, 0.6214, 1.5237, 2.4267" \
);
}
} /* End of combinational arc of pin FrameData_O[4] wrt pin FrameData[4] */
} /* End of pin FrameData_O[4] */
/* Start of pin FrameData_O[3] */
pin (FrameData_O[3] ) {
direction : output ;
capacitance : 0.0011;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[3] wrt pin FrameData[3] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[3] ";
rise_transition (lut_timing_97 ){
values(\
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129" \
);
}
fall_transition (lut_timing_97 ){
values(\
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475" \
);
}
cell_rise (lut_timing_97 ){
values(\
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3250, 5.4023", \
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3250, 5.4023", \
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3250, 5.4023", \
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3250, 5.4023", \
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3250, 5.4023", \
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3250, 5.4023", \
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3250, 5.4023", \
" 0.0628, 0.0650, 0.0772, 0.1230, 0.3204, 1.2296, 3.3250, 5.4023" \
);
}
cell_fall (lut_timing_97 ){
values(\
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2246, 0.6211, 1.5235, 2.4265", \
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2246, 0.6211, 1.5235, 2.4265", \
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2246, 0.6211, 1.5235, 2.4265", \
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2246, 0.6211, 1.5235, 2.4265", \
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2246, 0.6211, 1.5235, 2.4265", \
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2246, 0.6211, 1.5235, 2.4265", \
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2246, 0.6211, 1.5235, 2.4265", \
" 0.0913, 0.0931, 0.1030, 0.1320, 0.2246, 0.6211, 1.5235, 2.4265" \
);
}
} /* End of combinational arc of pin FrameData_O[3] wrt pin FrameData[3] */
/* Start of combinational arc of pin FrameData_O[3] wrt pin FrameData[3] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[3] ";
rise_transition (lut_timing_98 ){
values(\
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129", \
" 0.0182, 0.0201, 0.0326, 0.0912, 0.3721, 1.6832, 4.7105, 7.7129" \
);
}
fall_transition (lut_timing_98 ){
values(\
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475", \
" 0.0181, 0.0192, 0.0258, 0.0508, 0.1619, 0.7089, 1.9783, 3.2475" \
);
}
cell_rise (lut_timing_98 ){
values(\
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3250, 5.4023", \
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3250, 5.4023", \
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3250, 5.4023", \
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3250, 5.4023", \
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3250, 5.4023", \
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3250, 5.4023", \
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3250, 5.4023", \
" 0.0629, 0.0650, 0.0773, 0.1234, 0.3204, 1.2296, 3.3250, 5.4023" \
);
}
cell_fall (lut_timing_98 ){
values(\
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2246, 0.6211, 1.5235, 2.4265", \
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2246, 0.6211, 1.5235, 2.4265", \
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2246, 0.6211, 1.5235, 2.4265", \
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2246, 0.6211, 1.5235, 2.4265", \
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2246, 0.6211, 1.5235, 2.4265", \
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2246, 0.6211, 1.5235, 2.4265", \
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2246, 0.6211, 1.5235, 2.4265", \
" 0.0913, 0.0932, 0.1031, 0.1325, 0.2246, 0.6211, 1.5235, 2.4265" \
);
}
} /* End of combinational arc of pin FrameData_O[3] wrt pin FrameData[3] */
} /* End of pin FrameData_O[3] */
/* Start of pin FrameData_O[2] */
pin (FrameData_O[2] ) {
direction : output ;
capacitance : 0.0012;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[2] wrt pin FrameData[2] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[2] ";
rise_transition (lut_timing_99 ){
values(\
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132" \
);
}
fall_transition (lut_timing_99 ){
values(\
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477" \
);
}
cell_rise (lut_timing_99 ){
values(\
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4022", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4022", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4022", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4022", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4022", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4022", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4022", \
" 0.0629, 0.0649, 0.0771, 0.1229, 0.3203, 1.2296, 3.3249, 5.4022" \
);
}
cell_fall (lut_timing_99 ){
values(\
" 0.0914, 0.0930, 0.1029, 0.1319, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0914, 0.0930, 0.1029, 0.1319, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0914, 0.0930, 0.1029, 0.1319, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0914, 0.0930, 0.1029, 0.1319, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0914, 0.0930, 0.1029, 0.1319, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0914, 0.0930, 0.1029, 0.1319, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0914, 0.0930, 0.1029, 0.1319, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0914, 0.0930, 0.1029, 0.1319, 0.2245, 0.6211, 1.5234, 2.4264" \
);
}
} /* End of combinational arc of pin FrameData_O[2] wrt pin FrameData[2] */
/* Start of combinational arc of pin FrameData_O[2] wrt pin FrameData[2] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[2] ";
rise_transition (lut_timing_100 ){
values(\
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132", \
" 0.0184, 0.0201, 0.0326, 0.0912, 0.3722, 1.6833, 4.7106, 7.7132" \
);
}
fall_transition (lut_timing_100 ){
values(\
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477", \
" 0.0182, 0.0192, 0.0258, 0.0508, 0.1619, 0.7090, 1.9785, 3.2477" \
);
}
cell_rise (lut_timing_100 ){
values(\
" 0.0630, 0.0649, 0.0772, 0.1233, 0.3203, 1.2296, 3.3249, 5.4022", \
" 0.0630, 0.0649, 0.0772, 0.1233, 0.3203, 1.2296, 3.3249, 5.4022", \
" 0.0630, 0.0649, 0.0772, 0.1233, 0.3203, 1.2296, 3.3249, 5.4022", \
" 0.0630, 0.0649, 0.0772, 0.1233, 0.3203, 1.2296, 3.3249, 5.4022", \
" 0.0630, 0.0649, 0.0772, 0.1233, 0.3203, 1.2296, 3.3249, 5.4022", \
" 0.0630, 0.0649, 0.0772, 0.1233, 0.3203, 1.2296, 3.3249, 5.4022", \
" 0.0630, 0.0649, 0.0772, 0.1233, 0.3203, 1.2296, 3.3249, 5.4022", \
" 0.0630, 0.0649, 0.0772, 0.1233, 0.3203, 1.2296, 3.3249, 5.4022" \
);
}
cell_fall (lut_timing_100 ){
values(\
" 0.0914, 0.0931, 0.1030, 0.1324, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0914, 0.0931, 0.1030, 0.1324, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0914, 0.0931, 0.1030, 0.1324, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0914, 0.0931, 0.1030, 0.1324, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0914, 0.0931, 0.1030, 0.1324, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0914, 0.0931, 0.1030, 0.1324, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0914, 0.0931, 0.1030, 0.1324, 0.2245, 0.6211, 1.5234, 2.4264", \
" 0.0914, 0.0931, 0.1030, 0.1324, 0.2245, 0.6211, 1.5234, 2.4264" \
);
}
} /* End of combinational arc of pin FrameData_O[2] wrt pin FrameData[2] */
} /* End of pin FrameData_O[2] */
/* Start of pin FrameData_O[1] */
pin (FrameData_O[1] ) {
direction : output ;
capacitance : 0.0013;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[1] wrt pin FrameData[1] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[1] ";
rise_transition (lut_timing_101 ){
values(\
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145" \
);
}
fall_transition (lut_timing_101 ){
values(\
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490" \
);
}
cell_rise (lut_timing_101 ){
values(\
" 0.0633, 0.0651, 0.0773, 0.1231, 0.3205, 1.2298, 3.3251, 5.4025", \
" 0.0633, 0.0651, 0.0773, 0.1231, 0.3205, 1.2298, 3.3251, 5.4025", \
" 0.0633, 0.0651, 0.0773, 0.1231, 0.3205, 1.2298, 3.3251, 5.4025", \
" 0.0633, 0.0651, 0.0773, 0.1231, 0.3205, 1.2298, 3.3251, 5.4025", \
" 0.0633, 0.0651, 0.0773, 0.1231, 0.3205, 1.2298, 3.3251, 5.4025", \
" 0.0633, 0.0651, 0.0773, 0.1231, 0.3205, 1.2298, 3.3251, 5.4025", \
" 0.0633, 0.0651, 0.0773, 0.1231, 0.3205, 1.2298, 3.3251, 5.4025", \
" 0.0633, 0.0651, 0.0773, 0.1231, 0.3205, 1.2298, 3.3251, 5.4025" \
);
}
cell_fall (lut_timing_101 ){
values(\
" 0.0917, 0.0932, 0.1031, 0.1321, 0.2247, 0.6214, 1.5235, 2.4268", \
" 0.0917, 0.0932, 0.1031, 0.1321, 0.2247, 0.6214, 1.5235, 2.4268", \
" 0.0917, 0.0932, 0.1031, 0.1321, 0.2247, 0.6214, 1.5235, 2.4268", \
" 0.0917, 0.0932, 0.1031, 0.1321, 0.2247, 0.6214, 1.5235, 2.4268", \
" 0.0917, 0.0932, 0.1031, 0.1321, 0.2247, 0.6214, 1.5235, 2.4268", \
" 0.0917, 0.0932, 0.1031, 0.1321, 0.2247, 0.6214, 1.5235, 2.4268", \
" 0.0917, 0.0932, 0.1031, 0.1321, 0.2247, 0.6214, 1.5235, 2.4268", \
" 0.0917, 0.0932, 0.1031, 0.1321, 0.2247, 0.6214, 1.5235, 2.4268" \
);
}
} /* End of combinational arc of pin FrameData_O[1] wrt pin FrameData[1] */
/* Start of combinational arc of pin FrameData_O[1] wrt pin FrameData[1] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[1] ";
rise_transition (lut_timing_102 ){
values(\
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145", \
" 0.0186, 0.0201, 0.0326, 0.0912, 0.3724, 1.6836, 4.7114, 7.7145" \
);
}
fall_transition (lut_timing_102 ){
values(\
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490", \
" 0.0183, 0.0192, 0.0258, 0.0508, 0.1619, 0.7092, 1.9792, 3.2490" \
);
}
cell_rise (lut_timing_102 ){
values(\
" 0.0633, 0.0651, 0.0774, 0.1235, 0.3205, 1.2298, 3.3251, 5.4025", \
" 0.0633, 0.0651, 0.0774, 0.1235, 0.3205, 1.2298, 3.3251, 5.4025", \
" 0.0633, 0.0651, 0.0774, 0.1235, 0.3205, 1.2298, 3.3251, 5.4025", \
" 0.0633, 0.0651, 0.0774, 0.1235, 0.3205, 1.2298, 3.3251, 5.4025", \
" 0.0633, 0.0651, 0.0774, 0.1235, 0.3205, 1.2298, 3.3251, 5.4025", \
" 0.0633, 0.0651, 0.0774, 0.1235, 0.3205, 1.2298, 3.3251, 5.4025", \
" 0.0633, 0.0651, 0.0774, 0.1235, 0.3205, 1.2298, 3.3251, 5.4025", \
" 0.0633, 0.0651, 0.0774, 0.1235, 0.3205, 1.2298, 3.3251, 5.4025" \
);
}
cell_fall (lut_timing_102 ){
values(\
" 0.0917, 0.0933, 0.1032, 0.1326, 0.2247, 0.6214, 1.5235, 2.4268", \
" 0.0917, 0.0933, 0.1032, 0.1326, 0.2247, 0.6214, 1.5235, 2.4268", \
" 0.0917, 0.0933, 0.1032, 0.1326, 0.2247, 0.6214, 1.5235, 2.4268", \
" 0.0917, 0.0933, 0.1032, 0.1326, 0.2247, 0.6214, 1.5235, 2.4268", \
" 0.0917, 0.0933, 0.1032, 0.1326, 0.2247, 0.6214, 1.5235, 2.4268", \
" 0.0917, 0.0933, 0.1032, 0.1326, 0.2247, 0.6214, 1.5235, 2.4268", \
" 0.0917, 0.0933, 0.1032, 0.1326, 0.2247, 0.6214, 1.5235, 2.4268", \
" 0.0917, 0.0933, 0.1032, 0.1326, 0.2247, 0.6214, 1.5235, 2.4268" \
);
}
} /* End of combinational arc of pin FrameData_O[1] wrt pin FrameData[1] */
} /* End of pin FrameData_O[1] */
/* Start of pin FrameData_O[0] */
pin (FrameData_O[0] ) {
direction : output ;
capacitance : 0.0015;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin FrameData_O[0] wrt pin FrameData[0] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" FrameData[0] ";
rise_transition (lut_timing_103 ){
values(\
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180", \
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180", \
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180", \
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180", \
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180", \
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180", \
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180", \
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180" \
);
}
fall_transition (lut_timing_103 ){
values(\
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523" \
);
}
cell_rise (lut_timing_103 ){
values(\
" 0.0635, 0.0649, 0.0771, 0.1229, 0.3203, 1.2297, 3.3251, 5.4026", \
" 0.0635, 0.0649, 0.0771, 0.1229, 0.3203, 1.2297, 3.3251, 5.4026", \
" 0.0635, 0.0649, 0.0771, 0.1229, 0.3203, 1.2297, 3.3251, 5.4026", \
" 0.0635, 0.0649, 0.0771, 0.1229, 0.3203, 1.2297, 3.3251, 5.4026", \
" 0.0635, 0.0649, 0.0771, 0.1229, 0.3203, 1.2297, 3.3251, 5.4026", \
" 0.0635, 0.0649, 0.0771, 0.1229, 0.3203, 1.2297, 3.3251, 5.4026", \
" 0.0635, 0.0649, 0.0771, 0.1229, 0.3203, 1.2297, 3.3251, 5.4026", \
" 0.0635, 0.0649, 0.0771, 0.1229, 0.3203, 1.2297, 3.3251, 5.4026" \
);
}
cell_fall (lut_timing_103 ){
values(\
" 0.0919, 0.0930, 0.1029, 0.1320, 0.2246, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0930, 0.1029, 0.1320, 0.2246, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0930, 0.1029, 0.1320, 0.2246, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0930, 0.1029, 0.1320, 0.2246, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0930, 0.1029, 0.1320, 0.2246, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0930, 0.1029, 0.1320, 0.2246, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0930, 0.1029, 0.1320, 0.2246, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0930, 0.1029, 0.1320, 0.2246, 0.6217, 1.5237, 2.4271" \
);
}
} /* End of combinational arc of pin FrameData_O[0] wrt pin FrameData[0] */
/* Start of combinational arc of pin FrameData_O[0] wrt pin FrameData[0] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" FrameData[0] ";
rise_transition (lut_timing_104 ){
values(\
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180", \
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180", \
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180", \
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180", \
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180", \
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180", \
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180", \
" 0.0189, 0.0201, 0.0326, 0.0912, 0.3725, 1.6843, 4.7135, 7.7180" \
);
}
fall_transition (lut_timing_104 ){
values(\
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523", \
" 0.0185, 0.0192, 0.0258, 0.0508, 0.1620, 0.7101, 1.9811, 3.2523" \
);
}
cell_rise (lut_timing_104 ){
values(\
" 0.0635, 0.0649, 0.0772, 0.1234, 0.3203, 1.2297, 3.3251, 5.4026", \
" 0.0635, 0.0649, 0.0772, 0.1234, 0.3203, 1.2297, 3.3251, 5.4026", \
" 0.0635, 0.0649, 0.0772, 0.1234, 0.3203, 1.2297, 3.3251, 5.4026", \
" 0.0635, 0.0649, 0.0772, 0.1234, 0.3203, 1.2297, 3.3251, 5.4026", \
" 0.0635, 0.0649, 0.0772, 0.1234, 0.3203, 1.2297, 3.3251, 5.4026", \
" 0.0635, 0.0649, 0.0772, 0.1234, 0.3203, 1.2297, 3.3251, 5.4026", \
" 0.0635, 0.0649, 0.0772, 0.1234, 0.3203, 1.2297, 3.3251, 5.4026", \
" 0.0635, 0.0649, 0.0772, 0.1234, 0.3203, 1.2297, 3.3251, 5.4026" \
);
}
cell_fall (lut_timing_104 ){
values(\
" 0.0919, 0.0931, 0.1030, 0.1324, 0.2246, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0931, 0.1030, 0.1324, 0.2246, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0931, 0.1030, 0.1324, 0.2246, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0931, 0.1030, 0.1324, 0.2246, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0931, 0.1030, 0.1324, 0.2246, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0931, 0.1030, 0.1324, 0.2246, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0931, 0.1030, 0.1324, 0.2246, 0.6217, 1.5237, 2.4271", \
" 0.0919, 0.0931, 0.1030, 0.1324, 0.2246, 0.6217, 1.5237, 2.4271" \
);
}
} /* End of combinational arc of pin FrameData_O[0] wrt pin FrameData[0] */
} /* End of pin FrameData_O[0] */
}
type (bus3){
base_type : array ;
data_type : bit ;
bit_width : 32;
bit_from : 31;
bit_to : 0;
downto : true ;
}
bus (FrameData ){
bus_type : bus3 ;
/* Start of pin FrameData[31] */
pin (FrameData[31] ) {
direction : input ;
capacitance : 0.0180;
max_transition : 1.5000;
} /* End of pin FrameData[31] */
/* Start of pin FrameData[30] */
pin (FrameData[30] ) {
direction : input ;
capacitance : 0.0194;
max_transition : 1.5000;
} /* End of pin FrameData[30] */
/* Start of pin FrameData[29] */
pin (FrameData[29] ) {
direction : input ;
capacitance : 0.0207;
max_transition : 1.5000;
} /* End of pin FrameData[29] */
/* Start of pin FrameData[28] */
pin (FrameData[28] ) {
direction : input ;
capacitance : 0.0196;
max_transition : 1.5000;
} /* End of pin FrameData[28] */
/* Start of pin FrameData[27] */
pin (FrameData[27] ) {
direction : input ;
capacitance : 0.0212;
max_transition : 1.5000;
} /* End of pin FrameData[27] */
/* Start of pin FrameData[26] */
pin (FrameData[26] ) {
direction : input ;
capacitance : 0.0202;
max_transition : 1.5000;
} /* End of pin FrameData[26] */
/* Start of pin FrameData[25] */
pin (FrameData[25] ) {
direction : input ;
capacitance : 0.0183;
max_transition : 1.5000;
} /* End of pin FrameData[25] */
/* Start of pin FrameData[24] */
pin (FrameData[24] ) {
direction : input ;
capacitance : 0.0216;
max_transition : 1.5000;
} /* End of pin FrameData[24] */
/* Start of pin FrameData[23] */
pin (FrameData[23] ) {
direction : input ;
capacitance : 0.0235;
max_transition : 1.5000;
} /* End of pin FrameData[23] */
/* Start of pin FrameData[22] */
pin (FrameData[22] ) {
direction : input ;
capacitance : 0.0258;
max_transition : 1.5000;
} /* End of pin FrameData[22] */
/* Start of pin FrameData[21] */
pin (FrameData[21] ) {
direction : input ;
capacitance : 0.0305;
max_transition : 1.5000;
} /* End of pin FrameData[21] */
/* Start of pin FrameData[20] */
pin (FrameData[20] ) {
direction : input ;
capacitance : 0.0276;
max_transition : 1.5000;
} /* End of pin FrameData[20] */
/* Start of pin FrameData[19] */
pin (FrameData[19] ) {
direction : input ;
capacitance : 0.0334;
max_transition : 1.5000;
} /* End of pin FrameData[19] */
/* Start of pin FrameData[18] */
pin (FrameData[18] ) {
direction : input ;
capacitance : 0.0349;
max_transition : 1.5000;
} /* End of pin FrameData[18] */
/* Start of pin FrameData[17] */
pin (FrameData[17] ) {
direction : input ;
capacitance : 0.0335;
max_transition : 1.5000;
} /* End of pin FrameData[17] */
/* Start of pin FrameData[16] */
pin (FrameData[16] ) {
direction : input ;
capacitance : 0.0339;
max_transition : 1.5000;
} /* End of pin FrameData[16] */
/* Start of pin FrameData[15] */
pin (FrameData[15] ) {
direction : input ;
capacitance : 0.0320;
max_transition : 1.5000;
} /* End of pin FrameData[15] */
/* Start of pin FrameData[14] */
pin (FrameData[14] ) {
direction : input ;
capacitance : 0.0299;
max_transition : 1.5000;
} /* End of pin FrameData[14] */
/* Start of pin FrameData[13] */
pin (FrameData[13] ) {
direction : input ;
capacitance : 0.0328;
max_transition : 1.5000;
} /* End of pin FrameData[13] */
/* Start of pin FrameData[12] */
pin (FrameData[12] ) {
direction : input ;
capacitance : 0.0314;
max_transition : 1.5000;
} /* End of pin FrameData[12] */
/* Start of pin FrameData[11] */
pin (FrameData[11] ) {
direction : input ;
capacitance : 0.0128;
max_transition : 1.5000;
} /* End of pin FrameData[11] */
/* Start of pin FrameData[10] */
pin (FrameData[10] ) {
direction : input ;
capacitance : 0.0200;
max_transition : 1.5000;
} /* End of pin FrameData[10] */
/* Start of pin FrameData[9] */
pin (FrameData[9] ) {
direction : input ;
capacitance : 0.0216;
max_transition : 1.5000;
} /* End of pin FrameData[9] */
/* Start of pin FrameData[8] */
pin (FrameData[8] ) {
direction : input ;
capacitance : 0.0200;
max_transition : 1.5000;
} /* End of pin FrameData[8] */
/* Start of pin FrameData[7] */
pin (FrameData[7] ) {
direction : input ;
capacitance : 0.0225;
max_transition : 1.5000;
} /* End of pin FrameData[7] */
/* Start of pin FrameData[6] */
pin (FrameData[6] ) {
direction : input ;
capacitance : 0.0222;
max_transition : 1.5000;
} /* End of pin FrameData[6] */
/* Start of pin FrameData[5] */
pin (FrameData[5] ) {
direction : input ;
capacitance : 0.0229;
max_transition : 1.5000;
} /* End of pin FrameData[5] */
/* Start of pin FrameData[4] */
pin (FrameData[4] ) {
direction : input ;
capacitance : 0.0234;
max_transition : 1.5000;
} /* End of pin FrameData[4] */
/* Start of pin FrameData[3] */
pin (FrameData[3] ) {
direction : input ;
capacitance : 0.0259;
max_transition : 1.5000;
} /* End of pin FrameData[3] */
/* Start of pin FrameData[2] */
pin (FrameData[2] ) {
direction : input ;
capacitance : 0.0242;
max_transition : 1.5000;
} /* End of pin FrameData[2] */
/* Start of pin FrameData[1] */
pin (FrameData[1] ) {
direction : input ;
capacitance : 0.0246;
max_transition : 1.5000;
} /* End of pin FrameData[1] */
/* Start of pin FrameData[0] */
pin (FrameData[0] ) {
direction : input ;
capacitance : 0.0245;
max_transition : 1.5000;
} /* End of pin FrameData[0] */
}
/* Start of pin UserCLKo */
pin (UserCLKo ) {
direction : output ;
capacitance : 0.0029;
max_transition : 1.4995;
max_capacitance : 2.3483;
/* Start of combinational arc of pin UserCLKo wrt pin UserCLK */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_105 ){
values(\
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303", \
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303", \
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303", \
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303", \
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303", \
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303", \
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303", \
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303" \
);
}
fall_transition (lut_timing_105 ){
values(\
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001", \
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001", \
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001", \
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001", \
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001", \
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001", \
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001", \
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001" \
);
}
cell_rise (lut_timing_105 ){
values(\
" 0.3590, 0.3643, 0.3821, 0.4329, 0.6297, 1.0219, 1.2182, 1.4144", \
" 0.3644, 0.3697, 0.3875, 0.4383, 0.6351, 1.0273, 1.2236, 1.4198", \
" 0.3740, 0.3793, 0.3971, 0.4479, 0.6447, 1.0369, 1.2332, 1.4294", \
" 0.3904, 0.3957, 0.4135, 0.4643, 0.6611, 1.0533, 1.2496, 1.4458", \
" 0.4225, 0.4278, 0.4456, 0.4964, 0.6932, 1.0854, 1.2817, 1.4779", \
" 0.4716, 0.4769, 0.4947, 0.5455, 0.7423, 1.1345, 1.3308, 1.5270", \
" 0.5334, 0.5387, 0.5565, 0.6073, 0.8041, 1.1963, 1.3926, 1.5888", \
" 0.5927, 0.5980, 0.6158, 0.6666, 0.8634, 1.2556, 1.4519, 1.6481" \
);
}
cell_fall (lut_timing_105 ){
values(\
" 0.3670, 0.3723, 0.3914, 0.4454, 0.6463, 1.0463, 1.2471, 1.4475", \
" 0.3736, 0.3789, 0.3980, 0.4520, 0.6529, 1.0529, 1.2537, 1.4541", \
" 0.3858, 0.3911, 0.4102, 0.4642, 0.6651, 1.0651, 1.2659, 1.4663", \
" 0.4060, 0.4113, 0.4304, 0.4844, 0.6853, 1.0853, 1.2861, 1.4865", \
" 0.4501, 0.4554, 0.4745, 0.5285, 0.7294, 1.1294, 1.3302, 1.5306", \
" 0.5319, 0.5372, 0.5563, 0.6103, 0.8112, 1.2112, 1.4120, 1.6124", \
" 0.6642, 0.6695, 0.6886, 0.7426, 0.9435, 1.3435, 1.5443, 1.7447", \
" 0.8501, 0.8554, 0.8745, 0.9285, 1.1294, 1.5294, 1.7302, 1.9306" \
);
}
} /* End of combinational arc of pin UserCLKo wrt pin UserCLK */
/* Start of combinational arc of pin UserCLKo wrt pin UserCLK */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_106 ){
values(\
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303", \
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303", \
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303", \
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303", \
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303", \
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303", \
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303", \
" 0.0265, 0.0294, 0.0425, 0.1019, 0.3821, 0.9565, 1.2439, 1.5303" \
);
}
fall_transition (lut_timing_106 ){
values(\
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001", \
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001", \
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001", \
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001", \
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001", \
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001", \
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001", \
" 0.0239, 0.0272, 0.0413, 0.0997, 0.3716, 0.9333, 1.2158, 1.5001" \
);
}
cell_rise (lut_timing_106 ){
values(\
" 0.3594, 0.3648, 0.3830, 0.4333, 0.6301, 1.0223, 1.2186, 1.4148", \
" 0.3648, 0.3702, 0.3884, 0.4387, 0.6355, 1.0277, 1.2240, 1.4202", \
" 0.3733, 0.3787, 0.3969, 0.4472, 0.6440, 1.0362, 1.2325, 1.4287", \
" 0.3907, 0.3961, 0.4143, 0.4646, 0.6614, 1.0536, 1.2499, 1.4461", \
" 0.4228, 0.4282, 0.4464, 0.4967, 0.6935, 1.0857, 1.2820, 1.4782", \
" 0.4720, 0.4774, 0.4956, 0.5459, 0.7427, 1.1349, 1.3312, 1.5274", \
" 0.5122, 0.5176, 0.5358, 0.5861, 0.7829, 1.1751, 1.3714, 1.5676", \
" 0.5930, 0.5984, 0.6166, 0.6669, 0.8637, 1.2559, 1.4522, 1.6484" \
);
}
cell_fall (lut_timing_106 ){
values(\
" 0.3673, 0.3727, 0.3922, 0.4457, 0.6466, 1.0466, 1.2474, 1.4478", \
" 0.3739, 0.3793, 0.3988, 0.4523, 0.6532, 1.0532, 1.2540, 1.4544", \
" 0.3846, 0.3900, 0.4095, 0.4630, 0.6639, 1.0639, 1.2647, 1.4651", \
" 0.4062, 0.4116, 0.4311, 0.4846, 0.6855, 1.0855, 1.2863, 1.4867", \
" 0.4504, 0.4558, 0.4753, 0.5288, 0.7297, 1.1297, 1.3305, 1.5309", \
" 0.5322, 0.5376, 0.5571, 0.6106, 0.8115, 1.2115, 1.4123, 1.6127", \
" 0.6150, 0.6204, 0.6399, 0.6934, 0.8943, 1.2943, 1.4951, 1.6955", \
" 0.8504, 0.8558, 0.8753, 0.9288, 1.1297, 1.5297, 1.7305, 1.9309" \
);
}
} /* End of combinational arc of pin UserCLKo wrt pin UserCLK */
} /* End of pin UserCLKo */
/* Start of pin RES2_O3 */
pin (RES2_O3 ) {
direction : output ;
capacitance : 0.0025;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin RES2_O3 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_107 ){
values(\
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448" \
);
}
fall_transition (lut_timing_107 ){
values(\
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772", \
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772", \
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772", \
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772", \
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772", \
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772", \
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772", \
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772" \
);
}
cell_rise (lut_timing_107 ){
values(\
" 0.7723, 0.7851, 0.8321, 1.0309, 1.9396, 2.9848, 4.0312, 6.1164", \
" 0.7779, 0.7907, 0.8377, 1.0365, 1.9452, 2.9904, 4.0368, 6.1220", \
" 0.7877, 0.8005, 0.8475, 1.0463, 1.9550, 3.0002, 4.0466, 6.1318", \
" 0.8039, 0.8167, 0.8637, 1.0625, 1.9712, 3.0164, 4.0628, 6.1480", \
" 0.8355, 0.8483, 0.8953, 1.0941, 2.0028, 3.0480, 4.0944, 6.1796", \
" 0.8842, 0.8970, 0.9440, 1.1428, 2.0515, 3.0967, 4.1431, 6.2283", \
" 0.9497, 0.9625, 1.0095, 1.2083, 2.1170, 3.1622, 4.2086, 6.2938", \
" 1.0267, 1.0395, 1.0865, 1.2853, 2.1940, 3.2392, 4.2856, 6.3708" \
);
}
cell_fall (lut_timing_107 ){
values(\
" 0.9410, 0.9506, 0.9796, 1.0729, 1.4705, 1.9192, 2.3719, 3.2786", \
" 0.9467, 0.9563, 0.9853, 1.0786, 1.4762, 1.9249, 2.3776, 3.2843", \
" 0.9565, 0.9661, 0.9951, 1.0884, 1.4860, 1.9347, 2.3874, 3.2941", \
" 0.9729, 0.9825, 1.0115, 1.1048, 1.5024, 1.9511, 2.4038, 3.3105", \
" 1.0041, 1.0137, 1.0427, 1.1360, 1.5336, 1.9823, 2.4350, 3.3417", \
" 1.0509, 1.0605, 1.0895, 1.1828, 1.5804, 2.0291, 2.4818, 3.3885", \
" 1.1122, 1.1218, 1.1508, 1.2441, 1.6417, 2.0904, 2.5431, 3.4498", \
" 1.1800, 1.1896, 1.2186, 1.3119, 1.7095, 2.1582, 2.6109, 3.5176" \
);
}
} /* End of rising_edge arc of pin RES2_O3 wrt pin UserCLK */
/* Start of rising_edge arc of pin RES2_O3 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_108 ){
values(\
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448" \
);
}
fall_transition (lut_timing_108 ){
values(\
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773", \
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773", \
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773", \
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773", \
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773", \
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773", \
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773", \
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773" \
);
}
cell_rise (lut_timing_108 ){
values(\
" 0.7723, 0.7852, 0.8327, 1.0308, 1.9396, 2.9848, 4.0312, 6.1163", \
" 0.7780, 0.7909, 0.8384, 1.0365, 1.9453, 2.9905, 4.0369, 6.1220", \
" 0.7866, 0.7995, 0.8470, 1.0451, 1.9539, 2.9991, 4.0455, 6.1306", \
" 0.8040, 0.8169, 0.8644, 1.0625, 1.9713, 3.0165, 4.0629, 6.1480", \
" 0.8355, 0.8484, 0.8959, 1.0940, 2.0028, 3.0480, 4.0944, 6.1795", \
" 0.8842, 0.8971, 0.9446, 1.1427, 2.0515, 3.0967, 4.1431, 6.2282", \
" 0.9264, 0.9393, 0.9868, 1.1849, 2.0937, 3.1389, 4.1853, 6.2704", \
" 1.0268, 1.0397, 1.0872, 1.2853, 2.1941, 3.2393, 4.2857, 6.3708" \
);
}
cell_fall (lut_timing_108 ){
values(\
" 0.9420, 0.9516, 0.9811, 1.0738, 1.4714, 1.9201, 2.3729, 3.2797", \
" 0.9477, 0.9573, 0.9868, 1.0795, 1.4771, 1.9258, 2.3786, 3.2854", \
" 0.9563, 0.9659, 0.9954, 1.0881, 1.4857, 1.9344, 2.3872, 3.2940", \
" 0.9739, 0.9835, 1.0130, 1.1057, 1.5033, 1.9520, 2.4048, 3.3116", \
" 1.0052, 1.0148, 1.0443, 1.1370, 1.5346, 1.9833, 2.4361, 3.3429", \
" 1.0519, 1.0615, 1.0910, 1.1837, 1.5813, 2.0300, 2.4828, 3.3896", \
" 1.0914, 1.1010, 1.1305, 1.2232, 1.6208, 2.0695, 2.5223, 3.4291", \
" 1.1810, 1.1906, 1.2201, 1.3128, 1.7104, 2.1591, 2.6119, 3.5187" \
);
}
} /* End of rising_edge arc of pin RES2_O3 wrt pin UserCLK */
/* Start of combinational arc of pin RES2_O3 wrt pin E6END[11] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E6END[11] ";
rise_transition (lut_timing_109 ){
values(\
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0251, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448" \
);
}
fall_transition (lut_timing_109 ){
values(\
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772", \
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772", \
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772", \
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772", \
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772", \
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772", \
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772", \
" 0.0202, 0.0266, 0.0511, 0.1625, 0.7104, 1.3484, 1.9885, 3.2772" \
);
}
cell_rise (lut_timing_109 ){
values(\
" 0.3942, 0.4070, 0.4540, 0.6528, 1.5615, 2.6067, 3.6531, 5.7383", \
" 0.3942, 0.4070, 0.4540, 0.6528, 1.5615, 2.6067, 3.6531, 5.7383", \
" 0.3942, 0.4070, 0.4540, 0.6528, 1.5615, 2.6067, 3.6531, 5.7383", \
" 0.3942, 0.4070, 0.4540, 0.6528, 1.5615, 2.6067, 3.6531, 5.7383", \
" 0.3942, 0.4070, 0.4540, 0.6528, 1.5615, 2.6067, 3.6531, 5.7383", \
" 0.3942, 0.4070, 0.4540, 0.6528, 1.5615, 2.6067, 3.6531, 5.7383", \
" 0.3942, 0.4070, 0.4540, 0.6528, 1.5615, 2.6067, 3.6531, 5.7383", \
" 0.3942, 0.4070, 0.4540, 0.6528, 1.5615, 2.6067, 3.6531, 5.7383" \
);
}
cell_fall (lut_timing_109 ){
values(\
" 0.5600, 0.5696, 0.5986, 0.6919, 1.0895, 1.5382, 1.9909, 2.8976", \
" 0.5600, 0.5696, 0.5986, 0.6919, 1.0895, 1.5382, 1.9909, 2.8976", \
" 0.5600, 0.5696, 0.5986, 0.6919, 1.0895, 1.5382, 1.9909, 2.8976", \
" 0.5600, 0.5696, 0.5986, 0.6919, 1.0895, 1.5382, 1.9909, 2.8976", \
" 0.5600, 0.5696, 0.5986, 0.6919, 1.0895, 1.5382, 1.9909, 2.8976", \
" 0.5600, 0.5696, 0.5986, 0.6919, 1.0895, 1.5382, 1.9909, 2.8976", \
" 0.5600, 0.5696, 0.5986, 0.6919, 1.0895, 1.5382, 1.9909, 2.8976", \
" 0.5600, 0.5696, 0.5986, 0.6919, 1.0895, 1.5382, 1.9909, 2.8976" \
);
}
} /* End of combinational arc of pin RES2_O3 wrt pin E6END[11] */
/* Start of combinational arc of pin RES2_O3 wrt pin E6END[11] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E6END[11] ";
rise_transition (lut_timing_110 ){
values(\
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448", \
" 0.0252, 0.0366, 0.0927, 0.3726, 1.6824, 3.2005, 4.7172, 7.7448" \
);
}
fall_transition (lut_timing_110 ){
values(\
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773", \
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773", \
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773", \
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773", \
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773", \
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773", \
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773", \
" 0.0202, 0.0266, 0.0512, 0.1625, 0.7104, 1.3483, 1.9885, 3.2773" \
);
}
cell_rise (lut_timing_110 ){
values(\
" 0.3944, 0.4073, 0.4548, 0.6529, 1.5617, 2.6069, 3.6533, 5.7384", \
" 0.3944, 0.4073, 0.4548, 0.6529, 1.5617, 2.6069, 3.6533, 5.7384", \
" 0.3944, 0.4073, 0.4548, 0.6529, 1.5617, 2.6069, 3.6533, 5.7384", \
" 0.3944, 0.4073, 0.4548, 0.6529, 1.5617, 2.6069, 3.6533, 5.7384", \
" 0.3944, 0.4073, 0.4548, 0.6529, 1.5617, 2.6069, 3.6533, 5.7384", \
" 0.3944, 0.4073, 0.4548, 0.6529, 1.5617, 2.6069, 3.6533, 5.7384", \
" 0.3944, 0.4073, 0.4548, 0.6529, 1.5617, 2.6069, 3.6533, 5.7384", \
" 0.3944, 0.4073, 0.4548, 0.6529, 1.5617, 2.6069, 3.6533, 5.7384" \
);
}
cell_fall (lut_timing_110 ){
values(\
" 0.5611, 0.5707, 0.6002, 0.6929, 1.0905, 1.5392, 1.9920, 2.8988", \
" 0.5611, 0.5707, 0.6002, 0.6929, 1.0905, 1.5392, 1.9920, 2.8988", \
" 0.5611, 0.5707, 0.6002, 0.6929, 1.0905, 1.5392, 1.9920, 2.8988", \
" 0.5611, 0.5707, 0.6002, 0.6929, 1.0905, 1.5392, 1.9920, 2.8988", \
" 0.5611, 0.5707, 0.6002, 0.6929, 1.0905, 1.5392, 1.9920, 2.8988", \
" 0.5611, 0.5707, 0.6002, 0.6929, 1.0905, 1.5392, 1.9920, 2.8988", \
" 0.5611, 0.5707, 0.6002, 0.6929, 1.0905, 1.5392, 1.9920, 2.8988", \
" 0.5611, 0.5707, 0.6002, 0.6929, 1.0905, 1.5392, 1.9920, 2.8988" \
);
}
} /* End of combinational arc of pin RES2_O3 wrt pin E6END[11] */
} /* End of pin RES2_O3 */
/* Start of pin RES2_O2 */
pin (RES2_O2 ) {
direction : output ;
capacitance : 0.0119;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin RES2_O2 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_111 ){
values(\
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969" \
);
}
fall_transition (lut_timing_111 ){
values(\
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268" \
);
}
cell_rise (lut_timing_111 ){
values(\
" 0.7588, 0.8042, 1.0049, 1.9147, 2.9620, 4.0110, 5.0590, 6.1052", \
" 0.7644, 0.8098, 1.0105, 1.9203, 2.9676, 4.0166, 5.0646, 6.1108", \
" 0.7742, 0.8196, 1.0203, 1.9301, 2.9774, 4.0264, 5.0744, 6.1206", \
" 0.7904, 0.8358, 1.0365, 1.9463, 2.9936, 4.0426, 5.0906, 6.1368", \
" 0.8220, 0.8674, 1.0681, 1.9779, 3.0252, 4.0742, 5.1222, 6.1684", \
" 0.8707, 0.9161, 1.1168, 2.0266, 3.0739, 4.1229, 5.1709, 6.2171", \
" 0.9363, 0.9817, 1.1824, 2.0922, 3.1395, 4.1885, 5.2365, 6.2827", \
" 1.0132, 1.0586, 1.2593, 2.1691, 3.2164, 4.2654, 5.3134, 6.3596" \
);
}
cell_fall (lut_timing_111 ){
values(\
" 0.9460, 0.9741, 1.0699, 1.4734, 1.9243, 2.3770, 2.8310, 3.2860", \
" 0.9517, 0.9798, 1.0756, 1.4791, 1.9300, 2.3827, 2.8367, 3.2917", \
" 0.9615, 0.9896, 1.0854, 1.4889, 1.9398, 2.3925, 2.8465, 3.3015", \
" 0.9779, 1.0060, 1.1018, 1.5053, 1.9562, 2.4089, 2.8629, 3.3179", \
" 1.0091, 1.0372, 1.1330, 1.5365, 1.9874, 2.4401, 2.8941, 3.3491", \
" 1.0559, 1.0840, 1.1798, 1.5833, 2.0342, 2.4869, 2.9409, 3.3959", \
" 1.1172, 1.1453, 1.2411, 1.6446, 2.0955, 2.5482, 3.0022, 3.4572", \
" 1.1850, 1.2131, 1.3089, 1.7124, 2.1633, 2.6160, 3.0700, 3.5250" \
);
}
} /* End of rising_edge arc of pin RES2_O2 wrt pin UserCLK */
/* Start of rising_edge arc of pin RES2_O2 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_112 ){
values(\
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969" \
);
}
fall_transition (lut_timing_112 ){
values(\
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268" \
);
}
cell_rise (lut_timing_112 ){
values(\
" 0.7601, 0.8051, 1.0059, 1.9158, 2.9630, 4.0120, 5.0599, 6.1061", \
" 0.7657, 0.8107, 1.0115, 1.9214, 2.9686, 4.0176, 5.0655, 6.1117", \
" 0.7744, 0.8194, 1.0202, 1.9301, 2.9773, 4.0263, 5.0742, 6.1204", \
" 0.7918, 0.8368, 1.0376, 1.9475, 2.9947, 4.0437, 5.0916, 6.1378", \
" 0.8233, 0.8683, 1.0691, 1.9790, 3.0262, 4.0752, 5.1231, 6.1693", \
" 0.8720, 0.9170, 1.1178, 2.0277, 3.0749, 4.1239, 5.1718, 6.2180", \
" 0.9141, 0.9591, 1.1599, 2.0698, 3.1170, 4.1660, 5.2139, 6.2601", \
" 1.0144, 1.0594, 1.2602, 2.1701, 3.2173, 4.2663, 5.3142, 6.3604" \
);
}
cell_fall (lut_timing_112 ){
values(\
" 0.9476, 0.9753, 1.0711, 1.4746, 1.9255, 2.3783, 2.8322, 3.2873", \
" 0.9534, 0.9811, 1.0769, 1.4804, 1.9313, 2.3841, 2.8380, 3.2931", \
" 0.9620, 0.9897, 1.0855, 1.4890, 1.9399, 2.3927, 2.8466, 3.3017", \
" 0.9795, 1.0072, 1.1030, 1.5065, 1.9574, 2.4102, 2.8641, 3.3192", \
" 1.0108, 1.0385, 1.1343, 1.5378, 1.9887, 2.4415, 2.8954, 3.3505", \
" 1.0575, 1.0852, 1.1810, 1.5845, 2.0354, 2.4882, 2.9421, 3.3972", \
" 1.0971, 1.1248, 1.2206, 1.6241, 2.0750, 2.5278, 2.9817, 3.4368", \
" 1.1866, 1.2143, 1.3101, 1.7136, 2.1645, 2.6173, 3.0712, 3.5263" \
);
}
} /* End of rising_edge arc of pin RES2_O2 wrt pin UserCLK */
/* Start of combinational arc of pin RES2_O2 wrt pin E6END[10] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E6END[10] ";
rise_transition (lut_timing_113 ){
values(\
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969" \
);
}
fall_transition (lut_timing_113 ){
values(\
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268" \
);
}
cell_rise (lut_timing_113 ){
values(\
" 0.3516, 0.3970, 0.5977, 1.5075, 2.5548, 3.6038, 4.6518, 5.6980", \
" 0.3516, 0.3970, 0.5977, 1.5075, 2.5548, 3.6038, 4.6518, 5.6980", \
" 0.3516, 0.3970, 0.5977, 1.5075, 2.5548, 3.6038, 4.6518, 5.6980", \
" 0.3516, 0.3970, 0.5977, 1.5075, 2.5548, 3.6038, 4.6518, 5.6980", \
" 0.3516, 0.3970, 0.5977, 1.5075, 2.5548, 3.6038, 4.6518, 5.6980", \
" 0.3516, 0.3970, 0.5977, 1.5075, 2.5548, 3.6038, 4.6518, 5.6980", \
" 0.3516, 0.3970, 0.5977, 1.5075, 2.5548, 3.6038, 4.6518, 5.6980", \
" 0.3516, 0.3970, 0.5977, 1.5075, 2.5548, 3.6038, 4.6518, 5.6980" \
);
}
cell_fall (lut_timing_113 ){
values(\
" 0.5262, 0.5543, 0.6501, 1.0536, 1.5045, 1.9572, 2.4112, 2.8662", \
" 0.5262, 0.5543, 0.6501, 1.0536, 1.5045, 1.9572, 2.4112, 2.8662", \
" 0.5262, 0.5543, 0.6501, 1.0536, 1.5045, 1.9572, 2.4112, 2.8662", \
" 0.5262, 0.5543, 0.6501, 1.0536, 1.5045, 1.9572, 2.4112, 2.8662", \
" 0.5262, 0.5543, 0.6501, 1.0536, 1.5045, 1.9572, 2.4112, 2.8662", \
" 0.5262, 0.5543, 0.6501, 1.0536, 1.5045, 1.9572, 2.4112, 2.8662", \
" 0.5262, 0.5543, 0.6501, 1.0536, 1.5045, 1.9572, 2.4112, 2.8662", \
" 0.5262, 0.5543, 0.6501, 1.0536, 1.5045, 1.9572, 2.4112, 2.8662" \
);
}
} /* End of combinational arc of pin RES2_O2 wrt pin E6END[10] */
/* Start of combinational arc of pin RES2_O2 wrt pin E6END[10] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E6END[10] ";
rise_transition (lut_timing_114 ){
values(\
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969", \
" 0.0366, 0.0926, 0.3780, 1.7143, 3.2616, 4.8082, 6.3525, 7.8969" \
);
}
fall_transition (lut_timing_114 ){
values(\
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268", \
" 0.0270, 0.0517, 0.1669, 0.7387, 1.4083, 2.0794, 2.7526, 3.4268" \
);
}
cell_rise (lut_timing_114 ){
values(\
" 0.3530, 0.3980, 0.5988, 1.5087, 2.5559, 3.6049, 4.6528, 5.6990", \
" 0.3530, 0.3980, 0.5988, 1.5087, 2.5559, 3.6049, 4.6528, 5.6990", \
" 0.3530, 0.3980, 0.5988, 1.5087, 2.5559, 3.6049, 4.6528, 5.6990", \
" 0.3530, 0.3980, 0.5988, 1.5087, 2.5559, 3.6049, 4.6528, 5.6990", \
" 0.3530, 0.3980, 0.5988, 1.5087, 2.5559, 3.6049, 4.6528, 5.6990", \
" 0.3530, 0.3980, 0.5988, 1.5087, 2.5559, 3.6049, 4.6528, 5.6990", \
" 0.3530, 0.3980, 0.5988, 1.5087, 2.5559, 3.6049, 4.6528, 5.6990", \
" 0.3530, 0.3980, 0.5988, 1.5087, 2.5559, 3.6049, 4.6528, 5.6990" \
);
}
cell_fall (lut_timing_114 ){
values(\
" 0.5280, 0.5557, 0.6515, 1.0550, 1.5059, 1.9587, 2.4126, 2.8677", \
" 0.5280, 0.5557, 0.6515, 1.0550, 1.5059, 1.9587, 2.4126, 2.8677", \
" 0.5280, 0.5557, 0.6515, 1.0550, 1.5059, 1.9587, 2.4126, 2.8677", \
" 0.5280, 0.5557, 0.6515, 1.0550, 1.5059, 1.9587, 2.4126, 2.8677", \
" 0.5280, 0.5557, 0.6515, 1.0550, 1.5059, 1.9587, 2.4126, 2.8677", \
" 0.5280, 0.5557, 0.6515, 1.0550, 1.5059, 1.9587, 2.4126, 2.8677", \
" 0.5280, 0.5557, 0.6515, 1.0550, 1.5059, 1.9587, 2.4126, 2.8677", \
" 0.5280, 0.5557, 0.6515, 1.0550, 1.5059, 1.9587, 2.4126, 2.8677" \
);
}
} /* End of combinational arc of pin RES2_O2 wrt pin E6END[10] */
} /* End of pin RES2_O2 */
/* Start of pin RES2_O1 */
pin (RES2_O1 ) {
direction : output ;
capacitance : 0.0119;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin RES2_O1 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_115 ){
values(\
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006" \
);
}
fall_transition (lut_timing_115 ){
values(\
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304" \
);
}
cell_rise (lut_timing_115 ){
values(\
" 0.7685, 0.8139, 1.0149, 1.9252, 2.9727, 4.0214, 5.0690, 6.1145", \
" 0.7740, 0.8194, 1.0204, 1.9307, 2.9782, 4.0269, 5.0745, 6.1200", \
" 0.7839, 0.8293, 1.0303, 1.9406, 2.9881, 4.0368, 5.0844, 6.1299", \
" 0.8001, 0.8455, 1.0465, 1.9568, 3.0043, 4.0530, 5.1006, 6.1461", \
" 0.8316, 0.8770, 1.0780, 1.9883, 3.0358, 4.0845, 5.1321, 6.1776", \
" 0.8804, 0.9258, 1.1268, 2.0371, 3.0846, 4.1333, 5.1809, 6.2264", \
" 0.9459, 0.9913, 1.1923, 2.1026, 3.1501, 4.1988, 5.2464, 6.2919", \
" 1.0229, 1.0683, 1.2693, 2.1796, 3.2271, 4.2758, 5.3234, 6.3689" \
);
}
cell_fall (lut_timing_115 ){
values(\
" 0.9538, 0.9818, 1.0776, 1.4812, 1.9322, 2.3851, 2.8392, 3.2943", \
" 0.9594, 0.9874, 1.0832, 1.4868, 1.9378, 2.3907, 2.8448, 3.2999", \
" 0.9692, 0.9972, 1.0930, 1.4966, 1.9476, 2.4005, 2.8546, 3.3097", \
" 0.9856, 1.0136, 1.1094, 1.5130, 1.9640, 2.4169, 2.8710, 3.3261", \
" 1.0170, 1.0450, 1.1408, 1.5444, 1.9954, 2.4483, 2.9024, 3.3575", \
" 1.0637, 1.0917, 1.1875, 1.5911, 2.0421, 2.4950, 2.9491, 3.4042", \
" 1.1249, 1.1529, 1.2487, 1.6523, 2.1033, 2.5562, 3.0103, 3.4654", \
" 1.1928, 1.2208, 1.3166, 1.7202, 2.1712, 2.6241, 3.0782, 3.5333" \
);
}
} /* End of rising_edge arc of pin RES2_O1 wrt pin UserCLK */
/* Start of rising_edge arc of pin RES2_O1 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_116 ){
values(\
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007" \
);
}
fall_transition (lut_timing_116 ){
values(\
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304" \
);
}
cell_rise (lut_timing_116 ){
values(\
" 0.7691, 0.8141, 1.0151, 1.9254, 2.9729, 4.0216, 5.0691, 6.1147", \
" 0.7748, 0.8198, 1.0208, 1.9311, 2.9786, 4.0273, 5.0748, 6.1204", \
" 0.7833, 0.8283, 1.0293, 1.9396, 2.9871, 4.0358, 5.0833, 6.1289", \
" 0.8007, 0.8457, 1.0467, 1.9570, 3.0045, 4.0532, 5.1007, 6.1463", \
" 0.8322, 0.8772, 1.0782, 1.9885, 3.0360, 4.0847, 5.1322, 6.1778", \
" 0.8810, 0.9260, 1.1270, 2.0373, 3.0848, 4.1335, 5.1810, 6.2266", \
" 0.9230, 0.9680, 1.1690, 2.0793, 3.1268, 4.1755, 5.2230, 6.2686", \
" 1.0235, 1.0685, 1.2695, 2.1798, 3.2273, 4.2760, 5.3235, 6.3691" \
);
}
cell_fall (lut_timing_116 ){
values(\
" 0.9548, 0.9825, 1.0783, 1.4819, 1.9329, 2.3857, 2.8399, 3.2950", \
" 0.9606, 0.9883, 1.0841, 1.4877, 1.9387, 2.3915, 2.8457, 3.3008", \
" 0.9692, 0.9969, 1.0927, 1.4963, 1.9473, 2.4001, 2.8543, 3.3094", \
" 0.9868, 1.0145, 1.1103, 1.5139, 1.9649, 2.4177, 2.8719, 3.3270", \
" 1.0180, 1.0457, 1.1415, 1.5451, 1.9961, 2.4489, 2.9031, 3.3582", \
" 1.0647, 1.0924, 1.1882, 1.5918, 2.0428, 2.4956, 2.9498, 3.4049", \
" 1.1043, 1.1320, 1.2278, 1.6314, 2.0824, 2.5352, 2.9894, 3.4445", \
" 1.1938, 1.2215, 1.3173, 1.7209, 2.1719, 2.6247, 3.0789, 3.5340" \
);
}
} /* End of rising_edge arc of pin RES2_O1 wrt pin UserCLK */
/* Start of combinational arc of pin RES2_O1 wrt pin E6END[9] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E6END[9] ";
rise_transition (lut_timing_117 ){
values(\
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9006" \
);
}
fall_transition (lut_timing_117 ){
values(\
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304" \
);
}
cell_rise (lut_timing_117 ){
values(\
" 0.3624, 0.4078, 0.6088, 1.5191, 2.5666, 3.6153, 4.6629, 5.7084", \
" 0.3624, 0.4078, 0.6088, 1.5191, 2.5666, 3.6153, 4.6629, 5.7084", \
" 0.3624, 0.4078, 0.6088, 1.5191, 2.5666, 3.6153, 4.6629, 5.7084", \
" 0.3624, 0.4078, 0.6088, 1.5191, 2.5666, 3.6153, 4.6629, 5.7084", \
" 0.3624, 0.4078, 0.6088, 1.5191, 2.5666, 3.6153, 4.6629, 5.7084", \
" 0.3624, 0.4078, 0.6088, 1.5191, 2.5666, 3.6153, 4.6629, 5.7084", \
" 0.3624, 0.4078, 0.6088, 1.5191, 2.5666, 3.6153, 4.6629, 5.7084", \
" 0.3624, 0.4078, 0.6088, 1.5191, 2.5666, 3.6153, 4.6629, 5.7084" \
);
}
cell_fall (lut_timing_117 ){
values(\
" 0.5349, 0.5629, 0.6587, 1.0623, 1.5133, 1.9662, 2.4203, 2.8754", \
" 0.5349, 0.5629, 0.6587, 1.0623, 1.5133, 1.9662, 2.4203, 2.8754", \
" 0.5349, 0.5629, 0.6587, 1.0623, 1.5133, 1.9662, 2.4203, 2.8754", \
" 0.5349, 0.5629, 0.6587, 1.0623, 1.5133, 1.9662, 2.4203, 2.8754", \
" 0.5349, 0.5629, 0.6587, 1.0623, 1.5133, 1.9662, 2.4203, 2.8754", \
" 0.5349, 0.5629, 0.6587, 1.0623, 1.5133, 1.9662, 2.4203, 2.8754", \
" 0.5349, 0.5629, 0.6587, 1.0623, 1.5133, 1.9662, 2.4203, 2.8754", \
" 0.5349, 0.5629, 0.6587, 1.0623, 1.5133, 1.9662, 2.4203, 2.8754" \
);
}
} /* End of combinational arc of pin RES2_O1 wrt pin E6END[9] */
/* Start of combinational arc of pin RES2_O1 wrt pin E6END[9] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E6END[9] ";
rise_transition (lut_timing_118 ){
values(\
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007", \
" 0.0369, 0.0928, 0.3780, 1.7150, 3.2631, 4.8106, 6.3554, 7.9007" \
);
}
fall_transition (lut_timing_118 ){
values(\
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304", \
" 0.0271, 0.0519, 0.1671, 0.7394, 1.4097, 2.0815, 2.7554, 3.4304" \
);
}
cell_rise (lut_timing_118 ){
values(\
" 0.3632, 0.4082, 0.6092, 1.5195, 2.5670, 3.6157, 4.6632, 5.7088", \
" 0.3632, 0.4082, 0.6092, 1.5195, 2.5670, 3.6157, 4.6632, 5.7088", \
" 0.3632, 0.4082, 0.6092, 1.5195, 2.5670, 3.6157, 4.6632, 5.7088", \
" 0.3632, 0.4082, 0.6092, 1.5195, 2.5670, 3.6157, 4.6632, 5.7088", \
" 0.3632, 0.4082, 0.6092, 1.5195, 2.5670, 3.6157, 4.6632, 5.7088", \
" 0.3632, 0.4082, 0.6092, 1.5195, 2.5670, 3.6157, 4.6632, 5.7088", \
" 0.3632, 0.4082, 0.6092, 1.5195, 2.5670, 3.6157, 4.6632, 5.7088", \
" 0.3632, 0.4082, 0.6092, 1.5195, 2.5670, 3.6157, 4.6632, 5.7088" \
);
}
cell_fall (lut_timing_118 ){
values(\
" 0.5361, 0.5638, 0.6596, 1.0632, 1.5142, 1.9670, 2.4212, 2.8763", \
" 0.5361, 0.5638, 0.6596, 1.0632, 1.5142, 1.9670, 2.4212, 2.8763", \
" 0.5361, 0.5638, 0.6596, 1.0632, 1.5142, 1.9670, 2.4212, 2.8763", \
" 0.5361, 0.5638, 0.6596, 1.0632, 1.5142, 1.9670, 2.4212, 2.8763", \
" 0.5361, 0.5638, 0.6596, 1.0632, 1.5142, 1.9670, 2.4212, 2.8763", \
" 0.5361, 0.5638, 0.6596, 1.0632, 1.5142, 1.9670, 2.4212, 2.8763", \
" 0.5361, 0.5638, 0.6596, 1.0632, 1.5142, 1.9670, 2.4212, 2.8763", \
" 0.5361, 0.5638, 0.6596, 1.0632, 1.5142, 1.9670, 2.4212, 2.8763" \
);
}
} /* End of combinational arc of pin RES2_O1 wrt pin E6END[9] */
} /* End of pin RES2_O1 */
/* Start of pin RES2_O0 */
pin (RES2_O0 ) {
direction : output ;
capacitance : 0.0113;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin RES2_O0 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_119 ){
values(\
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950" \
);
}
fall_transition (lut_timing_119 ){
values(\
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248" \
);
}
cell_rise (lut_timing_119 ){
values(\
" 0.7646, 0.8107, 1.0115, 1.9215, 2.9687, 4.0176, 5.0654, 6.1113", \
" 0.7702, 0.8163, 1.0171, 1.9271, 2.9743, 4.0232, 5.0710, 6.1169", \
" 0.7800, 0.8261, 1.0269, 1.9369, 2.9841, 4.0330, 5.0808, 6.1267", \
" 0.7963, 0.8424, 1.0432, 1.9532, 3.0004, 4.0493, 5.0971, 6.1430", \
" 0.8278, 0.8739, 1.0747, 1.9847, 3.0319, 4.0808, 5.1286, 6.1745", \
" 0.8765, 0.9226, 1.1234, 2.0334, 3.0806, 4.1295, 5.1773, 6.2232", \
" 0.9420, 0.9881, 1.1889, 2.0989, 3.1461, 4.1950, 5.2428, 6.2887", \
" 1.0190, 1.0651, 1.2659, 2.1759, 3.2231, 4.2720, 5.3198, 6.3657" \
);
}
cell_fall (lut_timing_119 ){
values(\
" 0.9507, 0.9794, 1.0751, 1.4785, 1.9293, 2.3822, 2.8360, 3.2911", \
" 0.9564, 0.9851, 1.0808, 1.4842, 1.9350, 2.3879, 2.8417, 3.2968", \
" 0.9662, 0.9949, 1.0906, 1.4940, 1.9448, 2.3977, 2.8515, 3.3066", \
" 0.9826, 1.0113, 1.1070, 1.5104, 1.9612, 2.4141, 2.8679, 3.3230", \
" 1.0138, 1.0425, 1.1382, 1.5416, 1.9924, 2.4453, 2.8991, 3.3542", \
" 1.0606, 1.0893, 1.1850, 1.5884, 2.0392, 2.4921, 2.9459, 3.4010", \
" 1.1219, 1.1506, 1.2463, 1.6497, 2.1005, 2.5534, 3.0072, 3.4623", \
" 1.1897, 1.2184, 1.3141, 1.7175, 2.1683, 2.6212, 3.0750, 3.5301" \
);
}
} /* End of rising_edge arc of pin RES2_O0 wrt pin UserCLK */
/* Start of rising_edge arc of pin RES2_O0 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_120 ){
values(\
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951" \
);
}
fall_transition (lut_timing_120 ){
values(\
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249" \
);
}
cell_rise (lut_timing_120 ){
values(\
" 0.7660, 0.8118, 1.0125, 1.9226, 2.9698, 4.0187, 5.0664, 6.1123", \
" 0.7716, 0.8174, 1.0181, 1.9282, 2.9754, 4.0243, 5.0720, 6.1179", \
" 0.7802, 0.8260, 1.0267, 1.9368, 2.9840, 4.0329, 5.0806, 6.1265", \
" 0.7976, 0.8434, 1.0441, 1.9542, 3.0014, 4.0503, 5.0980, 6.1439", \
" 0.8292, 0.8750, 1.0757, 1.9858, 3.0330, 4.0819, 5.1296, 6.1755", \
" 0.8779, 0.9237, 1.1244, 2.0345, 3.0817, 4.1306, 5.1783, 6.2242", \
" 0.9200, 0.9658, 1.1665, 2.0766, 3.1238, 4.1727, 5.2204, 6.2663", \
" 1.0204, 1.0662, 1.2669, 2.1770, 3.2242, 4.2731, 5.3208, 6.3667" \
);
}
cell_fall (lut_timing_120 ){
values(\
" 0.9525, 0.9808, 1.0766, 1.4800, 1.9308, 2.3836, 2.8375, 3.2926", \
" 0.9583, 0.9866, 1.0824, 1.4858, 1.9366, 2.3894, 2.8433, 3.2984", \
" 0.9669, 0.9952, 1.0910, 1.4944, 1.9452, 2.3980, 2.8519, 3.3070", \
" 0.9845, 1.0128, 1.1086, 1.5120, 1.9628, 2.4156, 2.8695, 3.3246", \
" 1.0157, 1.0440, 1.1398, 1.5432, 1.9940, 2.4468, 2.9007, 3.3558", \
" 1.0624, 1.0907, 1.1865, 1.5899, 2.0407, 2.4935, 2.9474, 3.4025", \
" 1.1019, 1.1302, 1.2260, 1.6294, 2.0802, 2.5330, 2.9869, 3.4420", \
" 1.1915, 1.2198, 1.3156, 1.7190, 2.1698, 2.6226, 3.0765, 3.5316" \
);
}
} /* End of rising_edge arc of pin RES2_O0 wrt pin UserCLK */
/* Start of combinational arc of pin RES2_O0 wrt pin E6END[8] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E6END[8] ";
rise_transition (lut_timing_121 ){
values(\
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8950" \
);
}
fall_transition (lut_timing_121 ){
values(\
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4075, 2.0782, 2.7510, 3.4248" \
);
}
cell_rise (lut_timing_121 ){
values(\
" 0.3545, 0.4006, 0.6014, 1.5114, 2.5586, 3.6075, 4.6553, 5.7012", \
" 0.3545, 0.4006, 0.6014, 1.5114, 2.5586, 3.6075, 4.6553, 5.7012", \
" 0.3545, 0.4006, 0.6014, 1.5114, 2.5586, 3.6075, 4.6553, 5.7012", \
" 0.3545, 0.4006, 0.6014, 1.5114, 2.5586, 3.6075, 4.6553, 5.7012", \
" 0.3545, 0.4006, 0.6014, 1.5114, 2.5586, 3.6075, 4.6553, 5.7012", \
" 0.3545, 0.4006, 0.6014, 1.5114, 2.5586, 3.6075, 4.6553, 5.7012", \
" 0.3545, 0.4006, 0.6014, 1.5114, 2.5586, 3.6075, 4.6553, 5.7012", \
" 0.3545, 0.4006, 0.6014, 1.5114, 2.5586, 3.6075, 4.6553, 5.7012" \
);
}
cell_fall (lut_timing_121 ){
values(\
" 0.5286, 0.5573, 0.6530, 1.0564, 1.5072, 1.9601, 2.4139, 2.8690", \
" 0.5286, 0.5573, 0.6530, 1.0564, 1.5072, 1.9601, 2.4139, 2.8690", \
" 0.5286, 0.5573, 0.6530, 1.0564, 1.5072, 1.9601, 2.4139, 2.8690", \
" 0.5286, 0.5573, 0.6530, 1.0564, 1.5072, 1.9601, 2.4139, 2.8690", \
" 0.5286, 0.5573, 0.6530, 1.0564, 1.5072, 1.9601, 2.4139, 2.8690", \
" 0.5286, 0.5573, 0.6530, 1.0564, 1.5072, 1.9601, 2.4139, 2.8690", \
" 0.5286, 0.5573, 0.6530, 1.0564, 1.5072, 1.9601, 2.4139, 2.8690", \
" 0.5286, 0.5573, 0.6530, 1.0564, 1.5072, 1.9601, 2.4139, 2.8690" \
);
}
} /* End of combinational arc of pin RES2_O0 wrt pin E6END[8] */
/* Start of combinational arc of pin RES2_O0 wrt pin E6END[8] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E6END[8] ";
rise_transition (lut_timing_122 ){
values(\
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951", \
" 0.0359, 0.0927, 0.3779, 1.7139, 3.2608, 4.8071, 6.3510, 7.8951" \
);
}
fall_transition (lut_timing_122 ){
values(\
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249", \
" 0.0267, 0.0518, 0.1669, 0.7383, 1.4074, 2.0782, 2.7510, 3.4249" \
);
}
cell_rise (lut_timing_122 ){
values(\
" 0.3560, 0.4018, 0.6025, 1.5126, 2.5598, 3.6087, 4.6564, 5.7023", \
" 0.3560, 0.4018, 0.6025, 1.5126, 2.5598, 3.6087, 4.6564, 5.7023", \
" 0.3560, 0.4018, 0.6025, 1.5126, 2.5598, 3.6087, 4.6564, 5.7023", \
" 0.3560, 0.4018, 0.6025, 1.5126, 2.5598, 3.6087, 4.6564, 5.7023", \
" 0.3560, 0.4018, 0.6025, 1.5126, 2.5598, 3.6087, 4.6564, 5.7023", \
" 0.3560, 0.4018, 0.6025, 1.5126, 2.5598, 3.6087, 4.6564, 5.7023", \
" 0.3560, 0.4018, 0.6025, 1.5126, 2.5598, 3.6087, 4.6564, 5.7023", \
" 0.3560, 0.4018, 0.6025, 1.5126, 2.5598, 3.6087, 4.6564, 5.7023" \
);
}
cell_fall (lut_timing_122 ){
values(\
" 0.5306, 0.5589, 0.6547, 1.0581, 1.5089, 1.9617, 2.4156, 2.8707", \
" 0.5306, 0.5589, 0.6547, 1.0581, 1.5089, 1.9617, 2.4156, 2.8707", \
" 0.5306, 0.5589, 0.6547, 1.0581, 1.5089, 1.9617, 2.4156, 2.8707", \
" 0.5306, 0.5589, 0.6547, 1.0581, 1.5089, 1.9617, 2.4156, 2.8707", \
" 0.5306, 0.5589, 0.6547, 1.0581, 1.5089, 1.9617, 2.4156, 2.8707", \
" 0.5306, 0.5589, 0.6547, 1.0581, 1.5089, 1.9617, 2.4156, 2.8707", \
" 0.5306, 0.5589, 0.6547, 1.0581, 1.5089, 1.9617, 2.4156, 2.8707", \
" 0.5306, 0.5589, 0.6547, 1.0581, 1.5089, 1.9617, 2.4156, 2.8707" \
);
}
} /* End of combinational arc of pin RES2_O0 wrt pin E6END[8] */
} /* End of pin RES2_O0 */
/* Start of pin RES1_O3 */
pin (RES1_O3 ) {
direction : output ;
capacitance : 0.0022;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin RES1_O3 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_123 ){
values(\
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366" \
);
}
fall_transition (lut_timing_123 ){
values(\
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690", \
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690", \
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690", \
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690", \
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690", \
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690", \
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690", \
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690" \
);
}
cell_rise (lut_timing_123 ){
values(\
" 0.7593, 0.7596, 0.7725, 0.8194, 1.0179, 1.9261, 4.0186, 6.1037", \
" 0.7648, 0.7651, 0.7780, 0.8249, 1.0234, 1.9316, 4.0241, 6.1092", \
" 0.7747, 0.7750, 0.7879, 0.8348, 1.0333, 1.9415, 4.0340, 6.1191", \
" 0.7909, 0.7912, 0.8041, 0.8510, 1.0495, 1.9577, 4.0502, 6.1353", \
" 0.8224, 0.8227, 0.8356, 0.8825, 1.0810, 1.9892, 4.0817, 6.1668", \
" 0.8712, 0.8715, 0.8844, 0.9313, 1.1298, 2.0380, 4.1305, 6.2156", \
" 0.9367, 0.9370, 0.9499, 0.9968, 1.1953, 2.1035, 4.1960, 6.2811", \
" 1.0137, 1.0140, 1.0269, 1.0738, 1.2723, 2.1805, 4.2730, 6.3581" \
);
}
cell_fall (lut_timing_123 ){
values(\
" 0.9300, 0.9302, 0.9400, 0.9689, 1.0621, 1.4590, 2.3603, 3.2662", \
" 0.9356, 0.9358, 0.9456, 0.9745, 1.0677, 1.4646, 2.3659, 3.2718", \
" 0.9454, 0.9456, 0.9554, 0.9843, 1.0775, 1.4744, 2.3757, 3.2816", \
" 0.9618, 0.9620, 0.9718, 1.0007, 1.0939, 1.4908, 2.3921, 3.2980", \
" 0.9932, 0.9934, 1.0032, 1.0321, 1.1253, 1.5222, 2.4235, 3.3294", \
" 1.0399, 1.0401, 1.0499, 1.0788, 1.1720, 1.5689, 2.4702, 3.3761", \
" 1.1011, 1.1013, 1.1111, 1.1400, 1.2332, 1.6301, 2.5314, 3.4373", \
" 1.1690, 1.1692, 1.1790, 1.2079, 1.3011, 1.6980, 2.5993, 3.5052" \
);
}
} /* End of rising_edge arc of pin RES1_O3 wrt pin UserCLK */
/* Start of rising_edge arc of pin RES1_O3 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_124 ){
values(\
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366" \
);
}
fall_transition (lut_timing_124 ){
values(\
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691", \
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691", \
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691", \
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691", \
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691", \
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691", \
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691", \
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691" \
);
}
cell_rise (lut_timing_124 ){
values(\
" 0.7594, 0.7597, 0.7727, 0.8200, 1.0180, 1.9262, 4.0187, 6.1038", \
" 0.7650, 0.7653, 0.7783, 0.8256, 1.0236, 1.9318, 4.0243, 6.1094", \
" 0.7736, 0.7739, 0.7869, 0.8342, 1.0322, 1.9404, 4.0329, 6.1180", \
" 0.7910, 0.7913, 0.8043, 0.8516, 1.0496, 1.9578, 4.0503, 6.1354", \
" 0.8227, 0.8230, 0.8360, 0.8833, 1.0813, 1.9895, 4.0820, 6.1671", \
" 0.8714, 0.8717, 0.8847, 0.9320, 1.1300, 2.0382, 4.1307, 6.2158", \
" 0.9134, 0.9137, 0.9267, 0.9740, 1.1720, 2.0802, 4.1727, 6.2578", \
" 1.0138, 1.0141, 1.0271, 1.0744, 1.2724, 2.1806, 4.2731, 6.3582" \
);
}
cell_fall (lut_timing_124 ){
values(\
" 0.9308, 0.9310, 0.9408, 0.9702, 1.0629, 1.4597, 2.3611, 3.2671", \
" 0.9366, 0.9368, 0.9466, 0.9760, 1.0687, 1.4655, 2.3669, 3.2729", \
" 0.9452, 0.9454, 0.9552, 0.9846, 1.0773, 1.4741, 2.3755, 3.2815", \
" 0.9628, 0.9630, 0.9728, 1.0022, 1.0949, 1.4917, 2.3931, 3.2991", \
" 0.9941, 0.9943, 1.0041, 1.0335, 1.1262, 1.5230, 2.4244, 3.3304", \
" 1.0407, 1.0409, 1.0507, 1.0801, 1.1728, 1.5696, 2.4710, 3.3770", \
" 1.0803, 1.0805, 1.0903, 1.1197, 1.2124, 1.6092, 2.5106, 3.4166", \
" 1.1698, 1.1700, 1.1798, 1.2092, 1.3019, 1.6987, 2.6001, 3.5061" \
);
}
} /* End of rising_edge arc of pin RES1_O3 wrt pin UserCLK */
/* Start of combinational arc of pin RES1_O3 wrt pin E6END[7] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E6END[7] ";
rise_transition (lut_timing_125 ){
values(\
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366" \
);
}
fall_transition (lut_timing_125 ){
values(\
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690", \
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690", \
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690", \
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690", \
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690", \
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690", \
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690", \
" 0.0198, 0.0199, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2690" \
);
}
cell_rise (lut_timing_125 ){
values(\
" 0.3761, 0.3764, 0.3893, 0.4362, 0.6347, 1.5429, 3.6354, 5.7205", \
" 0.3761, 0.3764, 0.3893, 0.4362, 0.6347, 1.5429, 3.6354, 5.7205", \
" 0.3761, 0.3764, 0.3893, 0.4362, 0.6347, 1.5429, 3.6354, 5.7205", \
" 0.3761, 0.3764, 0.3893, 0.4362, 0.6347, 1.5429, 3.6354, 5.7205", \
" 0.3761, 0.3764, 0.3893, 0.4362, 0.6347, 1.5429, 3.6354, 5.7205", \
" 0.3761, 0.3764, 0.3893, 0.4362, 0.6347, 1.5429, 3.6354, 5.7205", \
" 0.3761, 0.3764, 0.3893, 0.4362, 0.6347, 1.5429, 3.6354, 5.7205", \
" 0.3761, 0.3764, 0.3893, 0.4362, 0.6347, 1.5429, 3.6354, 5.7205" \
);
}
cell_fall (lut_timing_125 ){
values(\
" 0.5457, 0.5459, 0.5557, 0.5846, 0.6778, 1.0747, 1.9760, 2.8819", \
" 0.5457, 0.5459, 0.5557, 0.5846, 0.6778, 1.0747, 1.9760, 2.8819", \
" 0.5457, 0.5459, 0.5557, 0.5846, 0.6778, 1.0747, 1.9760, 2.8819", \
" 0.5457, 0.5459, 0.5557, 0.5846, 0.6778, 1.0747, 1.9760, 2.8819", \
" 0.5457, 0.5459, 0.5557, 0.5846, 0.6778, 1.0747, 1.9760, 2.8819", \
" 0.5457, 0.5459, 0.5557, 0.5846, 0.6778, 1.0747, 1.9760, 2.8819", \
" 0.5457, 0.5459, 0.5557, 0.5846, 0.6778, 1.0747, 1.9760, 2.8819", \
" 0.5457, 0.5459, 0.5557, 0.5846, 0.6778, 1.0747, 1.9760, 2.8819" \
);
}
} /* End of combinational arc of pin RES1_O3 wrt pin E6END[7] */
/* Start of combinational arc of pin RES1_O3 wrt pin E6END[7] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E6END[7] ";
rise_transition (lut_timing_126 ){
values(\
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366", \
" 0.0242, 0.0244, 0.0361, 0.0925, 0.3723, 1.6805, 4.7123, 7.7366" \
);
}
fall_transition (lut_timing_126 ){
values(\
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691", \
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691", \
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691", \
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691", \
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691", \
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691", \
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691", \
" 0.0199, 0.0200, 0.0265, 0.0511, 0.1623, 0.7090, 1.9837, 3.2691" \
);
}
cell_rise (lut_timing_126 ){
values(\
" 0.3763, 0.3766, 0.3896, 0.4369, 0.6349, 1.5431, 3.6356, 5.7207", \
" 0.3763, 0.3766, 0.3896, 0.4369, 0.6349, 1.5431, 3.6356, 5.7207", \
" 0.3763, 0.3766, 0.3896, 0.4369, 0.6349, 1.5431, 3.6356, 5.7207", \
" 0.3763, 0.3766, 0.3896, 0.4369, 0.6349, 1.5431, 3.6356, 5.7207", \
" 0.3763, 0.3766, 0.3896, 0.4369, 0.6349, 1.5431, 3.6356, 5.7207", \
" 0.3763, 0.3766, 0.3896, 0.4369, 0.6349, 1.5431, 3.6356, 5.7207", \
" 0.3763, 0.3766, 0.3896, 0.4369, 0.6349, 1.5431, 3.6356, 5.7207", \
" 0.3763, 0.3766, 0.3896, 0.4369, 0.6349, 1.5431, 3.6356, 5.7207" \
);
}
cell_fall (lut_timing_126 ){
values(\
" 0.5466, 0.5468, 0.5566, 0.5860, 0.6787, 1.0755, 1.9769, 2.8829", \
" 0.5466, 0.5468, 0.5566, 0.5860, 0.6787, 1.0755, 1.9769, 2.8829", \
" 0.5466, 0.5468, 0.5566, 0.5860, 0.6787, 1.0755, 1.9769, 2.8829", \
" 0.5466, 0.5468, 0.5566, 0.5860, 0.6787, 1.0755, 1.9769, 2.8829", \
" 0.5466, 0.5468, 0.5566, 0.5860, 0.6787, 1.0755, 1.9769, 2.8829", \
" 0.5466, 0.5468, 0.5566, 0.5860, 0.6787, 1.0755, 1.9769, 2.8829", \
" 0.5466, 0.5468, 0.5566, 0.5860, 0.6787, 1.0755, 1.9769, 2.8829", \
" 0.5466, 0.5468, 0.5566, 0.5860, 0.6787, 1.0755, 1.9769, 2.8829" \
);
}
} /* End of combinational arc of pin RES1_O3 wrt pin E6END[7] */
} /* End of pin RES1_O3 */
/* Start of pin RES1_O2 */
pin (RES1_O2 ) {
direction : output ;
capacitance : 0.0012;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin RES1_O2 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_127 ){
values(\
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201" \
);
}
fall_transition (lut_timing_127 ){
values(\
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533", \
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533", \
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533", \
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533", \
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533", \
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533", \
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533", \
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533" \
);
}
cell_rise (lut_timing_127 ){
values(\
" 0.7562, 0.7582, 0.7712, 0.8180, 1.0161, 1.9239, 4.0163, 6.1006", \
" 0.7618, 0.7638, 0.7768, 0.8236, 1.0217, 1.9295, 4.0219, 6.1062", \
" 0.7716, 0.7736, 0.7866, 0.8334, 1.0315, 1.9393, 4.0317, 6.1160", \
" 0.7879, 0.7899, 0.8029, 0.8497, 1.0478, 1.9556, 4.0480, 6.1323", \
" 0.8194, 0.8214, 0.8344, 0.8812, 1.0793, 1.9871, 4.0795, 6.1638", \
" 0.8681, 0.8701, 0.8831, 0.9299, 1.1280, 2.0358, 4.1282, 6.2125", \
" 0.9336, 0.9356, 0.9486, 0.9954, 1.1935, 2.1013, 4.1937, 6.2780", \
" 1.0106, 1.0126, 1.0256, 1.0724, 1.2705, 2.1783, 4.2707, 6.3550" \
);
}
cell_fall (lut_timing_127 ){
values(\
" 0.9283, 0.9299, 0.9396, 0.9685, 1.0614, 1.4570, 2.3584, 3.2637", \
" 0.9339, 0.9355, 0.9452, 0.9741, 1.0670, 1.4626, 2.3640, 3.2693", \
" 0.9437, 0.9453, 0.9550, 0.9839, 1.0768, 1.4724, 2.3738, 3.2791", \
" 0.9601, 0.9617, 0.9714, 1.0003, 1.0932, 1.4888, 2.3902, 3.2955", \
" 0.9914, 0.9930, 1.0027, 1.0316, 1.1245, 1.5201, 2.4215, 3.3268", \
" 1.0382, 1.0398, 1.0495, 1.0784, 1.1713, 1.5669, 2.4683, 3.3736", \
" 1.0994, 1.1010, 1.1107, 1.1396, 1.2325, 1.6281, 2.5295, 3.4348", \
" 1.1673, 1.1689, 1.1786, 1.2075, 1.3004, 1.6960, 2.5974, 3.5027" \
);
}
} /* End of rising_edge arc of pin RES1_O2 wrt pin UserCLK */
/* Start of rising_edge arc of pin RES1_O2 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_128 ){
values(\
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201" \
);
}
fall_transition (lut_timing_128 ){
values(\
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534", \
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534", \
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534", \
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534", \
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534", \
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534", \
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534", \
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534" \
);
}
cell_rise (lut_timing_128 ){
values(\
" 0.7564, 0.7584, 0.7715, 0.8186, 1.0163, 1.9241, 4.0165, 6.1008", \
" 0.7620, 0.7640, 0.7771, 0.8242, 1.0219, 1.9297, 4.0221, 6.1064", \
" 0.7706, 0.7726, 0.7857, 0.8328, 1.0305, 1.9383, 4.0307, 6.1150", \
" 0.7880, 0.7900, 0.8031, 0.8502, 1.0479, 1.9557, 4.0481, 6.1324", \
" 0.8196, 0.8216, 0.8347, 0.8818, 1.0795, 1.9873, 4.0797, 6.1640", \
" 0.8683, 0.8703, 0.8834, 0.9305, 1.1282, 2.0360, 4.1284, 6.2127", \
" 0.9104, 0.9124, 0.9255, 0.9726, 1.1703, 2.0781, 4.1705, 6.2548", \
" 1.0108, 1.0128, 1.0259, 1.0730, 1.2707, 2.1785, 4.2709, 6.3552" \
);
}
cell_fall (lut_timing_128 ){
values(\
" 0.9290, 0.9306, 0.9404, 0.9696, 1.0621, 1.4577, 2.3591, 3.2645", \
" 0.9348, 0.9364, 0.9462, 0.9754, 1.0679, 1.4635, 2.3649, 3.2703", \
" 0.9434, 0.9450, 0.9548, 0.9840, 1.0765, 1.4721, 2.3735, 3.2789", \
" 0.9609, 0.9625, 0.9723, 1.0015, 1.0940, 1.4896, 2.3910, 3.2964", \
" 0.9923, 0.9939, 1.0037, 1.0329, 1.1254, 1.5210, 2.4224, 3.3278", \
" 1.0389, 1.0405, 1.0503, 1.0795, 1.1720, 1.5676, 2.4690, 3.3744", \
" 1.0785, 1.0801, 1.0899, 1.1191, 1.2116, 1.6072, 2.5086, 3.4140", \
" 1.1680, 1.1696, 1.1794, 1.2086, 1.3011, 1.6967, 2.5981, 3.5035" \
);
}
} /* End of rising_edge arc of pin RES1_O2 wrt pin UserCLK */
/* Start of combinational arc of pin RES1_O2 wrt pin E6END[6] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E6END[6] ";
rise_transition (lut_timing_129 ){
values(\
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0227, 0.0244, 0.0362, 0.0925, 0.3717, 1.6771, 4.7024, 7.7201" \
);
}
fall_transition (lut_timing_129 ){
values(\
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533", \
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533", \
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533", \
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533", \
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533", \
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533", \
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533", \
" 0.0190, 0.0199, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2533" \
);
}
cell_rise (lut_timing_129 ){
values(\
" 0.3758, 0.3778, 0.3908, 0.4376, 0.6357, 1.5435, 3.6359, 5.7202", \
" 0.3758, 0.3778, 0.3908, 0.4376, 0.6357, 1.5435, 3.6359, 5.7202", \
" 0.3758, 0.3778, 0.3908, 0.4376, 0.6357, 1.5435, 3.6359, 5.7202", \
" 0.3758, 0.3778, 0.3908, 0.4376, 0.6357, 1.5435, 3.6359, 5.7202", \
" 0.3758, 0.3778, 0.3908, 0.4376, 0.6357, 1.5435, 3.6359, 5.7202", \
" 0.3758, 0.3778, 0.3908, 0.4376, 0.6357, 1.5435, 3.6359, 5.7202", \
" 0.3758, 0.3778, 0.3908, 0.4376, 0.6357, 1.5435, 3.6359, 5.7202", \
" 0.3758, 0.3778, 0.3908, 0.4376, 0.6357, 1.5435, 3.6359, 5.7202" \
);
}
cell_fall (lut_timing_129 ){
values(\
" 0.5455, 0.5471, 0.5568, 0.5857, 0.6786, 1.0742, 1.9756, 2.8809", \
" 0.5455, 0.5471, 0.5568, 0.5857, 0.6786, 1.0742, 1.9756, 2.8809", \
" 0.5455, 0.5471, 0.5568, 0.5857, 0.6786, 1.0742, 1.9756, 2.8809", \
" 0.5455, 0.5471, 0.5568, 0.5857, 0.6786, 1.0742, 1.9756, 2.8809", \
" 0.5455, 0.5471, 0.5568, 0.5857, 0.6786, 1.0742, 1.9756, 2.8809", \
" 0.5455, 0.5471, 0.5568, 0.5857, 0.6786, 1.0742, 1.9756, 2.8809", \
" 0.5455, 0.5471, 0.5568, 0.5857, 0.6786, 1.0742, 1.9756, 2.8809", \
" 0.5455, 0.5471, 0.5568, 0.5857, 0.6786, 1.0742, 1.9756, 2.8809" \
);
}
} /* End of combinational arc of pin RES1_O2 wrt pin E6END[6] */
/* Start of combinational arc of pin RES1_O2 wrt pin E6END[6] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E6END[6] ";
rise_transition (lut_timing_130 ){
values(\
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201", \
" 0.0228, 0.0244, 0.0362, 0.0926, 0.3717, 1.6771, 4.7024, 7.7201" \
);
}
fall_transition (lut_timing_130 ){
values(\
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534", \
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534", \
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534", \
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534", \
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534", \
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534", \
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534", \
" 0.0190, 0.0200, 0.0265, 0.0511, 0.1619, 0.7061, 1.9747, 3.2534" \
);
}
cell_rise (lut_timing_130 ){
values(\
" 0.3761, 0.3781, 0.3912, 0.4383, 0.6360, 1.5438, 3.6362, 5.7205", \
" 0.3761, 0.3781, 0.3912, 0.4383, 0.6360, 1.5438, 3.6362, 5.7205", \
" 0.3761, 0.3781, 0.3912, 0.4383, 0.6360, 1.5438, 3.6362, 5.7205", \
" 0.3761, 0.3781, 0.3912, 0.4383, 0.6360, 1.5438, 3.6362, 5.7205", \
" 0.3761, 0.3781, 0.3912, 0.4383, 0.6360, 1.5438, 3.6362, 5.7205", \
" 0.3761, 0.3781, 0.3912, 0.4383, 0.6360, 1.5438, 3.6362, 5.7205", \
" 0.3761, 0.3781, 0.3912, 0.4383, 0.6360, 1.5438, 3.6362, 5.7205", \
" 0.3761, 0.3781, 0.3912, 0.4383, 0.6360, 1.5438, 3.6362, 5.7205" \
);
}
cell_fall (lut_timing_130 ){
values(\
" 0.5464, 0.5480, 0.5578, 0.5870, 0.6795, 1.0751, 1.9765, 2.8819", \
" 0.5464, 0.5480, 0.5578, 0.5870, 0.6795, 1.0751, 1.9765, 2.8819", \
" 0.5464, 0.5480, 0.5578, 0.5870, 0.6795, 1.0751, 1.9765, 2.8819", \
" 0.5464, 0.5480, 0.5578, 0.5870, 0.6795, 1.0751, 1.9765, 2.8819", \
" 0.5464, 0.5480, 0.5578, 0.5870, 0.6795, 1.0751, 1.9765, 2.8819", \
" 0.5464, 0.5480, 0.5578, 0.5870, 0.6795, 1.0751, 1.9765, 2.8819", \
" 0.5464, 0.5480, 0.5578, 0.5870, 0.6795, 1.0751, 1.9765, 2.8819", \
" 0.5464, 0.5480, 0.5578, 0.5870, 0.6795, 1.0751, 1.9765, 2.8819" \
);
}
} /* End of combinational arc of pin RES1_O2 wrt pin E6END[6] */
} /* End of pin RES1_O2 */
/* Start of pin RES1_O1 */
pin (RES1_O1 ) {
direction : output ;
capacitance : 0.0191;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin RES1_O1 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_131 ){
values(\
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866" \
);
}
fall_transition (lut_timing_131 ){
values(\
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218" \
);
}
cell_rise (lut_timing_131 ){
values(\
" 0.7815, 0.8166, 1.0171, 1.9237, 2.9714, 4.0216, 5.0736, 6.1269", \
" 0.7870, 0.8221, 1.0226, 1.9292, 2.9769, 4.0271, 5.0791, 6.1324", \
" 0.7969, 0.8320, 1.0325, 1.9391, 2.9868, 4.0370, 5.0890, 6.1423", \
" 0.8131, 0.8482, 1.0487, 1.9553, 3.0030, 4.0532, 5.1052, 6.1585", \
" 0.8448, 0.8799, 1.0804, 1.9870, 3.0347, 4.0849, 5.1369, 6.1902", \
" 0.8934, 0.9285, 1.1290, 2.0356, 3.0833, 4.1335, 5.1855, 6.2388", \
" 0.9589, 0.9940, 1.1945, 2.1011, 3.1488, 4.1990, 5.2510, 6.3043", \
" 1.0359, 1.0710, 1.2715, 2.1781, 3.2258, 4.2760, 5.3280, 6.3813" \
);
}
cell_fall (lut_timing_131 ){
values(\
" 0.9971, 1.0186, 1.1151, 1.5203, 1.9751, 2.4290, 2.8836, 3.3390", \
" 1.0029, 1.0244, 1.1209, 1.5261, 1.9809, 2.4348, 2.8894, 3.3448", \
" 1.0127, 1.0342, 1.1307, 1.5359, 1.9907, 2.4446, 2.8992, 3.3546", \
" 1.0291, 1.0506, 1.1471, 1.5523, 2.0071, 2.4610, 2.9156, 3.3710", \
" 1.0603, 1.0818, 1.1783, 1.5835, 2.0383, 2.4922, 2.9468, 3.4022", \
" 1.1070, 1.1285, 1.2250, 1.6302, 2.0850, 2.5389, 2.9935, 3.4489", \
" 1.1683, 1.1898, 1.2863, 1.6915, 2.1463, 2.6002, 3.0548, 3.5102", \
" 1.2362, 1.2577, 1.3542, 1.7594, 2.2142, 2.6681, 3.1227, 3.5781" \
);
}
} /* End of rising_edge arc of pin RES1_O1 wrt pin UserCLK */
/* Start of rising_edge arc of pin RES1_O1 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_132 ){
values(\
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866" \
);
}
fall_transition (lut_timing_132 ){
values(\
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218" \
);
}
cell_rise (lut_timing_132 ){
values(\
" 0.7824, 0.8175, 1.0179, 1.9246, 2.9723, 4.0226, 5.0745, 6.1277", \
" 0.7879, 0.8230, 1.0234, 1.9301, 2.9778, 4.0281, 5.0800, 6.1332", \
" 0.7966, 0.8317, 1.0321, 1.9388, 2.9865, 4.0368, 5.0887, 6.1419", \
" 0.8140, 0.8491, 1.0495, 1.9562, 3.0039, 4.0542, 5.1061, 6.1593", \
" 0.8455, 0.8806, 1.0810, 1.9877, 3.0354, 4.0857, 5.1376, 6.1908", \
" 0.8942, 0.9293, 1.1297, 2.0364, 3.0841, 4.1344, 5.1863, 6.2395", \
" 0.9363, 0.9714, 1.1718, 2.0785, 3.1262, 4.1765, 5.2284, 6.2816", \
" 1.0368, 1.0719, 1.2723, 2.1790, 3.2267, 4.2770, 5.3289, 6.3821" \
);
}
cell_fall (lut_timing_132 ){
values(\
" 0.9978, 1.0193, 1.1157, 1.5210, 1.9758, 2.4297, 2.8843, 3.3397", \
" 1.0036, 1.0251, 1.1215, 1.5268, 1.9816, 2.4355, 2.8901, 3.3455", \
" 1.0122, 1.0337, 1.1301, 1.5354, 1.9902, 2.4441, 2.8987, 3.3541", \
" 1.0297, 1.0512, 1.1476, 1.5529, 2.0077, 2.4616, 2.9162, 3.3716", \
" 1.0611, 1.0826, 1.1790, 1.5843, 2.0391, 2.4930, 2.9476, 3.4030", \
" 1.1078, 1.1293, 1.2257, 1.6310, 2.0858, 2.5397, 2.9943, 3.4497", \
" 1.1473, 1.1688, 1.2652, 1.6705, 2.1253, 2.5792, 3.0338, 3.4892", \
" 1.2368, 1.2583, 1.3547, 1.7600, 2.2148, 2.6687, 3.1233, 3.5787" \
);
}
} /* End of rising_edge arc of pin RES1_O1 wrt pin UserCLK */
/* Start of combinational arc of pin RES1_O1 wrt pin E6END[5] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E6END[5] ";
rise_transition (lut_timing_133 ){
values(\
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7320, 3.2960, 4.8605, 6.4238, 7.9866" \
);
}
fall_transition (lut_timing_133 ){
values(\
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0316, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218" \
);
}
cell_rise (lut_timing_133 ){
values(\
" 0.2500, 0.2851, 0.4856, 1.3922, 2.4399, 3.4901, 4.5421, 5.5954", \
" 0.2500, 0.2851, 0.4856, 1.3922, 2.4399, 3.4901, 4.5421, 5.5954", \
" 0.2500, 0.2851, 0.4856, 1.3922, 2.4399, 3.4901, 4.5421, 5.5954", \
" 0.2500, 0.2851, 0.4856, 1.3922, 2.4399, 3.4901, 4.5421, 5.5954", \
" 0.2500, 0.2851, 0.4856, 1.3922, 2.4399, 3.4901, 4.5421, 5.5954", \
" 0.2500, 0.2851, 0.4856, 1.3922, 2.4399, 3.4901, 4.5421, 5.5954", \
" 0.2500, 0.2851, 0.4856, 1.3922, 2.4399, 3.4901, 4.5421, 5.5954", \
" 0.2500, 0.2851, 0.4856, 1.3922, 2.4399, 3.4901, 4.5421, 5.5954" \
);
}
cell_fall (lut_timing_133 ){
values(\
" 0.4389, 0.4604, 0.5569, 0.9621, 1.4169, 1.8708, 2.3254, 2.7808", \
" 0.4389, 0.4604, 0.5569, 0.9621, 1.4169, 1.8708, 2.3254, 2.7808", \
" 0.4389, 0.4604, 0.5569, 0.9621, 1.4169, 1.8708, 2.3254, 2.7808", \
" 0.4389, 0.4604, 0.5569, 0.9621, 1.4169, 1.8708, 2.3254, 2.7808", \
" 0.4389, 0.4604, 0.5569, 0.9621, 1.4169, 1.8708, 2.3254, 2.7808", \
" 0.4389, 0.4604, 0.5569, 0.9621, 1.4169, 1.8708, 2.3254, 2.7808", \
" 0.4389, 0.4604, 0.5569, 0.9621, 1.4169, 1.8708, 2.3254, 2.7808", \
" 0.4389, 0.4604, 0.5569, 0.9621, 1.4169, 1.8708, 2.3254, 2.7808" \
);
}
} /* End of combinational arc of pin RES1_O1 wrt pin E6END[5] */
/* Start of combinational arc of pin RES1_O1 wrt pin E6END[5] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E6END[5] ";
rise_transition (lut_timing_134 ){
values(\
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866", \
" 0.0453, 0.0917, 0.3818, 1.7321, 3.2961, 4.8605, 6.4238, 7.9866" \
);
}
fall_transition (lut_timing_134 ){
values(\
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218", \
" 0.0317, 0.0518, 0.1700, 0.7576, 1.4468, 2.1364, 2.8294, 3.5218" \
);
}
cell_rise (lut_timing_134 ){
values(\
" 0.2508, 0.2859, 0.4863, 1.3930, 2.4407, 3.4910, 4.5429, 5.5961", \
" 0.2508, 0.2859, 0.4863, 1.3930, 2.4407, 3.4910, 4.5429, 5.5961", \
" 0.2508, 0.2859, 0.4863, 1.3930, 2.4407, 3.4910, 4.5429, 5.5961", \
" 0.2508, 0.2859, 0.4863, 1.3930, 2.4407, 3.4910, 4.5429, 5.5961", \
" 0.2508, 0.2859, 0.4863, 1.3930, 2.4407, 3.4910, 4.5429, 5.5961", \
" 0.2508, 0.2859, 0.4863, 1.3930, 2.4407, 3.4910, 4.5429, 5.5961", \
" 0.2508, 0.2859, 0.4863, 1.3930, 2.4407, 3.4910, 4.5429, 5.5961", \
" 0.2508, 0.2859, 0.4863, 1.3930, 2.4407, 3.4910, 4.5429, 5.5961" \
);
}
cell_fall (lut_timing_134 ){
values(\
" 0.4396, 0.4611, 0.5575, 0.9628, 1.4176, 1.8715, 2.3261, 2.7815", \
" 0.4396, 0.4611, 0.5575, 0.9628, 1.4176, 1.8715, 2.3261, 2.7815", \
" 0.4396, 0.4611, 0.5575, 0.9628, 1.4176, 1.8715, 2.3261, 2.7815", \
" 0.4396, 0.4611, 0.5575, 0.9628, 1.4176, 1.8715, 2.3261, 2.7815", \
" 0.4396, 0.4611, 0.5575, 0.9628, 1.4176, 1.8715, 2.3261, 2.7815", \
" 0.4396, 0.4611, 0.5575, 0.9628, 1.4176, 1.8715, 2.3261, 2.7815", \
" 0.4396, 0.4611, 0.5575, 0.9628, 1.4176, 1.8715, 2.3261, 2.7815", \
" 0.4396, 0.4611, 0.5575, 0.9628, 1.4176, 1.8715, 2.3261, 2.7815" \
);
}
} /* End of combinational arc of pin RES1_O1 wrt pin E6END[5] */
} /* End of pin RES1_O1 */
/* Start of pin RES1_O0 */
pin (RES1_O0 ) {
direction : output ;
capacitance : 0.0196;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin RES1_O0 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_135 ){
values(\
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976" \
);
}
fall_transition (lut_timing_135 ){
values(\
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336" \
);
}
cell_rise (lut_timing_135 ){
values(\
" 0.7809, 0.8154, 1.0160, 1.9231, 2.9712, 4.0215, 5.0733, 6.1263", \
" 0.7864, 0.8209, 1.0215, 1.9286, 2.9767, 4.0270, 5.0788, 6.1318", \
" 0.7963, 0.8308, 1.0314, 1.9385, 2.9866, 4.0369, 5.0887, 6.1417", \
" 0.8125, 0.8470, 1.0476, 1.9547, 3.0028, 4.0531, 5.1049, 6.1579", \
" 0.8441, 0.8786, 1.0792, 1.9863, 3.0344, 4.0847, 5.1365, 6.1895", \
" 0.8928, 0.9273, 1.1279, 2.0350, 3.0831, 4.1334, 5.1852, 6.2382", \
" 0.9583, 0.9928, 1.1934, 2.1005, 3.1486, 4.1989, 5.2507, 6.3037", \
" 1.0353, 1.0698, 1.2704, 2.1775, 3.2256, 4.2759, 5.3277, 6.3807" \
);
}
cell_fall (lut_timing_135 ){
values(\
" 0.9996, 1.0207, 1.1172, 1.5226, 1.9779, 2.4319, 2.8867, 3.3426", \
" 1.0054, 1.0265, 1.1230, 1.5284, 1.9837, 2.4377, 2.8925, 3.3484", \
" 1.0152, 1.0363, 1.1328, 1.5382, 1.9935, 2.4475, 2.9023, 3.3582", \
" 1.0316, 1.0527, 1.1492, 1.5546, 2.0099, 2.4639, 2.9187, 3.3746", \
" 1.0629, 1.0840, 1.1805, 1.5859, 2.0412, 2.4952, 2.9500, 3.4059", \
" 1.1096, 1.1307, 1.2272, 1.6326, 2.0879, 2.5419, 2.9967, 3.4526", \
" 1.1709, 1.1920, 1.2885, 1.6939, 2.1492, 2.6032, 3.0580, 3.5139", \
" 1.2387, 1.2598, 1.3563, 1.7617, 2.2170, 2.6710, 3.1258, 3.5817" \
);
}
} /* End of rising_edge arc of pin RES1_O0 wrt pin UserCLK */
/* Start of rising_edge arc of pin RES1_O0 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_136 ){
values(\
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976" \
);
}
fall_transition (lut_timing_136 ){
values(\
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336" \
);
}
cell_rise (lut_timing_136 ){
values(\
" 0.7818, 0.8163, 1.0169, 1.9240, 2.9721, 4.0224, 5.0743, 6.1272", \
" 0.7873, 0.8218, 1.0224, 1.9295, 2.9776, 4.0279, 5.0798, 6.1327", \
" 0.7959, 0.8304, 1.0310, 1.9381, 2.9862, 4.0365, 5.0884, 6.1413", \
" 0.8133, 0.8478, 1.0484, 1.9555, 3.0036, 4.0539, 5.1058, 6.1587", \
" 0.8449, 0.8794, 1.0800, 1.9871, 3.0352, 4.0855, 5.1374, 6.1903", \
" 0.8937, 0.9282, 1.1288, 2.0359, 3.0840, 4.1343, 5.1862, 6.2391", \
" 0.9357, 0.9702, 1.1708, 2.0779, 3.1260, 4.1763, 5.2282, 6.2811", \
" 1.0361, 1.0706, 1.2712, 2.1783, 3.2264, 4.2767, 5.3286, 6.3815" \
);
}
cell_fall (lut_timing_136 ){
values(\
" 1.0003, 1.0214, 1.1179, 1.5233, 1.9786, 2.4327, 2.8874, 3.3433", \
" 1.0061, 1.0272, 1.1237, 1.5291, 1.9844, 2.4385, 2.8932, 3.3491", \
" 1.0147, 1.0358, 1.1323, 1.5377, 1.9930, 2.4471, 2.9018, 3.3577", \
" 1.0323, 1.0534, 1.1499, 1.5553, 2.0106, 2.4647, 2.9194, 3.3753", \
" 1.0636, 1.0847, 1.1812, 1.5866, 2.0419, 2.4960, 2.9507, 3.4066", \
" 1.1103, 1.1314, 1.2279, 1.6333, 2.0886, 2.5427, 2.9974, 3.4533", \
" 1.1498, 1.1709, 1.2674, 1.6728, 2.1281, 2.5822, 3.0369, 3.4928", \
" 1.2394, 1.2605, 1.3570, 1.7624, 2.2177, 2.6718, 3.1265, 3.5824" \
);
}
} /* End of rising_edge arc of pin RES1_O0 wrt pin UserCLK */
/* Start of combinational arc of pin RES1_O0 wrt pin E6END[4] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E6END[4] ";
rise_transition (lut_timing_137 ){
values(\
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3008, 4.8671, 6.4326, 7.9976" \
);
}
fall_transition (lut_timing_137 ){
values(\
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336" \
);
}
cell_rise (lut_timing_137 ){
values(\
" 0.2595, 0.2940, 0.4946, 1.4017, 2.4498, 3.5001, 4.5519, 5.6049", \
" 0.2595, 0.2940, 0.4946, 1.4017, 2.4498, 3.5001, 4.5519, 5.6049", \
" 0.2595, 0.2940, 0.4946, 1.4017, 2.4498, 3.5001, 4.5519, 5.6049", \
" 0.2595, 0.2940, 0.4946, 1.4017, 2.4498, 3.5001, 4.5519, 5.6049", \
" 0.2595, 0.2940, 0.4946, 1.4017, 2.4498, 3.5001, 4.5519, 5.6049", \
" 0.2595, 0.2940, 0.4946, 1.4017, 2.4498, 3.5001, 4.5519, 5.6049", \
" 0.2595, 0.2940, 0.4946, 1.4017, 2.4498, 3.5001, 4.5519, 5.6049", \
" 0.2595, 0.2940, 0.4946, 1.4017, 2.4498, 3.5001, 4.5519, 5.6049" \
);
}
cell_fall (lut_timing_137 ){
values(\
" 0.4477, 0.4688, 0.5653, 0.9707, 1.4260, 1.8800, 2.3348, 2.7907", \
" 0.4477, 0.4688, 0.5653, 0.9707, 1.4260, 1.8800, 2.3348, 2.7907", \
" 0.4477, 0.4688, 0.5653, 0.9707, 1.4260, 1.8800, 2.3348, 2.7907", \
" 0.4477, 0.4688, 0.5653, 0.9707, 1.4260, 1.8800, 2.3348, 2.7907", \
" 0.4477, 0.4688, 0.5653, 0.9707, 1.4260, 1.8800, 2.3348, 2.7907", \
" 0.4477, 0.4688, 0.5653, 0.9707, 1.4260, 1.8800, 2.3348, 2.7907", \
" 0.4477, 0.4688, 0.5653, 0.9707, 1.4260, 1.8800, 2.3348, 2.7907", \
" 0.4477, 0.4688, 0.5653, 0.9707, 1.4260, 1.8800, 2.3348, 2.7907" \
);
}
} /* End of combinational arc of pin RES1_O0 wrt pin E6END[4] */
/* Start of combinational arc of pin RES1_O0 wrt pin E6END[4] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E6END[4] ";
rise_transition (lut_timing_138 ){
values(\
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976", \
" 0.0461, 0.0918, 0.3821, 1.7345, 3.3009, 4.8672, 6.4326, 7.9976" \
);
}
fall_transition (lut_timing_138 ){
values(\
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336", \
" 0.0320, 0.0519, 0.1703, 0.7598, 1.4509, 2.1430, 2.8386, 3.5336" \
);
}
cell_rise (lut_timing_138 ){
values(\
" 0.2604, 0.2949, 0.4955, 1.4026, 2.4507, 3.5010, 4.5529, 5.6058", \
" 0.2604, 0.2949, 0.4955, 1.4026, 2.4507, 3.5010, 4.5529, 5.6058", \
" 0.2604, 0.2949, 0.4955, 1.4026, 2.4507, 3.5010, 4.5529, 5.6058", \
" 0.2604, 0.2949, 0.4955, 1.4026, 2.4507, 3.5010, 4.5529, 5.6058", \
" 0.2604, 0.2949, 0.4955, 1.4026, 2.4507, 3.5010, 4.5529, 5.6058", \
" 0.2604, 0.2949, 0.4955, 1.4026, 2.4507, 3.5010, 4.5529, 5.6058", \
" 0.2604, 0.2949, 0.4955, 1.4026, 2.4507, 3.5010, 4.5529, 5.6058", \
" 0.2604, 0.2949, 0.4955, 1.4026, 2.4507, 3.5010, 4.5529, 5.6058" \
);
}
cell_fall (lut_timing_138 ){
values(\
" 0.4485, 0.4696, 0.5661, 0.9715, 1.4268, 1.8809, 2.3356, 2.7915", \
" 0.4485, 0.4696, 0.5661, 0.9715, 1.4268, 1.8809, 2.3356, 2.7915", \
" 0.4485, 0.4696, 0.5661, 0.9715, 1.4268, 1.8809, 2.3356, 2.7915", \
" 0.4485, 0.4696, 0.5661, 0.9715, 1.4268, 1.8809, 2.3356, 2.7915", \
" 0.4485, 0.4696, 0.5661, 0.9715, 1.4268, 1.8809, 2.3356, 2.7915", \
" 0.4485, 0.4696, 0.5661, 0.9715, 1.4268, 1.8809, 2.3356, 2.7915", \
" 0.4485, 0.4696, 0.5661, 0.9715, 1.4268, 1.8809, 2.3356, 2.7915", \
" 0.4485, 0.4696, 0.5661, 0.9715, 1.4268, 1.8809, 2.3356, 2.7915" \
);
}
} /* End of combinational arc of pin RES1_O0 wrt pin E6END[4] */
} /* End of pin RES1_O0 */
/* Start of pin RES0_O3 */
pin (RES0_O3 ) {
direction : output ;
capacitance : 0.0011;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin RES0_O3 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_139 ){
values(\
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168" \
);
}
fall_transition (lut_timing_139 ){
values(\
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496" \
);
}
cell_rise (lut_timing_139 ){
values(\
" 0.7552, 0.7574, 0.7699, 0.8164, 1.0142, 1.9202, 4.0155, 6.1062", \
" 0.7607, 0.7629, 0.7754, 0.8219, 1.0197, 1.9257, 4.0210, 6.1117", \
" 0.7705, 0.7727, 0.7852, 0.8317, 1.0295, 1.9355, 4.0308, 6.1215", \
" 0.7868, 0.7890, 0.8015, 0.8480, 1.0458, 1.9518, 4.0471, 6.1378", \
" 0.8183, 0.8205, 0.8330, 0.8795, 1.0773, 1.9833, 4.0786, 6.1693", \
" 0.8671, 0.8693, 0.8818, 0.9283, 1.1261, 2.0321, 4.1274, 6.2181", \
" 0.9326, 0.9348, 0.9473, 0.9938, 1.1916, 2.0976, 4.1929, 6.2836", \
" 1.0096, 1.0118, 1.0243, 1.0708, 1.2686, 2.1746, 4.2699, 6.3606" \
);
}
cell_fall (lut_timing_139 ){
values(\
" 0.9430, 0.9448, 0.9545, 0.9833, 1.0762, 1.4715, 2.3721, 3.2767", \
" 0.9487, 0.9505, 0.9602, 0.9890, 1.0819, 1.4772, 2.3778, 3.2824", \
" 0.9584, 0.9602, 0.9699, 0.9987, 1.0916, 1.4869, 2.3875, 3.2921", \
" 0.9748, 0.9766, 0.9863, 1.0151, 1.1080, 1.5033, 2.4039, 3.3085", \
" 1.0062, 1.0080, 1.0177, 1.0465, 1.1394, 1.5347, 2.4353, 3.3399", \
" 1.0529, 1.0547, 1.0644, 1.0932, 1.1861, 1.5814, 2.4820, 3.3866", \
" 1.1141, 1.1159, 1.1256, 1.1544, 1.2473, 1.6426, 2.5432, 3.4478", \
" 1.1820, 1.1838, 1.1935, 1.2223, 1.3152, 1.7105, 2.6111, 3.5157" \
);
}
} /* End of rising_edge arc of pin RES0_O3 wrt pin UserCLK */
/* Start of rising_edge arc of pin RES0_O3 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_140 ){
values(\
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168" \
);
}
fall_transition (lut_timing_140 ){
values(\
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496" \
);
}
cell_rise (lut_timing_140 ){
values(\
" 0.7561, 0.7582, 0.7709, 0.8177, 1.0151, 1.9211, 4.0163, 6.1069", \
" 0.7616, 0.7637, 0.7764, 0.8232, 1.0206, 1.9266, 4.0218, 6.1124", \
" 0.7702, 0.7723, 0.7850, 0.8318, 1.0292, 1.9352, 4.0304, 6.1210", \
" 0.7878, 0.7899, 0.8026, 0.8494, 1.0468, 1.9528, 4.0480, 6.1386", \
" 0.8193, 0.8214, 0.8341, 0.8809, 1.0783, 1.9843, 4.0795, 6.1701", \
" 0.8680, 0.8701, 0.8828, 0.9296, 1.1270, 2.0330, 4.1282, 6.2188", \
" 0.9101, 0.9122, 0.9249, 0.9717, 1.1691, 2.0751, 4.1703, 6.2609", \
" 1.0104, 1.0125, 1.0252, 1.0720, 1.2694, 2.1754, 4.2706, 6.3612" \
);
}
cell_fall (lut_timing_140 ){
values(\
" 0.9443, 0.9460, 0.9558, 0.9850, 1.0773, 1.4727, 2.3734, 3.2781", \
" 0.9501, 0.9518, 0.9616, 0.9908, 1.0831, 1.4785, 2.3792, 3.2839", \
" 0.9587, 0.9604, 0.9702, 0.9994, 1.0917, 1.4871, 2.3878, 3.2925", \
" 0.9763, 0.9780, 0.9878, 1.0170, 1.1093, 1.5047, 2.4054, 3.3101", \
" 1.0076, 1.0093, 1.0191, 1.0483, 1.1406, 1.5360, 2.4367, 3.3414", \
" 1.0543, 1.0560, 1.0658, 1.0950, 1.1873, 1.5827, 2.4834, 3.3881", \
" 1.0939, 1.0956, 1.1054, 1.1346, 1.2269, 1.6223, 2.5230, 3.4277", \
" 1.1834, 1.1851, 1.1949, 1.2241, 1.3164, 1.7118, 2.6125, 3.5172" \
);
}
} /* End of rising_edge arc of pin RES0_O3 wrt pin UserCLK */
/* Start of combinational arc of pin RES0_O3 wrt pin E6END[3] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E6END[3] ";
rise_transition (lut_timing_141 ){
values(\
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168" \
);
}
fall_transition (lut_timing_141 ){
values(\
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0262, 0.0509, 0.1618, 0.7057, 1.9731, 3.2496" \
);
}
cell_rise (lut_timing_141 ){
values(\
" 0.3338, 0.3360, 0.3485, 0.3950, 0.5928, 1.4988, 3.5941, 5.6848", \
" 0.3338, 0.3360, 0.3485, 0.3950, 0.5928, 1.4988, 3.5941, 5.6848", \
" 0.3338, 0.3360, 0.3485, 0.3950, 0.5928, 1.4988, 3.5941, 5.6848", \
" 0.3338, 0.3360, 0.3485, 0.3950, 0.5928, 1.4988, 3.5941, 5.6848", \
" 0.3338, 0.3360, 0.3485, 0.3950, 0.5928, 1.4988, 3.5941, 5.6848", \
" 0.3338, 0.3360, 0.3485, 0.3950, 0.5928, 1.4988, 3.5941, 5.6848", \
" 0.3338, 0.3360, 0.3485, 0.3950, 0.5928, 1.4988, 3.5941, 5.6848", \
" 0.3338, 0.3360, 0.3485, 0.3950, 0.5928, 1.4988, 3.5941, 5.6848" \
);
}
cell_fall (lut_timing_141 ){
values(\
" 0.5123, 0.5141, 0.5238, 0.5526, 0.6455, 1.0408, 1.9414, 2.8460", \
" 0.5123, 0.5141, 0.5238, 0.5526, 0.6455, 1.0408, 1.9414, 2.8460", \
" 0.5123, 0.5141, 0.5238, 0.5526, 0.6455, 1.0408, 1.9414, 2.8460", \
" 0.5123, 0.5141, 0.5238, 0.5526, 0.6455, 1.0408, 1.9414, 2.8460", \
" 0.5123, 0.5141, 0.5238, 0.5526, 0.6455, 1.0408, 1.9414, 2.8460", \
" 0.5123, 0.5141, 0.5238, 0.5526, 0.6455, 1.0408, 1.9414, 2.8460", \
" 0.5123, 0.5141, 0.5238, 0.5526, 0.6455, 1.0408, 1.9414, 2.8460", \
" 0.5123, 0.5141, 0.5238, 0.5526, 0.6455, 1.0408, 1.9414, 2.8460" \
);
}
} /* End of combinational arc of pin RES0_O3 wrt pin E6END[3] */
/* Start of combinational arc of pin RES0_O3 wrt pin E6END[3] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E6END[3] ";
rise_transition (lut_timing_142 ){
values(\
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168", \
" 0.0212, 0.0230, 0.0350, 0.0921, 0.3716, 1.6778, 4.7003, 7.7168" \
);
}
fall_transition (lut_timing_142 ){
values(\
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496", \
" 0.0187, 0.0197, 0.0263, 0.0510, 0.1618, 0.7057, 1.9731, 3.2496" \
);
}
cell_rise (lut_timing_142 ){
values(\
" 0.3349, 0.3370, 0.3497, 0.3965, 0.5939, 1.4999, 3.5951, 5.6857", \
" 0.3349, 0.3370, 0.3497, 0.3965, 0.5939, 1.4999, 3.5951, 5.6857", \
" 0.3349, 0.3370, 0.3497, 0.3965, 0.5939, 1.4999, 3.5951, 5.6857", \
" 0.3349, 0.3370, 0.3497, 0.3965, 0.5939, 1.4999, 3.5951, 5.6857", \
" 0.3349, 0.3370, 0.3497, 0.3965, 0.5939, 1.4999, 3.5951, 5.6857", \
" 0.3349, 0.3370, 0.3497, 0.3965, 0.5939, 1.4999, 3.5951, 5.6857", \
" 0.3349, 0.3370, 0.3497, 0.3965, 0.5939, 1.4999, 3.5951, 5.6857", \
" 0.3349, 0.3370, 0.3497, 0.3965, 0.5939, 1.4999, 3.5951, 5.6857" \
);
}
cell_fall (lut_timing_142 ){
values(\
" 0.5139, 0.5156, 0.5254, 0.5546, 0.6469, 1.0423, 1.9430, 2.8477", \
" 0.5139, 0.5156, 0.5254, 0.5546, 0.6469, 1.0423, 1.9430, 2.8477", \
" 0.5139, 0.5156, 0.5254, 0.5546, 0.6469, 1.0423, 1.9430, 2.8477", \
" 0.5139, 0.5156, 0.5254, 0.5546, 0.6469, 1.0423, 1.9430, 2.8477", \
" 0.5139, 0.5156, 0.5254, 0.5546, 0.6469, 1.0423, 1.9430, 2.8477", \
" 0.5139, 0.5156, 0.5254, 0.5546, 0.6469, 1.0423, 1.9430, 2.8477", \
" 0.5139, 0.5156, 0.5254, 0.5546, 0.6469, 1.0423, 1.9430, 2.8477", \
" 0.5139, 0.5156, 0.5254, 0.5546, 0.6469, 1.0423, 1.9430, 2.8477" \
);
}
} /* End of combinational arc of pin RES0_O3 wrt pin E6END[3] */
} /* End of pin RES0_O3 */
/* Start of pin RES0_O2 */
pin (RES0_O2 ) {
direction : output ;
capacitance : 0.0146;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin RES0_O2 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_143 ){
values(\
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167" \
);
}
fall_transition (lut_timing_143 ){
values(\
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473" \
);
}
cell_rise (lut_timing_143 ){
values(\
" 0.7573, 0.7984, 0.9983, 1.9043, 2.9508, 4.0008, 5.0522, 6.1051", \
" 0.7629, 0.8040, 1.0039, 1.9099, 2.9564, 4.0064, 5.0578, 6.1107", \
" 0.7727, 0.8138, 1.0137, 1.9197, 2.9662, 4.0162, 5.0676, 6.1205", \
" 0.7890, 0.8301, 1.0300, 1.9360, 2.9825, 4.0325, 5.0839, 6.1368", \
" 0.8205, 0.8616, 1.0615, 1.9675, 3.0140, 4.0640, 5.1154, 6.1683", \
" 0.8693, 0.9104, 1.1103, 2.0163, 3.0628, 4.1128, 5.1642, 6.2171", \
" 0.9348, 0.9759, 1.1758, 2.0818, 3.1283, 4.1783, 5.2297, 6.2826", \
" 1.0117, 1.0528, 1.2527, 2.1587, 3.2052, 4.2552, 5.3066, 6.3595" \
);
}
cell_fall (lut_timing_143 ){
values(\
" 0.9835, 1.0091, 1.1050, 1.5089, 1.9606, 2.4134, 2.8676, 3.3211", \
" 0.9892, 1.0148, 1.1107, 1.5146, 1.9663, 2.4191, 2.8733, 3.3268", \
" 0.9989, 1.0245, 1.1204, 1.5243, 1.9760, 2.4288, 2.8830, 3.3365", \
" 1.0154, 1.0410, 1.1369, 1.5408, 1.9925, 2.4453, 2.8995, 3.3530", \
" 1.0467, 1.0723, 1.1682, 1.5721, 2.0238, 2.4766, 2.9308, 3.3843", \
" 1.0934, 1.1190, 1.2149, 1.6188, 2.0705, 2.5233, 2.9775, 3.4310", \
" 1.1546, 1.1802, 1.2761, 1.6800, 2.1317, 2.5845, 3.0387, 3.4922", \
" 1.2225, 1.2481, 1.3440, 1.7479, 2.1996, 2.6524, 3.1066, 3.5601" \
);
}
} /* End of rising_edge arc of pin RES0_O2 wrt pin UserCLK */
/* Start of rising_edge arc of pin RES0_O2 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_144 ){
values(\
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167" \
);
}
fall_transition (lut_timing_144 ){
values(\
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473" \
);
}
cell_rise (lut_timing_144 ){
values(\
" 0.7584, 0.7990, 0.9990, 1.9051, 2.9515, 4.0016, 5.0529, 6.1057", \
" 0.7641, 0.8047, 1.0047, 1.9108, 2.9572, 4.0073, 5.0586, 6.1114", \
" 0.7728, 0.8134, 1.0134, 1.9195, 2.9659, 4.0160, 5.0673, 6.1201", \
" 0.7901, 0.8307, 1.0307, 1.9368, 2.9832, 4.0333, 5.0846, 6.1374", \
" 0.8217, 0.8623, 1.0623, 1.9684, 3.0148, 4.0649, 5.1162, 6.1690", \
" 0.8704, 0.9110, 1.1110, 2.0171, 3.0635, 4.1136, 5.1649, 6.2177", \
" 0.9125, 0.9531, 1.1531, 2.0592, 3.1056, 4.1557, 5.2070, 6.2598", \
" 1.0129, 1.0535, 1.2535, 2.1596, 3.2060, 4.2561, 5.3074, 6.3602" \
);
}
cell_fall (lut_timing_144 ){
values(\
" 0.9848, 1.0099, 1.1058, 1.5097, 1.9614, 2.4141, 2.8684, 3.3220", \
" 0.9906, 1.0157, 1.1116, 1.5155, 1.9672, 2.4199, 2.8742, 3.3278", \
" 0.9992, 1.0243, 1.1202, 1.5241, 1.9758, 2.4285, 2.8828, 3.3364", \
" 1.0168, 1.0419, 1.1378, 1.5417, 1.9934, 2.4461, 2.9004, 3.3540", \
" 1.0480, 1.0731, 1.1690, 1.5729, 2.0246, 2.4773, 2.9316, 3.3852", \
" 1.0948, 1.1199, 1.2158, 1.6197, 2.0714, 2.5241, 2.9784, 3.4320", \
" 1.1344, 1.1595, 1.2554, 1.6593, 2.1110, 2.5637, 3.0180, 3.4716", \
" 1.2239, 1.2490, 1.3449, 1.7488, 2.2005, 2.6532, 3.1075, 3.5611" \
);
}
} /* End of rising_edge arc of pin RES0_O2 wrt pin UserCLK */
/* Start of combinational arc of pin RES0_O2 wrt pin E6END[2] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E6END[2] ";
rise_transition (lut_timing_145 ){
values(\
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7179, 3.2676, 4.8177, 6.3679, 7.9167" \
);
}
fall_transition (lut_timing_145 ){
values(\
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0929, 2.7706, 3.4473" \
);
}
cell_rise (lut_timing_145 ){
values(\
" 0.2554, 0.2965, 0.4964, 1.4024, 2.4489, 3.4989, 4.5503, 5.6032", \
" 0.2554, 0.2965, 0.4964, 1.4024, 2.4489, 3.4989, 4.5503, 5.6032", \
" 0.2554, 0.2965, 0.4964, 1.4024, 2.4489, 3.4989, 4.5503, 5.6032", \
" 0.2554, 0.2965, 0.4964, 1.4024, 2.4489, 3.4989, 4.5503, 5.6032", \
" 0.2554, 0.2965, 0.4964, 1.4024, 2.4489, 3.4989, 4.5503, 5.6032", \
" 0.2554, 0.2965, 0.4964, 1.4024, 2.4489, 3.4989, 4.5503, 5.6032", \
" 0.2554, 0.2965, 0.4964, 1.4024, 2.4489, 3.4989, 4.5503, 5.6032", \
" 0.2554, 0.2965, 0.4964, 1.4024, 2.4489, 3.4989, 4.5503, 5.6032" \
);
}
cell_fall (lut_timing_145 ){
values(\
" 0.4451, 0.4707, 0.5666, 0.9705, 1.4222, 1.8750, 2.3292, 2.7827", \
" 0.4451, 0.4707, 0.5666, 0.9705, 1.4222, 1.8750, 2.3292, 2.7827", \
" 0.4451, 0.4707, 0.5666, 0.9705, 1.4222, 1.8750, 2.3292, 2.7827", \
" 0.4451, 0.4707, 0.5666, 0.9705, 1.4222, 1.8750, 2.3292, 2.7827", \
" 0.4451, 0.4707, 0.5666, 0.9705, 1.4222, 1.8750, 2.3292, 2.7827", \
" 0.4451, 0.4707, 0.5666, 0.9705, 1.4222, 1.8750, 2.3292, 2.7827", \
" 0.4451, 0.4707, 0.5666, 0.9705, 1.4222, 1.8750, 2.3292, 2.7827", \
" 0.4451, 0.4707, 0.5666, 0.9705, 1.4222, 1.8750, 2.3292, 2.7827" \
);
}
} /* End of combinational arc of pin RES0_O2 wrt pin E6END[2] */
/* Start of combinational arc of pin RES0_O2 wrt pin E6END[2] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E6END[2] ";
rise_transition (lut_timing_146 ){
values(\
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167", \
" 0.0387, 0.0918, 0.3794, 1.7180, 3.2677, 4.8178, 6.3679, 7.9167" \
);
}
fall_transition (lut_timing_146 ){
values(\
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473", \
" 0.0286, 0.0517, 0.1678, 0.7435, 1.4179, 2.0928, 2.7706, 3.4473" \
);
}
cell_rise (lut_timing_146 ){
values(\
" 0.2567, 0.2973, 0.4973, 1.4034, 2.4498, 3.4999, 4.5512, 5.6040", \
" 0.2567, 0.2973, 0.4973, 1.4034, 2.4498, 3.4999, 4.5512, 5.6040", \
" 0.2567, 0.2973, 0.4973, 1.4034, 2.4498, 3.4999, 4.5512, 5.6040", \
" 0.2567, 0.2973, 0.4973, 1.4034, 2.4498, 3.4999, 4.5512, 5.6040", \
" 0.2567, 0.2973, 0.4973, 1.4034, 2.4498, 3.4999, 4.5512, 5.6040", \
" 0.2567, 0.2973, 0.4973, 1.4034, 2.4498, 3.4999, 4.5512, 5.6040", \
" 0.2567, 0.2973, 0.4973, 1.4034, 2.4498, 3.4999, 4.5512, 5.6040", \
" 0.2567, 0.2973, 0.4973, 1.4034, 2.4498, 3.4999, 4.5512, 5.6040" \
);
}
cell_fall (lut_timing_146 ){
values(\
" 0.4466, 0.4717, 0.5676, 0.9715, 1.4232, 1.8759, 2.3302, 2.7838", \
" 0.4466, 0.4717, 0.5676, 0.9715, 1.4232, 1.8759, 2.3302, 2.7838", \
" 0.4466, 0.4717, 0.5676, 0.9715, 1.4232, 1.8759, 2.3302, 2.7838", \
" 0.4466, 0.4717, 0.5676, 0.9715, 1.4232, 1.8759, 2.3302, 2.7838", \
" 0.4466, 0.4717, 0.5676, 0.9715, 1.4232, 1.8759, 2.3302, 2.7838", \
" 0.4466, 0.4717, 0.5676, 0.9715, 1.4232, 1.8759, 2.3302, 2.7838", \
" 0.4466, 0.4717, 0.5676, 0.9715, 1.4232, 1.8759, 2.3302, 2.7838", \
" 0.4466, 0.4717, 0.5676, 0.9715, 1.4232, 1.8759, 2.3302, 2.7838" \
);
}
} /* End of combinational arc of pin RES0_O2 wrt pin E6END[2] */
} /* End of pin RES0_O2 */
/* Start of pin RES0_O1 */
pin (RES0_O1 ) {
direction : output ;
capacitance : 0.0022;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin RES0_O1 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_147 ){
values(\
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362" \
);
}
fall_transition (lut_timing_147 ){
values(\
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678" \
);
}
cell_rise (lut_timing_147 ){
values(\
" 0.8310, 0.8312, 0.8437, 0.8902, 1.0883, 1.9943, 4.0900, 6.1830", \
" 0.8365, 0.8367, 0.8492, 0.8957, 1.0938, 1.9998, 4.0955, 6.1885", \
" 0.8464, 0.8466, 0.8591, 0.9056, 1.1037, 2.0097, 4.1054, 6.1984", \
" 0.8626, 0.8628, 0.8753, 0.9218, 1.1199, 2.0259, 4.1216, 6.2146", \
" 0.8941, 0.8943, 0.9068, 0.9533, 1.1514, 2.0574, 4.1531, 6.2461", \
" 0.9429, 0.9431, 0.9556, 1.0021, 1.2002, 2.1062, 4.2019, 6.2949", \
" 1.0084, 1.0086, 1.0211, 1.0676, 1.2657, 2.1717, 4.2674, 6.3604", \
" 1.0854, 1.0856, 1.0981, 1.1446, 1.3427, 2.2487, 4.3444, 6.4374" \
);
}
cell_fall (lut_timing_147 ){
values(\
" 1.0472, 1.0474, 1.0572, 1.0860, 1.1792, 1.5760, 2.4768, 3.3818", \
" 1.0528, 1.0530, 1.0628, 1.0916, 1.1848, 1.5816, 2.4824, 3.3874", \
" 1.0626, 1.0628, 1.0726, 1.1014, 1.1946, 1.5914, 2.4922, 3.3972", \
" 1.0790, 1.0792, 1.0890, 1.1178, 1.2110, 1.6078, 2.5086, 3.4136", \
" 1.1104, 1.1106, 1.1204, 1.1492, 1.2424, 1.6392, 2.5400, 3.4450", \
" 1.1571, 1.1573, 1.1671, 1.1959, 1.2891, 1.6859, 2.5867, 3.4917", \
" 1.2183, 1.2185, 1.2283, 1.2571, 1.3503, 1.7471, 2.6479, 3.5529", \
" 1.2861, 1.2863, 1.2961, 1.3249, 1.4181, 1.8149, 2.7157, 3.6207" \
);
}
} /* End of rising_edge arc of pin RES0_O1 wrt pin UserCLK */
/* Start of rising_edge arc of pin RES0_O1 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_148 ){
values(\
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362" \
);
}
fall_transition (lut_timing_148 ){
values(\
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679" \
);
}
cell_rise (lut_timing_148 ){
values(\
" 0.8318, 0.8321, 0.8447, 0.8916, 1.0891, 1.9952, 4.0908, 6.1837", \
" 0.8375, 0.8378, 0.8504, 0.8973, 1.0948, 2.0009, 4.0965, 6.1894", \
" 0.8460, 0.8463, 0.8589, 0.9058, 1.1033, 2.0094, 4.1050, 6.1979", \
" 0.8634, 0.8637, 0.8763, 0.9232, 1.1207, 2.0268, 4.1224, 6.2153", \
" 0.8950, 0.8953, 0.9079, 0.9548, 1.1523, 2.0584, 4.1540, 6.2469", \
" 0.9437, 0.9440, 0.9566, 1.0035, 1.2010, 2.1071, 4.2027, 6.2956", \
" 0.9857, 0.9860, 0.9986, 1.0455, 1.2430, 2.1491, 4.2447, 6.3376", \
" 1.0862, 1.0865, 1.0991, 1.1460, 1.3435, 2.2496, 4.3452, 6.4381" \
);
}
cell_fall (lut_timing_148 ){
values(\
" 1.0485, 1.0487, 1.0585, 1.0878, 1.1804, 1.5773, 2.4780, 3.3831", \
" 1.0542, 1.0544, 1.0642, 1.0935, 1.1861, 1.5830, 2.4837, 3.3888", \
" 1.0628, 1.0630, 1.0728, 1.1021, 1.1947, 1.5916, 2.4923, 3.3974", \
" 1.0804, 1.0806, 1.0904, 1.1197, 1.2123, 1.6092, 2.5099, 3.4150", \
" 1.1117, 1.1119, 1.1217, 1.1510, 1.2436, 1.6405, 2.5412, 3.4463", \
" 1.1584, 1.1586, 1.1684, 1.1977, 1.2903, 1.6872, 2.5879, 3.4930", \
" 1.1979, 1.1981, 1.2079, 1.2372, 1.3298, 1.7267, 2.6274, 3.5325", \
" 1.2875, 1.2877, 1.2975, 1.3268, 1.4194, 1.8163, 2.7170, 3.6221" \
);
}
} /* End of rising_edge arc of pin RES0_O1 wrt pin UserCLK */
/* Start of combinational arc of pin RES0_O1 wrt pin E6END[1] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E6END[1] ";
rise_transition (lut_timing_149 ){
values(\
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0224, 0.0226, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362" \
);
}
fall_transition (lut_timing_149 ){
values(\
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2678" \
);
}
cell_rise (lut_timing_149 ){
values(\
" 0.3254, 0.3256, 0.3381, 0.3846, 0.5827, 1.4887, 3.5844, 5.6774", \
" 0.3254, 0.3256, 0.3381, 0.3846, 0.5827, 1.4887, 3.5844, 5.6774", \
" 0.3254, 0.3256, 0.3381, 0.3846, 0.5827, 1.4887, 3.5844, 5.6774", \
" 0.3254, 0.3256, 0.3381, 0.3846, 0.5827, 1.4887, 3.5844, 5.6774", \
" 0.3254, 0.3256, 0.3381, 0.3846, 0.5827, 1.4887, 3.5844, 5.6774", \
" 0.3254, 0.3256, 0.3381, 0.3846, 0.5827, 1.4887, 3.5844, 5.6774", \
" 0.3254, 0.3256, 0.3381, 0.3846, 0.5827, 1.4887, 3.5844, 5.6774", \
" 0.3254, 0.3256, 0.3381, 0.3846, 0.5827, 1.4887, 3.5844, 5.6774" \
);
}
cell_fall (lut_timing_149 ){
values(\
" 0.5056, 0.5058, 0.5156, 0.5444, 0.6376, 1.0344, 1.9352, 2.8402", \
" 0.5056, 0.5058, 0.5156, 0.5444, 0.6376, 1.0344, 1.9352, 2.8402", \
" 0.5056, 0.5058, 0.5156, 0.5444, 0.6376, 1.0344, 1.9352, 2.8402", \
" 0.5056, 0.5058, 0.5156, 0.5444, 0.6376, 1.0344, 1.9352, 2.8402", \
" 0.5056, 0.5058, 0.5156, 0.5444, 0.6376, 1.0344, 1.9352, 2.8402", \
" 0.5056, 0.5058, 0.5156, 0.5444, 0.6376, 1.0344, 1.9352, 2.8402", \
" 0.5056, 0.5058, 0.5156, 0.5444, 0.6376, 1.0344, 1.9352, 2.8402", \
" 0.5056, 0.5058, 0.5156, 0.5444, 0.6376, 1.0344, 1.9352, 2.8402" \
);
}
} /* End of combinational arc of pin RES0_O1 wrt pin E6END[1] */
/* Start of combinational arc of pin RES0_O1 wrt pin E6END[1] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E6END[1] ";
rise_transition (lut_timing_150 ){
values(\
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362", \
" 0.0225, 0.0227, 0.0347, 0.0920, 0.3725, 1.6818, 4.7120, 7.7362" \
);
}
fall_transition (lut_timing_150 ){
values(\
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679", \
" 0.0195, 0.0196, 0.0262, 0.0509, 0.1623, 0.7092, 1.9836, 3.2679" \
);
}
cell_rise (lut_timing_150 ){
values(\
" 0.3265, 0.3268, 0.3394, 0.3863, 0.5838, 1.4899, 3.5855, 5.6784", \
" 0.3265, 0.3268, 0.3394, 0.3863, 0.5838, 1.4899, 3.5855, 5.6784", \
" 0.3265, 0.3268, 0.3394, 0.3863, 0.5838, 1.4899, 3.5855, 5.6784", \
" 0.3265, 0.3268, 0.3394, 0.3863, 0.5838, 1.4899, 3.5855, 5.6784", \
" 0.3265, 0.3268, 0.3394, 0.3863, 0.5838, 1.4899, 3.5855, 5.6784", \
" 0.3265, 0.3268, 0.3394, 0.3863, 0.5838, 1.4899, 3.5855, 5.6784", \
" 0.3265, 0.3268, 0.3394, 0.3863, 0.5838, 1.4899, 3.5855, 5.6784", \
" 0.3265, 0.3268, 0.3394, 0.3863, 0.5838, 1.4899, 3.5855, 5.6784" \
);
}
cell_fall (lut_timing_150 ){
values(\
" 0.5072, 0.5074, 0.5172, 0.5465, 0.6391, 1.0360, 1.9367, 2.8418", \
" 0.5072, 0.5074, 0.5172, 0.5465, 0.6391, 1.0360, 1.9367, 2.8418", \
" 0.5072, 0.5074, 0.5172, 0.5465, 0.6391, 1.0360, 1.9367, 2.8418", \
" 0.5072, 0.5074, 0.5172, 0.5465, 0.6391, 1.0360, 1.9367, 2.8418", \
" 0.5072, 0.5074, 0.5172, 0.5465, 0.6391, 1.0360, 1.9367, 2.8418", \
" 0.5072, 0.5074, 0.5172, 0.5465, 0.6391, 1.0360, 1.9367, 2.8418", \
" 0.5072, 0.5074, 0.5172, 0.5465, 0.6391, 1.0360, 1.9367, 2.8418", \
" 0.5072, 0.5074, 0.5172, 0.5465, 0.6391, 1.0360, 1.9367, 2.8418" \
);
}
} /* End of combinational arc of pin RES0_O1 wrt pin E6END[1] */
} /* End of pin RES0_O1 */
/* Start of pin RES0_O0 */
pin (RES0_O0 ) {
direction : output ;
capacitance : 0.0125;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin RES0_O0 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_151 ){
values(\
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254" \
);
}
fall_transition (lut_timing_151 ){
values(\
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567" \
);
}
cell_rise (lut_timing_151 ){
values(\
" 0.7535, 0.7973, 0.9972, 1.9030, 2.9494, 3.9995, 5.0511, 6.1044", \
" 0.7591, 0.8029, 1.0028, 1.9086, 2.9550, 4.0051, 5.0567, 6.1100", \
" 0.7689, 0.8127, 1.0126, 1.9184, 2.9648, 4.0149, 5.0665, 6.1198", \
" 0.7852, 0.8290, 1.0289, 1.9347, 2.9811, 4.0312, 5.0828, 6.1361", \
" 0.8167, 0.8605, 1.0604, 1.9662, 3.0126, 4.0627, 5.1143, 6.1676", \
" 0.8654, 0.9092, 1.1091, 2.0149, 3.0613, 4.1114, 5.1630, 6.2163", \
" 0.9309, 0.9747, 1.1746, 2.0804, 3.1268, 4.1769, 5.2285, 6.2818", \
" 1.0078, 1.0516, 1.2515, 2.1573, 3.2037, 4.2538, 5.3054, 6.3587" \
);
}
cell_fall (lut_timing_151 ){
values(\
" 0.9780, 1.0055, 1.1015, 1.5056, 1.9575, 2.4104, 2.8643, 3.3185", \
" 0.9837, 1.0112, 1.1072, 1.5113, 1.9632, 2.4161, 2.8700, 3.3242", \
" 0.9935, 1.0210, 1.1170, 1.5211, 1.9730, 2.4259, 2.8798, 3.3340", \
" 1.0099, 1.0374, 1.1334, 1.5375, 1.9894, 2.4423, 2.8962, 3.3504", \
" 1.0412, 1.0687, 1.1647, 1.5688, 2.0207, 2.4736, 2.9275, 3.3817", \
" 1.0879, 1.1154, 1.2114, 1.6155, 2.0674, 2.5203, 2.9742, 3.4284", \
" 1.1492, 1.1767, 1.2727, 1.6768, 2.1287, 2.5816, 3.0355, 3.4897", \
" 1.2171, 1.2446, 1.3406, 1.7447, 2.1966, 2.6495, 3.1034, 3.5576" \
);
}
} /* End of rising_edge arc of pin RES0_O0 wrt pin UserCLK */
/* Start of rising_edge arc of pin RES0_O0 wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_152 ){
values(\
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254" \
);
}
fall_transition (lut_timing_152 ){
values(\
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567" \
);
}
cell_rise (lut_timing_152 ){
values(\
" 0.7546, 0.7979, 0.9979, 1.9037, 2.9502, 4.0003, 5.0519, 6.1051", \
" 0.7601, 0.8034, 1.0034, 1.9092, 2.9557, 4.0058, 5.0574, 6.1106", \
" 0.7688, 0.8121, 1.0121, 1.9179, 2.9644, 4.0145, 5.0661, 6.1193", \
" 0.7862, 0.8295, 1.0295, 1.9353, 2.9818, 4.0319, 5.0835, 6.1367", \
" 0.8177, 0.8610, 1.0610, 1.9668, 3.0133, 4.0634, 5.1150, 6.1682", \
" 0.8665, 0.9098, 1.1098, 2.0156, 3.0621, 4.1122, 5.1638, 6.2170", \
" 0.9085, 0.9518, 1.1518, 2.0576, 3.1041, 4.1542, 5.2058, 6.2590", \
" 1.0090, 1.0523, 1.2523, 2.1581, 3.2046, 4.2547, 5.3063, 6.3595" \
);
}
cell_fall (lut_timing_152 ){
values(\
" 0.9792, 1.0063, 1.1023, 1.5064, 1.9583, 2.4112, 2.8651, 3.3192", \
" 0.9849, 1.0120, 1.1080, 1.5121, 1.9640, 2.4169, 2.8708, 3.3249", \
" 0.9936, 1.0207, 1.1167, 1.5208, 1.9727, 2.4256, 2.8795, 3.3336", \
" 1.0111, 1.0382, 1.1342, 1.5383, 1.9902, 2.4431, 2.8970, 3.3511", \
" 1.0423, 1.0694, 1.1654, 1.5695, 2.0214, 2.4743, 2.9282, 3.3823", \
" 1.0891, 1.1162, 1.2122, 1.6163, 2.0682, 2.5211, 2.9750, 3.4291", \
" 1.1287, 1.1558, 1.2518, 1.6559, 2.1078, 2.5607, 3.0146, 3.4687", \
" 1.2182, 1.2453, 1.3413, 1.7454, 2.1973, 2.6502, 3.1041, 3.5582" \
);
}
} /* End of rising_edge arc of pin RES0_O0 wrt pin UserCLK */
/* Start of combinational arc of pin RES0_O0 wrt pin E6END[0] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E6END[0] ";
rise_transition (lut_timing_153 ){
values(\
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8227, 6.3748, 7.9254" \
);
}
fall_transition (lut_timing_153 ){
values(\
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567" \
);
}
cell_rise (lut_timing_153 ){
values(\
" 0.2418, 0.2856, 0.4855, 1.3913, 2.4377, 3.4878, 4.5394, 5.5927", \
" 0.2418, 0.2856, 0.4855, 1.3913, 2.4377, 3.4878, 4.5394, 5.5927", \
" 0.2418, 0.2856, 0.4855, 1.3913, 2.4377, 3.4878, 4.5394, 5.5927", \
" 0.2418, 0.2856, 0.4855, 1.3913, 2.4377, 3.4878, 4.5394, 5.5927", \
" 0.2418, 0.2856, 0.4855, 1.3913, 2.4377, 3.4878, 4.5394, 5.5927", \
" 0.2418, 0.2856, 0.4855, 1.3913, 2.4377, 3.4878, 4.5394, 5.5927", \
" 0.2418, 0.2856, 0.4855, 1.3913, 2.4377, 3.4878, 4.5394, 5.5927", \
" 0.2418, 0.2856, 0.4855, 1.3913, 2.4377, 3.4878, 4.5394, 5.5927" \
);
}
cell_fall (lut_timing_153 ){
values(\
" 0.4330, 0.4605, 0.5565, 0.9606, 1.4125, 1.8654, 2.3193, 2.7735", \
" 0.4330, 0.4605, 0.5565, 0.9606, 1.4125, 1.8654, 2.3193, 2.7735", \
" 0.4330, 0.4605, 0.5565, 0.9606, 1.4125, 1.8654, 2.3193, 2.7735", \
" 0.4330, 0.4605, 0.5565, 0.9606, 1.4125, 1.8654, 2.3193, 2.7735", \
" 0.4330, 0.4605, 0.5565, 0.9606, 1.4125, 1.8654, 2.3193, 2.7735", \
" 0.4330, 0.4605, 0.5565, 0.9606, 1.4125, 1.8654, 2.3193, 2.7735", \
" 0.4330, 0.4605, 0.5565, 0.9606, 1.4125, 1.8654, 2.3193, 2.7735", \
" 0.4330, 0.4605, 0.5565, 0.9606, 1.4125, 1.8654, 2.3193, 2.7735" \
);
}
} /* End of combinational arc of pin RES0_O0 wrt pin E6END[0] */
/* Start of combinational arc of pin RES0_O0 wrt pin E6END[0] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E6END[0] ";
rise_transition (lut_timing_154 ){
values(\
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254", \
" 0.0354, 0.0917, 0.3798, 1.7196, 3.2709, 4.8228, 6.3748, 7.9254" \
);
}
fall_transition (lut_timing_154 ){
values(\
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567", \
" 0.0271, 0.0517, 0.1681, 0.7453, 1.4214, 2.0982, 2.7772, 3.4567" \
);
}
cell_rise (lut_timing_154 ){
values(\
" 0.2432, 0.2865, 0.4865, 1.3923, 2.4388, 3.4889, 4.5405, 5.5937", \
" 0.2432, 0.2865, 0.4865, 1.3923, 2.4388, 3.4889, 4.5405, 5.5937", \
" 0.2432, 0.2865, 0.4865, 1.3923, 2.4388, 3.4889, 4.5405, 5.5937", \
" 0.2432, 0.2865, 0.4865, 1.3923, 2.4388, 3.4889, 4.5405, 5.5937", \
" 0.2432, 0.2865, 0.4865, 1.3923, 2.4388, 3.4889, 4.5405, 5.5937", \
" 0.2432, 0.2865, 0.4865, 1.3923, 2.4388, 3.4889, 4.5405, 5.5937", \
" 0.2432, 0.2865, 0.4865, 1.3923, 2.4388, 3.4889, 4.5405, 5.5937", \
" 0.2432, 0.2865, 0.4865, 1.3923, 2.4388, 3.4889, 4.5405, 5.5937" \
);
}
cell_fall (lut_timing_154 ){
values(\
" 0.4345, 0.4616, 0.5576, 0.9617, 1.4136, 1.8665, 2.3204, 2.7745", \
" 0.4345, 0.4616, 0.5576, 0.9617, 1.4136, 1.8665, 2.3204, 2.7745", \
" 0.4345, 0.4616, 0.5576, 0.9617, 1.4136, 1.8665, 2.3204, 2.7745", \
" 0.4345, 0.4616, 0.5576, 0.9617, 1.4136, 1.8665, 2.3204, 2.7745", \
" 0.4345, 0.4616, 0.5576, 0.9617, 1.4136, 1.8665, 2.3204, 2.7745", \
" 0.4345, 0.4616, 0.5576, 0.9617, 1.4136, 1.8665, 2.3204, 2.7745", \
" 0.4345, 0.4616, 0.5576, 0.9617, 1.4136, 1.8665, 2.3204, 2.7745", \
" 0.4345, 0.4616, 0.5576, 0.9617, 1.4136, 1.8665, 2.3204, 2.7745" \
);
}
} /* End of combinational arc of pin RES0_O0 wrt pin E6END[0] */
} /* End of pin RES0_O0 */
/* Start of pin OPB_I3 */
pin (OPB_I3 ) {
direction : input ;
capacitance : 0.0088;
max_transition : 1.5000;
/* Start of setup_rising arc of pin OPB_I3 wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_155 ){
values(\
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466" \
);
}
fall_constraint (lut_timing_155 ){
values(\
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994" \
);
}
} /* End of setup_rising arc of pin OPB_I3 wrt pin UserCLK */
/* Start of hold_rising arc of pin OPB_I3 wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487" \
);
}
} /* End of hold_rising arc of pin OPB_I3 wrt pin UserCLK */
} /* End of pin OPB_I3 */
/* Start of pin OPB_I2 */
pin (OPB_I2 ) {
direction : input ;
capacitance : 0.0085;
max_transition : 1.5000;
/* Start of setup_rising arc of pin OPB_I2 wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_157 ){
values(\
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466" \
);
}
fall_constraint (lut_timing_157 ){
values(\
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994" \
);
}
} /* End of setup_rising arc of pin OPB_I2 wrt pin UserCLK */
/* Start of hold_rising arc of pin OPB_I2 wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487" \
);
}
} /* End of hold_rising arc of pin OPB_I2 wrt pin UserCLK */
} /* End of pin OPB_I2 */
/* Start of pin OPB_I1 */
pin (OPB_I1 ) {
direction : input ;
capacitance : 0.0071;
max_transition : 1.5000;
/* Start of setup_rising arc of pin OPB_I1 wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_158 ){
values(\
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467" \
);
}
fall_constraint (lut_timing_158 ){
values(\
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995" \
);
}
} /* End of setup_rising arc of pin OPB_I1 wrt pin UserCLK */
/* Start of hold_rising arc of pin OPB_I1 wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487" \
);
}
} /* End of hold_rising arc of pin OPB_I1 wrt pin UserCLK */
} /* End of pin OPB_I1 */
/* Start of pin OPB_I0 */
pin (OPB_I0 ) {
direction : input ;
capacitance : 0.0081;
max_transition : 1.5000;
/* Start of setup_rising arc of pin OPB_I0 wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_157 ){
values(\
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467" \
);
}
fall_constraint (lut_timing_157 ){
values(\
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995" \
);
}
} /* End of setup_rising arc of pin OPB_I0 wrt pin UserCLK */
/* Start of hold_rising arc of pin OPB_I0 wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487" \
);
}
} /* End of hold_rising arc of pin OPB_I0 wrt pin UserCLK */
} /* End of pin OPB_I0 */
/* Start of pin UserCLK */
pin (UserCLK ) {
clock : true ;
direction : input ;
capacitance : 0.0556;
max_transition : 1.5000;
min_pulse_width_low : 2.5000;
min_pulse_width_high : 2.5000;
} /* End of pin UserCLK */
/* Start of pin OPA_I3 */
pin (OPA_I3 ) {
direction : input ;
capacitance : 0.0071;
max_transition : 1.5000;
/* Start of setup_rising arc of pin OPA_I3 wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_158 ){
values(\
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467" \
);
}
fall_constraint (lut_timing_158 ){
values(\
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995" \
);
}
} /* End of setup_rising arc of pin OPA_I3 wrt pin UserCLK */
/* Start of hold_rising arc of pin OPA_I3 wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487" \
);
}
} /* End of hold_rising arc of pin OPA_I3 wrt pin UserCLK */
} /* End of pin OPA_I3 */
/* Start of pin OPA_I2 */
pin (OPA_I2 ) {
direction : input ;
capacitance : 0.0073;
max_transition : 1.5000;
/* Start of setup_rising arc of pin OPA_I2 wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_158 ){
values(\
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467" \
);
}
fall_constraint (lut_timing_158 ){
values(\
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995" \
);
}
} /* End of setup_rising arc of pin OPA_I2 wrt pin UserCLK */
/* Start of hold_rising arc of pin OPA_I2 wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487" \
);
}
} /* End of hold_rising arc of pin OPA_I2 wrt pin UserCLK */
} /* End of pin OPA_I2 */
/* Start of pin OPA_I1 */
pin (OPA_I1 ) {
direction : input ;
capacitance : 0.0061;
max_transition : 1.5000;
/* Start of setup_rising arc of pin OPA_I1 wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_160 ){
values(\
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467" \
);
}
fall_constraint (lut_timing_160 ){
values(\
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995" \
);
}
} /* End of setup_rising arc of pin OPA_I1 wrt pin UserCLK */
/* Start of hold_rising arc of pin OPA_I1 wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487" \
);
}
} /* End of hold_rising arc of pin OPA_I1 wrt pin UserCLK */
} /* End of pin OPA_I1 */
/* Start of pin OPA_I0 */
pin (OPA_I0 ) {
direction : input ;
capacitance : 0.0076;
max_transition : 1.5000;
/* Start of setup_rising arc of pin OPA_I0 wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_161 ){
values(\
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467", \
" 0.0552, 0.0522, 0.0483, 0.0420, 0.0284, 0.0011, -0.0245, -0.0467" \
);
}
fall_constraint (lut_timing_161 ){
values(\
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995", \
" 0.1044, 0.0997, 0.0935, 0.0833, 0.0615, 0.0178, -0.0333, -0.0995" \
);
}
} /* End of setup_rising arc of pin OPA_I0 wrt pin UserCLK */
/* Start of hold_rising arc of pin OPA_I0 wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487" \
);
}
} /* End of hold_rising arc of pin OPA_I0 wrt pin UserCLK */
} /* End of pin OPA_I0 */
type (bus4){
base_type : array ;
data_type : bit ;
bit_width : 12;
bit_from : 11;
bit_to : 0;
downto : true ;
}
bus (W6BEG ){
bus_type : bus4 ;
/* Start of pin W6BEG[11] */
pin (W6BEG[11] ) {
direction : output ;
capacitance : 0.0015;
max_transition : 7.6522;
max_capacitance : 5.0000;
function : "0" ;
} /* End of pin W6BEG[11] */
/* Start of pin W6BEG[10] */
pin (W6BEG[10] ) {
direction : output ;
capacitance : 0.0016;
max_transition : 7.6522;
max_capacitance : 5.0000;
function : "0" ;
} /* End of pin W6BEG[10] */
/* Start of pin W6BEG[9] */
pin (W6BEG[9] ) {
direction : output ;
capacitance : 0.0052;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W6BEG[9] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_162 ){
values(\
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768" \
);
}
fall_transition (lut_timing_162 ){
values(\
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066" \
);
}
cell_rise (lut_timing_162 ){
values(\
" 0.7379, 0.7459, 0.7925, 0.9913, 1.8981, 2.9449, 3.9936, 6.0876", \
" 0.7435, 0.7515, 0.7981, 0.9969, 1.9037, 2.9505, 3.9992, 6.0932", \
" 0.7533, 0.7613, 0.8079, 1.0067, 1.9135, 2.9603, 4.0090, 6.1030", \
" 0.7695, 0.7775, 0.8241, 1.0229, 1.9297, 2.9765, 4.0252, 6.1192", \
" 0.8011, 0.8091, 0.8557, 1.0545, 1.9613, 3.0081, 4.0568, 6.1508", \
" 0.8498, 0.8578, 0.9044, 1.1032, 2.0100, 3.0568, 4.1055, 6.1995", \
" 0.9153, 0.9233, 0.9699, 1.1687, 2.0755, 3.1223, 4.1710, 6.2650", \
" 0.9922, 1.0002, 1.0468, 1.2456, 2.1524, 3.1992, 4.2479, 6.3419" \
);
}
cell_fall (lut_timing_162 ){
values(\
" 0.9255, 0.9317, 0.9602, 1.0546, 1.4540, 1.9023, 2.3549, 3.2609", \
" 0.9311, 0.9373, 0.9658, 1.0602, 1.4596, 1.9079, 2.3605, 3.2665", \
" 0.9409, 0.9471, 0.9756, 1.0700, 1.4694, 1.9177, 2.3703, 3.2763", \
" 0.9573, 0.9635, 0.9920, 1.0864, 1.4858, 1.9341, 2.3867, 3.2927", \
" 0.9885, 0.9947, 1.0232, 1.1176, 1.5170, 1.9653, 2.4179, 3.3239", \
" 1.0353, 1.0415, 1.0700, 1.1644, 1.5638, 2.0121, 2.4647, 3.3707", \
" 1.0966, 1.1028, 1.1313, 1.2257, 1.6251, 2.0734, 2.5260, 3.4320", \
" 1.1644, 1.1706, 1.1991, 1.2935, 1.6929, 2.1412, 2.5938, 3.4998" \
);
}
} /* End of rising_edge arc of pin W6BEG[9] wrt pin UserCLK */
/* Start of rising_edge arc of pin W6BEG[9] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_163 ){
values(\
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768" \
);
}
fall_transition (lut_timing_163 ){
values(\
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066" \
);
}
cell_rise (lut_timing_163 ){
values(\
" 0.7385, 0.7466, 0.7930, 0.9918, 1.8987, 2.9455, 3.9941, 6.0880", \
" 0.7441, 0.7522, 0.7986, 0.9974, 1.9043, 2.9511, 3.9997, 6.0936", \
" 0.7527, 0.7608, 0.8072, 1.0060, 1.9129, 2.9597, 4.0083, 6.1022", \
" 0.7701, 0.7782, 0.8246, 1.0234, 1.9303, 2.9771, 4.0257, 6.1196", \
" 0.8017, 0.8098, 0.8562, 1.0550, 1.9619, 3.0087, 4.0573, 6.1512", \
" 0.8504, 0.8585, 0.9049, 1.1037, 2.0106, 3.0574, 4.1060, 6.1999", \
" 0.8925, 0.9006, 0.9470, 1.1458, 2.0527, 3.0995, 4.1481, 6.2420", \
" 0.9929, 1.0010, 1.0474, 1.2462, 2.1531, 3.1999, 4.2485, 6.3424" \
);
}
cell_fall (lut_timing_163 ){
values(\
" 0.9265, 0.9328, 0.9611, 1.0555, 1.4549, 1.9032, 2.3558, 3.2618", \
" 0.9321, 0.9384, 0.9667, 1.0611, 1.4605, 1.9088, 2.3614, 3.2674", \
" 0.9408, 0.9471, 0.9754, 1.0698, 1.4692, 1.9175, 2.3701, 3.2761", \
" 0.9583, 0.9646, 0.9929, 1.0873, 1.4867, 1.9350, 2.3876, 3.2936", \
" 0.9896, 0.9959, 1.0242, 1.1186, 1.5180, 1.9663, 2.4189, 3.3249", \
" 1.0364, 1.0427, 1.0710, 1.1654, 1.5648, 2.0131, 2.4657, 3.3717", \
" 1.0759, 1.0822, 1.1105, 1.2049, 1.6043, 2.0526, 2.5052, 3.4112", \
" 1.1654, 1.1717, 1.2000, 1.2944, 1.6938, 2.1421, 2.5947, 3.5007" \
);
}
} /* End of rising_edge arc of pin W6BEG[9] wrt pin UserCLK */
/* Start of combinational arc of pin W6BEG[9] wrt pin OPB_I3 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPB_I3 ";
rise_transition (lut_timing_164 ){
values(\
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0346, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768" \
);
}
fall_transition (lut_timing_164 ){
values(\
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7164, 1.3613, 2.0077, 3.3066" \
);
}
cell_rise (lut_timing_164 ){
values(\
" 0.3270, 0.3350, 0.3816, 0.5804, 1.4872, 2.5340, 3.5827, 5.6767", \
" 0.3270, 0.3350, 0.3816, 0.5804, 1.4872, 2.5340, 3.5827, 5.6767", \
" 0.3270, 0.3350, 0.3816, 0.5804, 1.4872, 2.5340, 3.5827, 5.6767", \
" 0.3270, 0.3350, 0.3816, 0.5804, 1.4872, 2.5340, 3.5827, 5.6767", \
" 0.3270, 0.3350, 0.3816, 0.5804, 1.4872, 2.5340, 3.5827, 5.6767", \
" 0.3270, 0.3350, 0.3816, 0.5804, 1.4872, 2.5340, 3.5827, 5.6767", \
" 0.3270, 0.3350, 0.3816, 0.5804, 1.4872, 2.5340, 3.5827, 5.6767", \
" 0.3270, 0.3350, 0.3816, 0.5804, 1.4872, 2.5340, 3.5827, 5.6767" \
);
}
cell_fall (lut_timing_164 ){
values(\
" 0.5026, 0.5088, 0.5373, 0.6317, 1.0311, 1.4794, 1.9320, 2.8380", \
" 0.5026, 0.5088, 0.5373, 0.6317, 1.0311, 1.4794, 1.9320, 2.8380", \
" 0.5026, 0.5088, 0.5373, 0.6317, 1.0311, 1.4794, 1.9320, 2.8380", \
" 0.5026, 0.5088, 0.5373, 0.6317, 1.0311, 1.4794, 1.9320, 2.8380", \
" 0.5026, 0.5088, 0.5373, 0.6317, 1.0311, 1.4794, 1.9320, 2.8380", \
" 0.5026, 0.5088, 0.5373, 0.6317, 1.0311, 1.4794, 1.9320, 2.8380", \
" 0.5026, 0.5088, 0.5373, 0.6317, 1.0311, 1.4794, 1.9320, 2.8380", \
" 0.5026, 0.5088, 0.5373, 0.6317, 1.0311, 1.4794, 1.9320, 2.8380" \
);
}
} /* End of combinational arc of pin W6BEG[9] wrt pin OPB_I3 */
/* Start of combinational arc of pin W6BEG[9] wrt pin OPB_I3 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPB_I3 ";
rise_transition (lut_timing_165 ){
values(\
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768", \
" 0.0267, 0.0347, 0.0923, 0.3741, 1.6899, 3.2135, 4.7365, 7.7768" \
);
}
fall_transition (lut_timing_165 ){
values(\
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066", \
" 0.0219, 0.0262, 0.0513, 0.1635, 0.7163, 1.3612, 2.0077, 3.3066" \
);
}
cell_rise (lut_timing_165 ){
values(\
" 0.3278, 0.3359, 0.3823, 0.5811, 1.4880, 2.5348, 3.5834, 5.6773", \
" 0.3278, 0.3359, 0.3823, 0.5811, 1.4880, 2.5348, 3.5834, 5.6773", \
" 0.3278, 0.3359, 0.3823, 0.5811, 1.4880, 2.5348, 3.5834, 5.6773", \
" 0.3278, 0.3359, 0.3823, 0.5811, 1.4880, 2.5348, 3.5834, 5.6773", \
" 0.3278, 0.3359, 0.3823, 0.5811, 1.4880, 2.5348, 3.5834, 5.6773", \
" 0.3278, 0.3359, 0.3823, 0.5811, 1.4880, 2.5348, 3.5834, 5.6773", \
" 0.3278, 0.3359, 0.3823, 0.5811, 1.4880, 2.5348, 3.5834, 5.6773", \
" 0.3278, 0.3359, 0.3823, 0.5811, 1.4880, 2.5348, 3.5834, 5.6773" \
);
}
cell_fall (lut_timing_165 ){
values(\
" 0.5038, 0.5101, 0.5384, 0.6328, 1.0322, 1.4805, 1.9331, 2.8391", \
" 0.5038, 0.5101, 0.5384, 0.6328, 1.0322, 1.4805, 1.9331, 2.8391", \
" 0.5038, 0.5101, 0.5384, 0.6328, 1.0322, 1.4805, 1.9331, 2.8391", \
" 0.5038, 0.5101, 0.5384, 0.6328, 1.0322, 1.4805, 1.9331, 2.8391", \
" 0.5038, 0.5101, 0.5384, 0.6328, 1.0322, 1.4805, 1.9331, 2.8391", \
" 0.5038, 0.5101, 0.5384, 0.6328, 1.0322, 1.4805, 1.9331, 2.8391", \
" 0.5038, 0.5101, 0.5384, 0.6328, 1.0322, 1.4805, 1.9331, 2.8391", \
" 0.5038, 0.5101, 0.5384, 0.6328, 1.0322, 1.4805, 1.9331, 2.8391" \
);
}
} /* End of combinational arc of pin W6BEG[9] wrt pin OPB_I3 */
} /* End of pin W6BEG[9] */
/* Start of pin W6BEG[8] */
pin (W6BEG[8] ) {
direction : output ;
capacitance : 0.0069;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W6BEG[8] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_166 ){
values(\
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260" \
);
}
fall_transition (lut_timing_166 ){
values(\
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547" \
);
}
cell_rise (lut_timing_166 ){
values(\
" 0.7391, 0.7445, 0.7911, 0.9907, 1.8985, 2.9453, 3.9942, 6.0889", \
" 0.7447, 0.7501, 0.7967, 0.9963, 1.9041, 2.9509, 3.9998, 6.0945", \
" 0.7544, 0.7598, 0.8064, 1.0060, 1.9138, 2.9606, 4.0095, 6.1042", \
" 0.7707, 0.7761, 0.8227, 1.0223, 1.9301, 2.9769, 4.0258, 6.1205", \
" 0.8023, 0.8077, 0.8543, 1.0539, 1.9617, 3.0085, 4.0574, 6.1521", \
" 0.8509, 0.8563, 0.9029, 1.1025, 2.0103, 3.0571, 4.1060, 6.2007", \
" 0.9166, 0.9220, 0.9686, 1.1682, 2.0760, 3.1228, 4.1717, 6.2664", \
" 0.9935, 0.9989, 1.0455, 1.2451, 2.1529, 3.1997, 4.2486, 6.3433" \
);
}
cell_fall (lut_timing_166 ){
values(\
" 0.9260, 0.9300, 0.9586, 1.0539, 1.4555, 1.9043, 2.3566, 3.2639", \
" 0.9318, 0.9358, 0.9644, 1.0597, 1.4613, 1.9101, 2.3624, 3.2697", \
" 0.9416, 0.9456, 0.9742, 1.0695, 1.4711, 1.9199, 2.3722, 3.2795", \
" 0.9580, 0.9620, 0.9906, 1.0859, 1.4875, 1.9363, 2.3886, 3.2959", \
" 0.9893, 0.9933, 1.0219, 1.1172, 1.5188, 1.9676, 2.4199, 3.3272", \
" 1.0359, 1.0399, 1.0685, 1.1638, 1.5654, 2.0142, 2.4665, 3.3738", \
" 1.0973, 1.1013, 1.1299, 1.2252, 1.6268, 2.0756, 2.5279, 3.4352", \
" 1.1651, 1.1691, 1.1977, 1.2930, 1.6946, 2.1434, 2.5957, 3.5030" \
);
}
} /* End of rising_edge arc of pin W6BEG[8] wrt pin UserCLK */
/* Start of rising_edge arc of pin W6BEG[8] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_167 ){
values(\
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260" \
);
}
fall_transition (lut_timing_167 ){
values(\
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547" \
);
}
cell_rise (lut_timing_167 ){
values(\
" 0.7399, 0.7453, 0.7917, 0.9913, 1.8990, 2.9459, 3.9948, 6.0894", \
" 0.7454, 0.7508, 0.7972, 0.9968, 1.9045, 2.9514, 4.0003, 6.0949", \
" 0.7541, 0.7595, 0.8059, 1.0055, 1.9132, 2.9601, 4.0090, 6.1036", \
" 0.7715, 0.7769, 0.8233, 1.0229, 1.9306, 2.9775, 4.0264, 6.1210", \
" 0.8031, 0.8085, 0.8549, 1.0545, 1.9622, 3.0091, 4.0580, 6.1526", \
" 0.8518, 0.8572, 0.9036, 1.1032, 2.0109, 3.0578, 4.1067, 6.2013", \
" 0.8938, 0.8992, 0.9456, 1.1452, 2.0529, 3.0998, 4.1487, 6.2433", \
" 0.9942, 0.9996, 1.0460, 1.2456, 2.1533, 3.2002, 4.2491, 6.3437" \
);
}
cell_fall (lut_timing_167 ){
values(\
" 0.9272, 0.9313, 0.9596, 1.0550, 1.4565, 1.9054, 2.3577, 3.2650", \
" 0.9329, 0.9370, 0.9653, 1.0607, 1.4622, 1.9111, 2.3634, 3.2707", \
" 0.9415, 0.9456, 0.9739, 1.0693, 1.4708, 1.9197, 2.3720, 3.2793", \
" 0.9591, 0.9632, 0.9915, 1.0869, 1.4884, 1.9373, 2.3896, 3.2969", \
" 0.9904, 0.9945, 1.0228, 1.1182, 1.5197, 1.9686, 2.4209, 3.3282", \
" 1.0371, 1.0412, 1.0695, 1.1649, 1.5664, 2.0153, 2.4676, 3.3749", \
" 1.0767, 1.0808, 1.1091, 1.2045, 1.6060, 2.0549, 2.5072, 3.4145", \
" 1.1662, 1.1703, 1.1986, 1.2940, 1.6955, 2.1444, 2.5967, 3.5040" \
);
}
} /* End of rising_edge arc of pin W6BEG[8] wrt pin UserCLK */
/* Start of combinational arc of pin W6BEG[8] wrt pin OPB_I2 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPB_I2 ";
rise_transition (lut_timing_168 ){
values(\
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.6996, 3.2334, 4.7662, 7.8260" \
);
}
fall_transition (lut_timing_168 ){
values(\
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7256, 1.3805, 2.0372, 3.3547" \
);
}
cell_rise (lut_timing_168 ){
values(\
" 0.3277, 0.3331, 0.3797, 0.5793, 1.4871, 2.5339, 3.5828, 5.6775", \
" 0.3277, 0.3331, 0.3797, 0.5793, 1.4871, 2.5339, 3.5828, 5.6775", \
" 0.3277, 0.3331, 0.3797, 0.5793, 1.4871, 2.5339, 3.5828, 5.6775", \
" 0.3277, 0.3331, 0.3797, 0.5793, 1.4871, 2.5339, 3.5828, 5.6775", \
" 0.3277, 0.3331, 0.3797, 0.5793, 1.4871, 2.5339, 3.5828, 5.6775", \
" 0.3277, 0.3331, 0.3797, 0.5793, 1.4871, 2.5339, 3.5828, 5.6775", \
" 0.3277, 0.3331, 0.3797, 0.5793, 1.4871, 2.5339, 3.5828, 5.6775", \
" 0.3277, 0.3331, 0.3797, 0.5793, 1.4871, 2.5339, 3.5828, 5.6775" \
);
}
cell_fall (lut_timing_168 ){
values(\
" 0.5031, 0.5071, 0.5357, 0.6310, 1.0326, 1.4814, 1.9337, 2.8410", \
" 0.5031, 0.5071, 0.5357, 0.6310, 1.0326, 1.4814, 1.9337, 2.8410", \
" 0.5031, 0.5071, 0.5357, 0.6310, 1.0326, 1.4814, 1.9337, 2.8410", \
" 0.5031, 0.5071, 0.5357, 0.6310, 1.0326, 1.4814, 1.9337, 2.8410", \
" 0.5031, 0.5071, 0.5357, 0.6310, 1.0326, 1.4814, 1.9337, 2.8410", \
" 0.5031, 0.5071, 0.5357, 0.6310, 1.0326, 1.4814, 1.9337, 2.8410", \
" 0.5031, 0.5071, 0.5357, 0.6310, 1.0326, 1.4814, 1.9337, 2.8410", \
" 0.5031, 0.5071, 0.5357, 0.6310, 1.0326, 1.4814, 1.9337, 2.8410" \
);
}
} /* End of combinational arc of pin W6BEG[8] wrt pin OPB_I2 */
/* Start of combinational arc of pin W6BEG[8] wrt pin OPB_I2 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPB_I2 ";
rise_transition (lut_timing_169 ){
values(\
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260", \
" 0.0292, 0.0346, 0.0923, 0.3758, 1.7002, 3.2335, 4.7662, 7.8260" \
);
}
fall_transition (lut_timing_169 ){
values(\
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547", \
" 0.0233, 0.0262, 0.0515, 0.1649, 0.7255, 1.3805, 2.0372, 3.3547" \
);
}
cell_rise (lut_timing_169 ){
values(\
" 0.3286, 0.3340, 0.3804, 0.5800, 1.4877, 2.5346, 3.5835, 5.6781", \
" 0.3286, 0.3340, 0.3804, 0.5800, 1.4877, 2.5346, 3.5835, 5.6781", \
" 0.3286, 0.3340, 0.3804, 0.5800, 1.4877, 2.5346, 3.5835, 5.6781", \
" 0.3286, 0.3340, 0.3804, 0.5800, 1.4877, 2.5346, 3.5835, 5.6781", \
" 0.3286, 0.3340, 0.3804, 0.5800, 1.4877, 2.5346, 3.5835, 5.6781", \
" 0.3286, 0.3340, 0.3804, 0.5800, 1.4877, 2.5346, 3.5835, 5.6781", \
" 0.3286, 0.3340, 0.3804, 0.5800, 1.4877, 2.5346, 3.5835, 5.6781", \
" 0.3286, 0.3340, 0.3804, 0.5800, 1.4877, 2.5346, 3.5835, 5.6781" \
);
}
cell_fall (lut_timing_169 ){
values(\
" 0.5044, 0.5085, 0.5368, 0.6322, 1.0337, 1.4826, 1.9349, 2.8422", \
" 0.5044, 0.5085, 0.5368, 0.6322, 1.0337, 1.4826, 1.9349, 2.8422", \
" 0.5044, 0.5085, 0.5368, 0.6322, 1.0337, 1.4826, 1.9349, 2.8422", \
" 0.5044, 0.5085, 0.5368, 0.6322, 1.0337, 1.4826, 1.9349, 2.8422", \
" 0.5044, 0.5085, 0.5368, 0.6322, 1.0337, 1.4826, 1.9349, 2.8422", \
" 0.5044, 0.5085, 0.5368, 0.6322, 1.0337, 1.4826, 1.9349, 2.8422", \
" 0.5044, 0.5085, 0.5368, 0.6322, 1.0337, 1.4826, 1.9349, 2.8422", \
" 0.5044, 0.5085, 0.5368, 0.6322, 1.0337, 1.4826, 1.9349, 2.8422" \
);
}
} /* End of combinational arc of pin W6BEG[8] wrt pin OPB_I2 */
} /* End of pin W6BEG[8] */
/* Start of pin W6BEG[7] */
pin (W6BEG[7] ) {
direction : output ;
capacitance : 0.0046;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W6BEG[7] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_170 ){
values(\
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648" \
);
}
fall_transition (lut_timing_170 ){
values(\
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952" \
);
}
cell_rise (lut_timing_170 ){
values(\
" 0.7757, 0.7847, 0.8315, 1.0303, 1.9379, 2.9846, 4.0324, 6.1222", \
" 0.7812, 0.7902, 0.8370, 1.0358, 1.9434, 2.9901, 4.0379, 6.1277", \
" 0.7911, 0.8001, 0.8469, 1.0457, 1.9533, 3.0000, 4.0478, 6.1376", \
" 0.8073, 0.8163, 0.8631, 1.0619, 1.9695, 3.0162, 4.0640, 6.1538", \
" 0.8389, 0.8479, 0.8947, 1.0935, 2.0011, 3.0478, 4.0956, 6.1854", \
" 0.8876, 0.8966, 0.9434, 1.1422, 2.0498, 3.0965, 4.1443, 6.2341", \
" 0.9531, 0.9621, 1.0089, 1.2077, 2.1153, 3.1620, 4.2098, 6.2996", \
" 1.0301, 1.0391, 1.0859, 1.2847, 2.1923, 3.2390, 4.2868, 6.3766" \
);
}
cell_fall (lut_timing_170 ){
values(\
" 0.9549, 0.9617, 0.9907, 1.0844, 1.4831, 1.9315, 2.3841, 3.2903", \
" 0.9606, 0.9674, 0.9964, 1.0901, 1.4888, 1.9372, 2.3898, 3.2960", \
" 0.9704, 0.9772, 1.0062, 1.0999, 1.4986, 1.9470, 2.3996, 3.3058", \
" 0.9868, 0.9936, 1.0226, 1.1163, 1.5150, 1.9634, 2.4160, 3.3222", \
" 1.0182, 1.0250, 1.0540, 1.1477, 1.5464, 1.9948, 2.4474, 3.3536", \
" 1.0649, 1.0717, 1.1007, 1.1944, 1.5931, 2.0415, 2.4941, 3.4003", \
" 1.1261, 1.1329, 1.1619, 1.2556, 1.6543, 2.1027, 2.5553, 3.4615", \
" 1.1939, 1.2007, 1.2297, 1.3234, 1.7221, 2.1705, 2.6231, 3.5293" \
);
}
} /* End of rising_edge arc of pin W6BEG[7] wrt pin UserCLK */
/* Start of rising_edge arc of pin W6BEG[7] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_171 ){
values(\
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648" \
);
}
fall_transition (lut_timing_171 ){
values(\
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952" \
);
}
cell_rise (lut_timing_171 ){
values(\
" 0.7764, 0.7855, 0.8329, 1.0309, 1.9386, 2.9853, 4.0331, 6.1228", \
" 0.7819, 0.7910, 0.8384, 1.0364, 1.9441, 2.9908, 4.0386, 6.1283", \
" 0.7906, 0.7997, 0.8471, 1.0451, 1.9528, 2.9995, 4.0473, 6.1370", \
" 0.8080, 0.8171, 0.8645, 1.0625, 1.9702, 3.0169, 4.0647, 6.1544", \
" 0.8397, 0.8488, 0.8962, 1.0942, 2.0019, 3.0486, 4.0964, 6.1861", \
" 0.8883, 0.8974, 0.9448, 1.1428, 2.0505, 3.0972, 4.1450, 6.2347", \
" 0.9303, 0.9394, 0.9868, 1.1848, 2.0925, 3.1392, 4.1870, 6.2767", \
" 1.0308, 1.0399, 1.0873, 1.2853, 2.1930, 3.2397, 4.2875, 6.3772" \
);
}
cell_fall (lut_timing_171 ){
values(\
" 0.9561, 0.9630, 0.9926, 1.0856, 1.4843, 1.9327, 2.3853, 3.2916", \
" 0.9618, 0.9687, 0.9983, 1.0913, 1.4900, 1.9384, 2.3910, 3.2973", \
" 0.9704, 0.9773, 1.0069, 1.0999, 1.4986, 1.9470, 2.3996, 3.3059", \
" 0.9880, 0.9949, 1.0245, 1.1175, 1.5162, 1.9646, 2.4172, 3.3235", \
" 1.0193, 1.0262, 1.0558, 1.1488, 1.5475, 1.9959, 2.4485, 3.3548", \
" 1.0661, 1.0730, 1.1026, 1.1956, 1.5943, 2.0427, 2.4953, 3.4016", \
" 1.1056, 1.1125, 1.1421, 1.2351, 1.6338, 2.0822, 2.5348, 3.4411", \
" 1.1951, 1.2020, 1.2316, 1.3246, 1.7233, 2.1717, 2.6243, 3.5306" \
);
}
} /* End of rising_edge arc of pin W6BEG[7] wrt pin UserCLK */
/* Start of combinational arc of pin W6BEG[7] wrt pin OPA_I3 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPA_I3 ";
rise_transition (lut_timing_172 ){
values(\
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3734, 1.6871, 3.2085, 4.7294, 7.7648" \
);
}
fall_transition (lut_timing_172 ){
values(\
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952" \
);
}
cell_rise (lut_timing_172 ){
values(\
" 0.3490, 0.3580, 0.4048, 0.6036, 1.5112, 2.5579, 3.6057, 5.6955", \
" 0.3490, 0.3580, 0.4048, 0.6036, 1.5112, 2.5579, 3.6057, 5.6955", \
" 0.3490, 0.3580, 0.4048, 0.6036, 1.5112, 2.5579, 3.6057, 5.6955", \
" 0.3490, 0.3580, 0.4048, 0.6036, 1.5112, 2.5579, 3.6057, 5.6955", \
" 0.3490, 0.3580, 0.4048, 0.6036, 1.5112, 2.5579, 3.6057, 5.6955", \
" 0.3490, 0.3580, 0.4048, 0.6036, 1.5112, 2.5579, 3.6057, 5.6955", \
" 0.3490, 0.3580, 0.4048, 0.6036, 1.5112, 2.5579, 3.6057, 5.6955", \
" 0.3490, 0.3580, 0.4048, 0.6036, 1.5112, 2.5579, 3.6057, 5.6955" \
);
}
cell_fall (lut_timing_172 ){
values(\
" 0.5204, 0.5272, 0.5562, 0.6499, 1.0486, 1.4970, 1.9496, 2.8558", \
" 0.5204, 0.5272, 0.5562, 0.6499, 1.0486, 1.4970, 1.9496, 2.8558", \
" 0.5204, 0.5272, 0.5562, 0.6499, 1.0486, 1.4970, 1.9496, 2.8558", \
" 0.5204, 0.5272, 0.5562, 0.6499, 1.0486, 1.4970, 1.9496, 2.8558", \
" 0.5204, 0.5272, 0.5562, 0.6499, 1.0486, 1.4970, 1.9496, 2.8558", \
" 0.5204, 0.5272, 0.5562, 0.6499, 1.0486, 1.4970, 1.9496, 2.8558", \
" 0.5204, 0.5272, 0.5562, 0.6499, 1.0486, 1.4970, 1.9496, 2.8558", \
" 0.5204, 0.5272, 0.5562, 0.6499, 1.0486, 1.4970, 1.9496, 2.8558" \
);
}
} /* End of combinational arc of pin W6BEG[7] wrt pin OPA_I3 */
/* Start of combinational arc of pin W6BEG[7] wrt pin OPA_I3 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPA_I3 ";
rise_transition (lut_timing_173 ){
values(\
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648", \
" 0.0266, 0.0353, 0.0922, 0.3733, 1.6871, 3.2085, 4.7294, 7.7648" \
);
}
fall_transition (lut_timing_173 ){
values(\
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952", \
" 0.0216, 0.0263, 0.0510, 0.1630, 0.7142, 1.3563, 2.0003, 3.2952" \
);
}
cell_rise (lut_timing_173 ){
values(\
" 0.3497, 0.3588, 0.4062, 0.6042, 1.5119, 2.5586, 3.6064, 5.6961", \
" 0.3497, 0.3588, 0.4062, 0.6042, 1.5119, 2.5586, 3.6064, 5.6961", \
" 0.3497, 0.3588, 0.4062, 0.6042, 1.5119, 2.5586, 3.6064, 5.6961", \
" 0.3497, 0.3588, 0.4062, 0.6042, 1.5119, 2.5586, 3.6064, 5.6961", \
" 0.3497, 0.3588, 0.4062, 0.6042, 1.5119, 2.5586, 3.6064, 5.6961", \
" 0.3497, 0.3588, 0.4062, 0.6042, 1.5119, 2.5586, 3.6064, 5.6961", \
" 0.3497, 0.3588, 0.4062, 0.6042, 1.5119, 2.5586, 3.6064, 5.6961", \
" 0.3497, 0.3588, 0.4062, 0.6042, 1.5119, 2.5586, 3.6064, 5.6961" \
);
}
cell_fall (lut_timing_173 ){
values(\
" 0.5216, 0.5285, 0.5581, 0.6511, 1.0498, 1.4982, 1.9508, 2.8571", \
" 0.5216, 0.5285, 0.5581, 0.6511, 1.0498, 1.4982, 1.9508, 2.8571", \
" 0.5216, 0.5285, 0.5581, 0.6511, 1.0498, 1.4982, 1.9508, 2.8571", \
" 0.5216, 0.5285, 0.5581, 0.6511, 1.0498, 1.4982, 1.9508, 2.8571", \
" 0.5216, 0.5285, 0.5581, 0.6511, 1.0498, 1.4982, 1.9508, 2.8571", \
" 0.5216, 0.5285, 0.5581, 0.6511, 1.0498, 1.4982, 1.9508, 2.8571", \
" 0.5216, 0.5285, 0.5581, 0.6511, 1.0498, 1.4982, 1.9508, 2.8571", \
" 0.5216, 0.5285, 0.5581, 0.6511, 1.0498, 1.4982, 1.9508, 2.8571" \
);
}
} /* End of combinational arc of pin W6BEG[7] wrt pin OPA_I3 */
} /* End of pin W6BEG[7] */
/* Start of pin W6BEG[6] */
pin (W6BEG[6] ) {
direction : output ;
capacitance : 0.0028;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W6BEG[6] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_174 ){
values(\
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449" \
);
}
fall_transition (lut_timing_174 ){
values(\
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762" \
);
}
cell_rise (lut_timing_174 ){
values(\
" 0.7639, 0.7757, 0.8223, 1.0207, 1.9272, 2.9741, 4.0224, 6.1142", \
" 0.7694, 0.7812, 0.8278, 1.0262, 1.9327, 2.9796, 4.0279, 6.1197", \
" 0.7793, 0.7911, 0.8377, 1.0361, 1.9426, 2.9895, 4.0378, 6.1296", \
" 0.7955, 0.8073, 0.8539, 1.0523, 1.9588, 3.0057, 4.0540, 6.1458", \
" 0.8271, 0.8389, 0.8855, 1.0839, 1.9904, 3.0373, 4.0856, 6.1774", \
" 0.8758, 0.8876, 0.9342, 1.1326, 2.0391, 3.0860, 4.1343, 6.2261", \
" 0.9413, 0.9531, 0.9997, 1.1981, 2.1046, 3.1515, 4.1998, 6.2916", \
" 1.0182, 1.0300, 1.0766, 1.2750, 2.1815, 3.2284, 4.2767, 6.3685" \
);
}
cell_fall (lut_timing_174 ){
values(\
" 0.9459, 0.9550, 0.9839, 1.0772, 1.4747, 1.9232, 2.3755, 3.2809", \
" 0.9517, 0.9608, 0.9897, 1.0830, 1.4805, 1.9290, 2.3813, 3.2867", \
" 0.9615, 0.9706, 0.9995, 1.0928, 1.4903, 1.9388, 2.3911, 3.2965", \
" 0.9779, 0.9870, 1.0159, 1.1092, 1.5067, 1.9552, 2.4075, 3.3129", \
" 1.0091, 1.0182, 1.0471, 1.1404, 1.5379, 1.9864, 2.4387, 3.3441", \
" 1.0559, 1.0650, 1.0939, 1.1872, 1.5847, 2.0332, 2.4855, 3.3909", \
" 1.1171, 1.1262, 1.1551, 1.2484, 1.6459, 2.0944, 2.5467, 3.4521", \
" 1.1850, 1.1941, 1.2230, 1.3163, 1.7138, 2.1623, 2.6146, 3.5200" \
);
}
} /* End of rising_edge arc of pin W6BEG[6] wrt pin UserCLK */
/* Start of rising_edge arc of pin W6BEG[6] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_175 ){
values(\
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450" \
);
}
fall_transition (lut_timing_175 ){
values(\
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762" \
);
}
cell_rise (lut_timing_175 ){
values(\
" 0.7646, 0.7765, 0.8236, 1.0213, 1.9280, 2.9748, 4.0231, 6.1147", \
" 0.7701, 0.7820, 0.8291, 1.0268, 1.9335, 2.9803, 4.0286, 6.1202", \
" 0.7787, 0.7906, 0.8377, 1.0354, 1.9421, 2.9889, 4.0372, 6.1288", \
" 0.7962, 0.8081, 0.8552, 1.0529, 1.9596, 3.0064, 4.0547, 6.1463", \
" 0.8278, 0.8397, 0.8868, 1.0845, 1.9912, 3.0380, 4.0863, 6.1779", \
" 0.8765, 0.8884, 0.9355, 1.1332, 2.0399, 3.0867, 4.1350, 6.2266", \
" 0.9185, 0.9304, 0.9775, 1.1752, 2.0819, 3.1287, 4.1770, 6.2686", \
" 1.0189, 1.0308, 1.0779, 1.2756, 2.1823, 3.2291, 4.2774, 6.3690" \
);
}
cell_fall (lut_timing_175 ){
values(\
" 0.9470, 0.9562, 0.9856, 1.0783, 1.4758, 1.9243, 2.3766, 3.2821", \
" 0.9527, 0.9619, 0.9913, 1.0840, 1.4815, 1.9300, 2.3823, 3.2878", \
" 0.9613, 0.9705, 0.9999, 1.0926, 1.4901, 1.9386, 2.3909, 3.2964", \
" 0.9789, 0.9881, 1.0175, 1.1102, 1.5077, 1.9562, 2.4085, 3.3140", \
" 1.0102, 1.0194, 1.0488, 1.1415, 1.5390, 1.9875, 2.4398, 3.3453", \
" 1.0569, 1.0661, 1.0955, 1.1882, 1.5857, 2.0342, 2.4865, 3.3920", \
" 1.0965, 1.1057, 1.1351, 1.2278, 1.6253, 2.0738, 2.5261, 3.4316", \
" 1.1860, 1.1952, 1.2246, 1.3173, 1.7148, 2.1633, 2.6156, 3.5211" \
);
}
} /* End of rising_edge arc of pin W6BEG[6] wrt pin UserCLK */
/* Start of combinational arc of pin W6BEG[6] wrt pin OPA_I2 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPA_I2 ";
rise_transition (lut_timing_176 ){
values(\
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7449" \
);
}
fall_transition (lut_timing_176 ){
values(\
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762" \
);
}
cell_rise (lut_timing_176 ){
values(\
" 0.3333, 0.3451, 0.3917, 0.5901, 1.4966, 2.5435, 3.5918, 5.6836", \
" 0.3333, 0.3451, 0.3917, 0.5901, 1.4966, 2.5435, 3.5918, 5.6836", \
" 0.3333, 0.3451, 0.3917, 0.5901, 1.4966, 2.5435, 3.5918, 5.6836", \
" 0.3333, 0.3451, 0.3917, 0.5901, 1.4966, 2.5435, 3.5918, 5.6836", \
" 0.3333, 0.3451, 0.3917, 0.5901, 1.4966, 2.5435, 3.5918, 5.6836", \
" 0.3333, 0.3451, 0.3917, 0.5901, 1.4966, 2.5435, 3.5918, 5.6836", \
" 0.3333, 0.3451, 0.3917, 0.5901, 1.4966, 2.5435, 3.5918, 5.6836", \
" 0.3333, 0.3451, 0.3917, 0.5901, 1.4966, 2.5435, 3.5918, 5.6836" \
);
}
cell_fall (lut_timing_176 ){
values(\
" 0.5079, 0.5170, 0.5459, 0.6392, 1.0367, 1.4852, 1.9375, 2.8429", \
" 0.5079, 0.5170, 0.5459, 0.6392, 1.0367, 1.4852, 1.9375, 2.8429", \
" 0.5079, 0.5170, 0.5459, 0.6392, 1.0367, 1.4852, 1.9375, 2.8429", \
" 0.5079, 0.5170, 0.5459, 0.6392, 1.0367, 1.4852, 1.9375, 2.8429", \
" 0.5079, 0.5170, 0.5459, 0.6392, 1.0367, 1.4852, 1.9375, 2.8429", \
" 0.5079, 0.5170, 0.5459, 0.6392, 1.0367, 1.4852, 1.9375, 2.8429", \
" 0.5079, 0.5170, 0.5459, 0.6392, 1.0367, 1.4852, 1.9375, 2.8429", \
" 0.5079, 0.5170, 0.5459, 0.6392, 1.0367, 1.4852, 1.9375, 2.8429" \
);
}
} /* End of combinational arc of pin W6BEG[6] wrt pin OPA_I2 */
/* Start of combinational arc of pin W6BEG[6] wrt pin OPA_I2 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPA_I2 ";
rise_transition (lut_timing_177 ){
values(\
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450", \
" 0.0236, 0.0349, 0.0921, 0.3727, 1.6835, 3.2005, 4.7173, 7.7450" \
);
}
fall_transition (lut_timing_177 ){
values(\
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762", \
" 0.0200, 0.0262, 0.0509, 0.1625, 0.7107, 1.3487, 1.9887, 3.2762" \
);
}
cell_rise (lut_timing_177 ){
values(\
" 0.3339, 0.3458, 0.3929, 0.5906, 1.4973, 2.5441, 3.5924, 5.6840", \
" 0.3339, 0.3458, 0.3929, 0.5906, 1.4973, 2.5441, 3.5924, 5.6840", \
" 0.3339, 0.3458, 0.3929, 0.5906, 1.4973, 2.5441, 3.5924, 5.6840", \
" 0.3339, 0.3458, 0.3929, 0.5906, 1.4973, 2.5441, 3.5924, 5.6840", \
" 0.3339, 0.3458, 0.3929, 0.5906, 1.4973, 2.5441, 3.5924, 5.6840", \
" 0.3339, 0.3458, 0.3929, 0.5906, 1.4973, 2.5441, 3.5924, 5.6840", \
" 0.3339, 0.3458, 0.3929, 0.5906, 1.4973, 2.5441, 3.5924, 5.6840", \
" 0.3339, 0.3458, 0.3929, 0.5906, 1.4973, 2.5441, 3.5924, 5.6840" \
);
}
cell_fall (lut_timing_177 ){
values(\
" 0.5089, 0.5181, 0.5475, 0.6402, 1.0377, 1.4862, 1.9385, 2.8440", \
" 0.5089, 0.5181, 0.5475, 0.6402, 1.0377, 1.4862, 1.9385, 2.8440", \
" 0.5089, 0.5181, 0.5475, 0.6402, 1.0377, 1.4862, 1.9385, 2.8440", \
" 0.5089, 0.5181, 0.5475, 0.6402, 1.0377, 1.4862, 1.9385, 2.8440", \
" 0.5089, 0.5181, 0.5475, 0.6402, 1.0377, 1.4862, 1.9385, 2.8440", \
" 0.5089, 0.5181, 0.5475, 0.6402, 1.0377, 1.4862, 1.9385, 2.8440", \
" 0.5089, 0.5181, 0.5475, 0.6402, 1.0377, 1.4862, 1.9385, 2.8440", \
" 0.5089, 0.5181, 0.5475, 0.6402, 1.0377, 1.4862, 1.9385, 2.8440" \
);
}
} /* End of combinational arc of pin W6BEG[6] wrt pin OPA_I2 */
} /* End of pin W6BEG[6] */
/* Start of pin W6BEG[5] */
pin (W6BEG[5] ) {
direction : output ;
capacitance : 0.0011;
max_transition : 7.6522;
max_capacitance : 5.0000;
function : "0" ;
} /* End of pin W6BEG[5] */
/* Start of pin W6BEG[4] */
pin (W6BEG[4] ) {
direction : output ;
capacitance : 0.0012;
max_transition : 7.6522;
max_capacitance : 5.0000;
function : "0" ;
} /* End of pin W6BEG[4] */
/* Start of pin W6BEG[3] */
pin (W6BEG[3] ) {
direction : output ;
capacitance : 0.0045;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W6BEG[3] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_178 ){
values(\
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626" \
);
}
fall_transition (lut_timing_178 ){
values(\
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929" \
);
}
cell_rise (lut_timing_178 ){
values(\
" 0.8415, 0.8505, 0.8970, 1.0954, 2.0017, 3.0484, 4.0974, 6.1927", \
" 0.8470, 0.8560, 0.9025, 1.1009, 2.0072, 3.0539, 4.1029, 6.1982", \
" 0.8568, 0.8658, 0.9123, 1.1107, 2.0170, 3.0637, 4.1127, 6.2080", \
" 0.8731, 0.8821, 0.9286, 1.1270, 2.0333, 3.0800, 4.1290, 6.2243", \
" 0.9047, 0.9137, 0.9602, 1.1586, 2.0649, 3.1116, 4.1606, 6.2559", \
" 0.9534, 0.9624, 1.0089, 1.2073, 2.1136, 3.1603, 4.2093, 6.3046", \
" 1.0189, 1.0279, 1.0744, 1.2728, 2.1791, 3.2258, 4.2748, 6.3701", \
" 1.0958, 1.1048, 1.1513, 1.3497, 2.2560, 3.3027, 4.3517, 6.4470" \
);
}
cell_fall (lut_timing_178 ){
values(\
" 1.0489, 1.0558, 1.0848, 1.1785, 1.5770, 2.0254, 2.4778, 3.3833", \
" 1.0546, 1.0615, 1.0905, 1.1842, 1.5827, 2.0311, 2.4835, 3.3890", \
" 1.0643, 1.0712, 1.1002, 1.1939, 1.5924, 2.0408, 2.4932, 3.3987", \
" 1.0808, 1.0877, 1.1167, 1.2104, 1.6089, 2.0573, 2.5097, 3.4152", \
" 1.1121, 1.1190, 1.1480, 1.2417, 1.6402, 2.0886, 2.5410, 3.4465", \
" 1.1588, 1.1657, 1.1947, 1.2884, 1.6869, 2.1353, 2.5877, 3.4932", \
" 1.2201, 1.2270, 1.2560, 1.3497, 1.7482, 2.1966, 2.6490, 3.5545", \
" 1.2878, 1.2947, 1.3237, 1.4174, 1.8159, 2.2643, 2.7167, 3.6222" \
);
}
} /* End of rising_edge arc of pin W6BEG[3] wrt pin UserCLK */
/* Start of rising_edge arc of pin W6BEG[3] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_179 ){
values(\
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626" \
);
}
fall_transition (lut_timing_179 ){
values(\
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930" \
);
}
cell_rise (lut_timing_179 ){
values(\
" 0.8421, 0.8512, 0.8982, 1.0960, 2.0022, 3.0490, 4.0980, 6.1931", \
" 0.8476, 0.8567, 0.9037, 1.1015, 2.0077, 3.0545, 4.1035, 6.1986", \
" 0.8563, 0.8654, 0.9124, 1.1102, 2.0164, 3.0632, 4.1122, 6.2073", \
" 0.8737, 0.8828, 0.9298, 1.1276, 2.0338, 3.0806, 4.1296, 6.2247", \
" 0.9053, 0.9144, 0.9614, 1.1592, 2.0654, 3.1122, 4.1612, 6.2563", \
" 0.9540, 0.9631, 1.0101, 1.2079, 2.1141, 3.1609, 4.2099, 6.3050", \
" 0.9960, 1.0051, 1.0521, 1.2499, 2.1561, 3.2029, 4.2519, 6.3470", \
" 1.0965, 1.1056, 1.1526, 1.3504, 2.2566, 3.3034, 4.3524, 6.4475" \
);
}
cell_fall (lut_timing_179 ){
values(\
" 1.0498, 1.0568, 1.0864, 1.1793, 1.5779, 2.0262, 2.4787, 3.3842", \
" 1.0555, 1.0625, 1.0921, 1.1850, 1.5836, 2.0319, 2.4844, 3.3899", \
" 1.0642, 1.0712, 1.1008, 1.1937, 1.5923, 2.0406, 2.4931, 3.3986", \
" 1.0817, 1.0887, 1.1183, 1.2112, 1.6098, 2.0581, 2.5106, 3.4161", \
" 1.1129, 1.1199, 1.1495, 1.2424, 1.6410, 2.0893, 2.5418, 3.4473", \
" 1.1597, 1.1667, 1.1963, 1.2892, 1.6878, 2.1361, 2.5886, 3.4941", \
" 1.1992, 1.2062, 1.2358, 1.3287, 1.7273, 2.1756, 2.6281, 3.5336", \
" 1.2888, 1.2958, 1.3254, 1.4183, 1.8169, 2.2652, 2.7177, 3.6232" \
);
}
} /* End of rising_edge arc of pin W6BEG[3] wrt pin UserCLK */
/* Start of combinational arc of pin W6BEG[3] wrt pin OPB_I1 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPB_I1 ";
rise_transition (lut_timing_180 ){
values(\
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0344, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626" \
);
}
fall_transition (lut_timing_180 ){
values(\
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7139, 1.3558, 1.9993, 3.2929" \
);
}
cell_rise (lut_timing_180 ){
values(\
" 0.3172, 0.3262, 0.3727, 0.5711, 1.4774, 2.5241, 3.5731, 5.6684", \
" 0.3172, 0.3262, 0.3727, 0.5711, 1.4774, 2.5241, 3.5731, 5.6684", \
" 0.3172, 0.3262, 0.3727, 0.5711, 1.4774, 2.5241, 3.5731, 5.6684", \
" 0.3172, 0.3262, 0.3727, 0.5711, 1.4774, 2.5241, 3.5731, 5.6684", \
" 0.3172, 0.3262, 0.3727, 0.5711, 1.4774, 2.5241, 3.5731, 5.6684", \
" 0.3172, 0.3262, 0.3727, 0.5711, 1.4774, 2.5241, 3.5731, 5.6684", \
" 0.3172, 0.3262, 0.3727, 0.5711, 1.4774, 2.5241, 3.5731, 5.6684", \
" 0.3172, 0.3262, 0.3727, 0.5711, 1.4774, 2.5241, 3.5731, 5.6684" \
);
}
cell_fall (lut_timing_180 ){
values(\
" 0.4946, 0.5015, 0.5305, 0.6242, 1.0227, 1.4711, 1.9235, 2.8290", \
" 0.4946, 0.5015, 0.5305, 0.6242, 1.0227, 1.4711, 1.9235, 2.8290", \
" 0.4946, 0.5015, 0.5305, 0.6242, 1.0227, 1.4711, 1.9235, 2.8290", \
" 0.4946, 0.5015, 0.5305, 0.6242, 1.0227, 1.4711, 1.9235, 2.8290", \
" 0.4946, 0.5015, 0.5305, 0.6242, 1.0227, 1.4711, 1.9235, 2.8290", \
" 0.4946, 0.5015, 0.5305, 0.6242, 1.0227, 1.4711, 1.9235, 2.8290", \
" 0.4946, 0.5015, 0.5305, 0.6242, 1.0227, 1.4711, 1.9235, 2.8290", \
" 0.4946, 0.5015, 0.5305, 0.6242, 1.0227, 1.4711, 1.9235, 2.8290" \
);
}
} /* End of combinational arc of pin W6BEG[3] wrt pin OPB_I1 */
/* Start of combinational arc of pin W6BEG[3] wrt pin OPB_I1 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPB_I1 ";
rise_transition (lut_timing_181 ){
values(\
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626", \
" 0.0255, 0.0345, 0.0919, 0.3737, 1.6870, 3.2076, 4.7278, 7.7626" \
);
}
fall_transition (lut_timing_181 ){
values(\
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930", \
" 0.0213, 0.0261, 0.0509, 0.1630, 0.7140, 1.3558, 1.9993, 3.2930" \
);
}
cell_rise (lut_timing_181 ){
values(\
" 0.3178, 0.3269, 0.3739, 0.5717, 1.4779, 2.5247, 3.5737, 5.6688", \
" 0.3178, 0.3269, 0.3739, 0.5717, 1.4779, 2.5247, 3.5737, 5.6688", \
" 0.3178, 0.3269, 0.3739, 0.5717, 1.4779, 2.5247, 3.5737, 5.6688", \
" 0.3178, 0.3269, 0.3739, 0.5717, 1.4779, 2.5247, 3.5737, 5.6688", \
" 0.3178, 0.3269, 0.3739, 0.5717, 1.4779, 2.5247, 3.5737, 5.6688", \
" 0.3178, 0.3269, 0.3739, 0.5717, 1.4779, 2.5247, 3.5737, 5.6688", \
" 0.3178, 0.3269, 0.3739, 0.5717, 1.4779, 2.5247, 3.5737, 5.6688", \
" 0.3178, 0.3269, 0.3739, 0.5717, 1.4779, 2.5247, 3.5737, 5.6688" \
);
}
cell_fall (lut_timing_181 ){
values(\
" 0.4955, 0.5025, 0.5321, 0.6250, 1.0236, 1.4719, 1.9244, 2.8299", \
" 0.4955, 0.5025, 0.5321, 0.6250, 1.0236, 1.4719, 1.9244, 2.8299", \
" 0.4955, 0.5025, 0.5321, 0.6250, 1.0236, 1.4719, 1.9244, 2.8299", \
" 0.4955, 0.5025, 0.5321, 0.6250, 1.0236, 1.4719, 1.9244, 2.8299", \
" 0.4955, 0.5025, 0.5321, 0.6250, 1.0236, 1.4719, 1.9244, 2.8299", \
" 0.4955, 0.5025, 0.5321, 0.6250, 1.0236, 1.4719, 1.9244, 2.8299", \
" 0.4955, 0.5025, 0.5321, 0.6250, 1.0236, 1.4719, 1.9244, 2.8299", \
" 0.4955, 0.5025, 0.5321, 0.6250, 1.0236, 1.4719, 1.9244, 2.8299" \
);
}
} /* End of combinational arc of pin W6BEG[3] wrt pin OPB_I1 */
} /* End of pin W6BEG[3] */
/* Start of pin W6BEG[2] */
pin (W6BEG[2] ) {
direction : output ;
capacitance : 0.0068;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W6BEG[2] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_182 ){
values(\
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974" \
);
}
fall_transition (lut_timing_182 ){
values(\
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265" \
);
}
cell_rise (lut_timing_182 ){
values(\
" 0.8252, 0.8308, 0.8774, 1.0765, 1.9837, 3.0303, 4.0793, 6.1739", \
" 0.8308, 0.8364, 0.8830, 1.0821, 1.9893, 3.0359, 4.0849, 6.1795", \
" 0.8406, 0.8462, 0.8928, 1.0919, 1.9991, 3.0457, 4.0947, 6.1893", \
" 0.8569, 0.8625, 0.9091, 1.1082, 2.0154, 3.0620, 4.1110, 6.2056", \
" 0.8884, 0.8940, 0.9406, 1.1397, 2.0469, 3.0935, 4.1425, 6.2371", \
" 0.9371, 0.9427, 0.9893, 1.1884, 2.0956, 3.1422, 4.1912, 6.2858", \
" 1.0027, 1.0083, 1.0549, 1.2540, 2.1612, 3.2078, 4.2568, 6.3514", \
" 1.0796, 1.0852, 1.1318, 1.3309, 2.2381, 3.2847, 4.3337, 6.4283" \
);
}
cell_fall (lut_timing_182 ){
values(\
" 1.0384, 1.0426, 1.0712, 1.1660, 1.5663, 2.0148, 2.4672, 3.3737", \
" 1.0441, 1.0483, 1.0769, 1.1717, 1.5720, 2.0205, 2.4729, 3.3794", \
" 1.0539, 1.0581, 1.0867, 1.1815, 1.5818, 2.0303, 2.4827, 3.3892", \
" 1.0703, 1.0745, 1.1031, 1.1979, 1.5982, 2.0467, 2.4991, 3.4056", \
" 1.1016, 1.1058, 1.1344, 1.2292, 1.6295, 2.0780, 2.5304, 3.4369", \
" 1.1483, 1.1525, 1.1811, 1.2759, 1.6762, 2.1247, 2.5771, 3.4836", \
" 1.2096, 1.2138, 1.2424, 1.3372, 1.7375, 2.1860, 2.6384, 3.5449", \
" 1.2773, 1.2815, 1.3101, 1.4049, 1.8052, 2.2537, 2.7061, 3.6126" \
);
}
} /* End of rising_edge arc of pin W6BEG[2] wrt pin UserCLK */
/* Start of rising_edge arc of pin W6BEG[2] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_183 ){
values(\
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974" \
);
}
fall_transition (lut_timing_183 ){
values(\
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265" \
);
}
cell_rise (lut_timing_183 ){
values(\
" 0.8259, 0.8315, 0.8779, 1.0770, 1.9842, 3.0309, 4.0798, 6.1743", \
" 0.8314, 0.8370, 0.8834, 1.0825, 1.9897, 3.0364, 4.0853, 6.1798", \
" 0.8401, 0.8457, 0.8921, 1.0912, 1.9984, 3.0451, 4.0940, 6.1885", \
" 0.8575, 0.8631, 0.9095, 1.1086, 2.0158, 3.0625, 4.1114, 6.2059", \
" 0.8890, 0.8946, 0.9410, 1.1401, 2.0473, 3.0940, 4.1429, 6.2374", \
" 0.9378, 0.9434, 0.9898, 1.1889, 2.0961, 3.1428, 4.1917, 6.2862", \
" 0.9798, 0.9854, 1.0318, 1.2309, 2.1381, 3.1848, 4.2337, 6.3282", \
" 1.0803, 1.0859, 1.1323, 1.3314, 2.2386, 3.2853, 4.3342, 6.4287" \
);
}
cell_fall (lut_timing_183 ){
values(\
" 1.0394, 1.0437, 1.0721, 1.1669, 1.5672, 2.0156, 2.4680, 3.3746", \
" 1.0451, 1.0494, 1.0778, 1.1726, 1.5729, 2.0213, 2.4737, 3.3803", \
" 1.0537, 1.0580, 1.0864, 1.1812, 1.5815, 2.0299, 2.4823, 3.3889", \
" 1.0713, 1.0756, 1.1040, 1.1988, 1.5991, 2.0475, 2.4999, 3.4065", \
" 1.1026, 1.1069, 1.1353, 1.2301, 1.6304, 2.0788, 2.5312, 3.4378", \
" 1.1494, 1.1537, 1.1821, 1.2769, 1.6772, 2.1256, 2.5780, 3.4846", \
" 1.1889, 1.1932, 1.2216, 1.3164, 1.7167, 2.1651, 2.6175, 3.5241", \
" 1.2784, 1.2827, 1.3111, 1.4059, 1.8062, 2.2546, 2.7070, 3.6136" \
);
}
} /* End of rising_edge arc of pin W6BEG[2] wrt pin UserCLK */
/* Start of combinational arc of pin W6BEG[2] wrt pin OPB_I0 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPB_I0 ";
rise_transition (lut_timing_184 ){
values(\
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0345, 0.0923, 0.3749, 1.6940, 3.2219, 4.7490, 7.7974" \
);
}
fall_transition (lut_timing_184 ){
values(\
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265" \
);
}
cell_rise (lut_timing_184 ){
values(\
" 0.3255, 0.3311, 0.3777, 0.5768, 1.4840, 2.5306, 3.5796, 5.6742", \
" 0.3255, 0.3311, 0.3777, 0.5768, 1.4840, 2.5306, 3.5796, 5.6742", \
" 0.3255, 0.3311, 0.3777, 0.5768, 1.4840, 2.5306, 3.5796, 5.6742", \
" 0.3255, 0.3311, 0.3777, 0.5768, 1.4840, 2.5306, 3.5796, 5.6742", \
" 0.3255, 0.3311, 0.3777, 0.5768, 1.4840, 2.5306, 3.5796, 5.6742", \
" 0.3255, 0.3311, 0.3777, 0.5768, 1.4840, 2.5306, 3.5796, 5.6742", \
" 0.3255, 0.3311, 0.3777, 0.5768, 1.4840, 2.5306, 3.5796, 5.6742", \
" 0.3255, 0.3311, 0.3777, 0.5768, 1.4840, 2.5306, 3.5796, 5.6742" \
);
}
cell_fall (lut_timing_184 ){
values(\
" 0.5013, 0.5055, 0.5341, 0.6289, 1.0292, 1.4777, 1.9301, 2.8366", \
" 0.5013, 0.5055, 0.5341, 0.6289, 1.0292, 1.4777, 1.9301, 2.8366", \
" 0.5013, 0.5055, 0.5341, 0.6289, 1.0292, 1.4777, 1.9301, 2.8366", \
" 0.5013, 0.5055, 0.5341, 0.6289, 1.0292, 1.4777, 1.9301, 2.8366", \
" 0.5013, 0.5055, 0.5341, 0.6289, 1.0292, 1.4777, 1.9301, 2.8366", \
" 0.5013, 0.5055, 0.5341, 0.6289, 1.0292, 1.4777, 1.9301, 2.8366", \
" 0.5013, 0.5055, 0.5341, 0.6289, 1.0292, 1.4777, 1.9301, 2.8366", \
" 0.5013, 0.5055, 0.5341, 0.6289, 1.0292, 1.4777, 1.9301, 2.8366" \
);
}
} /* End of combinational arc of pin W6BEG[2] wrt pin OPB_I0 */
/* Start of combinational arc of pin W6BEG[2] wrt pin OPB_I0 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPB_I0 ";
rise_transition (lut_timing_185 ){
values(\
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974", \
" 0.0289, 0.0346, 0.0923, 0.3748, 1.6940, 3.2219, 4.7490, 7.7974" \
);
}
fall_transition (lut_timing_185 ){
values(\
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265", \
" 0.0231, 0.0262, 0.0514, 0.1641, 0.7200, 1.3694, 2.0202, 3.3265" \
);
}
cell_rise (lut_timing_185 ){
values(\
" 0.3262, 0.3318, 0.3782, 0.5773, 1.4845, 2.5312, 3.5801, 5.6746", \
" 0.3262, 0.3318, 0.3782, 0.5773, 1.4845, 2.5312, 3.5801, 5.6746", \
" 0.3262, 0.3318, 0.3782, 0.5773, 1.4845, 2.5312, 3.5801, 5.6746", \
" 0.3262, 0.3318, 0.3782, 0.5773, 1.4845, 2.5312, 3.5801, 5.6746", \
" 0.3262, 0.3318, 0.3782, 0.5773, 1.4845, 2.5312, 3.5801, 5.6746", \
" 0.3262, 0.3318, 0.3782, 0.5773, 1.4845, 2.5312, 3.5801, 5.6746", \
" 0.3262, 0.3318, 0.3782, 0.5773, 1.4845, 2.5312, 3.5801, 5.6746", \
" 0.3262, 0.3318, 0.3782, 0.5773, 1.4845, 2.5312, 3.5801, 5.6746" \
);
}
cell_fall (lut_timing_185 ){
values(\
" 0.5024, 0.5067, 0.5351, 0.6299, 1.0302, 1.4786, 1.9310, 2.8376", \
" 0.5024, 0.5067, 0.5351, 0.6299, 1.0302, 1.4786, 1.9310, 2.8376", \
" 0.5024, 0.5067, 0.5351, 0.6299, 1.0302, 1.4786, 1.9310, 2.8376", \
" 0.5024, 0.5067, 0.5351, 0.6299, 1.0302, 1.4786, 1.9310, 2.8376", \
" 0.5024, 0.5067, 0.5351, 0.6299, 1.0302, 1.4786, 1.9310, 2.8376", \
" 0.5024, 0.5067, 0.5351, 0.6299, 1.0302, 1.4786, 1.9310, 2.8376", \
" 0.5024, 0.5067, 0.5351, 0.6299, 1.0302, 1.4786, 1.9310, 2.8376", \
" 0.5024, 0.5067, 0.5351, 0.6299, 1.0302, 1.4786, 1.9310, 2.8376" \
);
}
} /* End of combinational arc of pin W6BEG[2] wrt pin OPB_I0 */
} /* End of pin W6BEG[2] */
/* Start of pin W6BEG[1] */
pin (W6BEG[1] ) {
direction : output ;
capacitance : 0.0038;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W6BEG[1] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_186 ){
values(\
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631" \
);
}
fall_transition (lut_timing_186 ){
values(\
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935", \
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935", \
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935", \
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935", \
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935", \
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935", \
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935", \
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935" \
);
}
cell_rise (lut_timing_186 ){
values(\
" 0.7427, 0.7528, 0.7995, 0.9982, 1.9055, 2.9522, 4.0003, 6.0914", \
" 0.7482, 0.7583, 0.8050, 1.0037, 1.9110, 2.9577, 4.0058, 6.0969", \
" 0.7581, 0.7682, 0.8149, 1.0136, 1.9209, 2.9676, 4.0157, 6.1068", \
" 0.7743, 0.7844, 0.8311, 1.0298, 1.9371, 2.9838, 4.0319, 6.1230", \
" 0.8059, 0.8160, 0.8627, 1.0614, 1.9687, 3.0154, 4.0635, 6.1546", \
" 0.8546, 0.8647, 0.9114, 1.1101, 2.0174, 3.0641, 4.1122, 6.2033", \
" 0.9201, 0.9302, 0.9769, 1.1756, 2.0829, 3.1296, 4.1777, 6.2688", \
" 0.9970, 1.0071, 1.0538, 1.2525, 2.1598, 3.2065, 4.2546, 6.3457" \
);
}
cell_fall (lut_timing_186 ){
values(\
" 0.9092, 0.9169, 0.9460, 1.0396, 1.4382, 1.8866, 2.3391, 3.2450", \
" 0.9150, 0.9227, 0.9518, 1.0454, 1.4440, 1.8924, 2.3449, 3.2508", \
" 0.9247, 0.9324, 0.9615, 1.0551, 1.4537, 1.9021, 2.3546, 3.2605", \
" 0.9412, 0.9489, 0.9780, 1.0716, 1.4702, 1.9186, 2.3711, 3.2770", \
" 0.9725, 0.9802, 1.0093, 1.1029, 1.5015, 1.9499, 2.4024, 3.3083", \
" 1.0192, 1.0269, 1.0560, 1.1496, 1.5482, 1.9966, 2.4491, 3.3550", \
" 1.0805, 1.0882, 1.1173, 1.2109, 1.6095, 2.0579, 2.5104, 3.4163", \
" 1.1483, 1.1560, 1.1851, 1.2787, 1.6773, 2.1257, 2.5782, 3.4841" \
);
}
} /* End of rising_edge arc of pin W6BEG[1] wrt pin UserCLK */
/* Start of rising_edge arc of pin W6BEG[1] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_187 ){
values(\
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631" \
);
}
fall_transition (lut_timing_187 ){
values(\
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936", \
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936", \
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936", \
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936", \
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936", \
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936", \
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936", \
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936" \
);
}
cell_rise (lut_timing_187 ){
values(\
" 0.7434, 0.7537, 0.8009, 0.9989, 1.9062, 2.9529, 4.0010, 6.0919", \
" 0.7489, 0.7592, 0.8064, 1.0044, 1.9117, 2.9584, 4.0065, 6.0974", \
" 0.7576, 0.7679, 0.8151, 1.0131, 1.9204, 2.9671, 4.0152, 6.1061", \
" 0.7750, 0.7853, 0.8325, 1.0305, 1.9378, 2.9845, 4.0326, 6.1235", \
" 0.8065, 0.8168, 0.8640, 1.0620, 1.9693, 3.0160, 4.0641, 6.1550", \
" 0.8553, 0.8656, 0.9128, 1.1108, 2.0181, 3.0648, 4.1129, 6.2038", \
" 0.8973, 0.9076, 0.9548, 1.1528, 2.0601, 3.1068, 4.1549, 6.2458", \
" 0.9978, 1.0081, 1.0553, 1.2533, 2.1606, 3.2073, 4.2554, 6.3463" \
);
}
cell_fall (lut_timing_187 ){
values(\
" 0.9104, 0.9183, 0.9479, 1.0408, 1.4394, 1.8878, 2.3404, 3.2463", \
" 0.9160, 0.9239, 0.9535, 1.0464, 1.4450, 1.8934, 2.3460, 3.2519", \
" 0.9247, 0.9326, 0.9622, 1.0551, 1.4537, 1.9021, 2.3547, 3.2606", \
" 0.9422, 0.9501, 0.9797, 1.0726, 1.4712, 1.9196, 2.3722, 3.2781", \
" 0.9735, 0.9814, 1.0110, 1.1039, 1.5025, 1.9509, 2.4035, 3.3094", \
" 1.0203, 1.0282, 1.0578, 1.1507, 1.5493, 1.9977, 2.4503, 3.3562", \
" 1.0598, 1.0677, 1.0973, 1.1902, 1.5888, 2.0372, 2.4898, 3.3957", \
" 1.1493, 1.1572, 1.1868, 1.2797, 1.6783, 2.1267, 2.5793, 3.4852" \
);
}
} /* End of rising_edge arc of pin W6BEG[1] wrt pin UserCLK */
/* Start of combinational arc of pin W6BEG[1] wrt pin OPA_I1 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPA_I1 ";
rise_transition (lut_timing_188 ){
values(\
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631" \
);
}
fall_transition (lut_timing_188 ){
values(\
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935", \
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935", \
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935", \
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935", \
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935", \
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935", \
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935", \
" 0.0209, 0.0262, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2935" \
);
}
cell_rise (lut_timing_188 ){
values(\
" 0.3410, 0.3511, 0.3978, 0.5965, 1.5038, 2.5505, 3.5986, 5.6897", \
" 0.3410, 0.3511, 0.3978, 0.5965, 1.5038, 2.5505, 3.5986, 5.6897", \
" 0.3410, 0.3511, 0.3978, 0.5965, 1.5038, 2.5505, 3.5986, 5.6897", \
" 0.3410, 0.3511, 0.3978, 0.5965, 1.5038, 2.5505, 3.5986, 5.6897", \
" 0.3410, 0.3511, 0.3978, 0.5965, 1.5038, 2.5505, 3.5986, 5.6897", \
" 0.3410, 0.3511, 0.3978, 0.5965, 1.5038, 2.5505, 3.5986, 5.6897", \
" 0.3410, 0.3511, 0.3978, 0.5965, 1.5038, 2.5505, 3.5986, 5.6897", \
" 0.3410, 0.3511, 0.3978, 0.5965, 1.5038, 2.5505, 3.5986, 5.6897" \
);
}
cell_fall (lut_timing_188 ){
values(\
" 0.5140, 0.5217, 0.5508, 0.6444, 1.0430, 1.4914, 1.9439, 2.8498", \
" 0.5140, 0.5217, 0.5508, 0.6444, 1.0430, 1.4914, 1.9439, 2.8498", \
" 0.5140, 0.5217, 0.5508, 0.6444, 1.0430, 1.4914, 1.9439, 2.8498", \
" 0.5140, 0.5217, 0.5508, 0.6444, 1.0430, 1.4914, 1.9439, 2.8498", \
" 0.5140, 0.5217, 0.5508, 0.6444, 1.0430, 1.4914, 1.9439, 2.8498", \
" 0.5140, 0.5217, 0.5508, 0.6444, 1.0430, 1.4914, 1.9439, 2.8498", \
" 0.5140, 0.5217, 0.5508, 0.6444, 1.0430, 1.4914, 1.9439, 2.8498", \
" 0.5140, 0.5217, 0.5508, 0.6444, 1.0430, 1.4914, 1.9439, 2.8498" \
);
}
} /* End of combinational arc of pin W6BEG[1] wrt pin OPA_I1 */
/* Start of combinational arc of pin W6BEG[1] wrt pin OPA_I1 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPA_I1 ";
rise_transition (lut_timing_189 ){
values(\
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631", \
" 0.0253, 0.0351, 0.0921, 0.3735, 1.6871, 3.2078, 4.7284, 7.7631" \
);
}
fall_transition (lut_timing_189 ){
values(\
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936", \
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936", \
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936", \
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936", \
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936", \
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936", \
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936", \
" 0.0209, 0.0263, 0.0509, 0.1630, 0.7139, 1.3557, 1.9994, 3.2936" \
);
}
cell_rise (lut_timing_189 ){
values(\
" 0.3417, 0.3520, 0.3992, 0.5972, 1.5045, 2.5512, 3.5993, 5.6902", \
" 0.3417, 0.3520, 0.3992, 0.5972, 1.5045, 2.5512, 3.5993, 5.6902", \
" 0.3417, 0.3520, 0.3992, 0.5972, 1.5045, 2.5512, 3.5993, 5.6902", \
" 0.3417, 0.3520, 0.3992, 0.5972, 1.5045, 2.5512, 3.5993, 5.6902", \
" 0.3417, 0.3520, 0.3992, 0.5972, 1.5045, 2.5512, 3.5993, 5.6902", \
" 0.3417, 0.3520, 0.3992, 0.5972, 1.5045, 2.5512, 3.5993, 5.6902", \
" 0.3417, 0.3520, 0.3992, 0.5972, 1.5045, 2.5512, 3.5993, 5.6902", \
" 0.3417, 0.3520, 0.3992, 0.5972, 1.5045, 2.5512, 3.5993, 5.6902" \
);
}
cell_fall (lut_timing_189 ){
values(\
" 0.5151, 0.5230, 0.5526, 0.6455, 1.0441, 1.4925, 1.9451, 2.8510", \
" 0.5151, 0.5230, 0.5526, 0.6455, 1.0441, 1.4925, 1.9451, 2.8510", \
" 0.5151, 0.5230, 0.5526, 0.6455, 1.0441, 1.4925, 1.9451, 2.8510", \
" 0.5151, 0.5230, 0.5526, 0.6455, 1.0441, 1.4925, 1.9451, 2.8510", \
" 0.5151, 0.5230, 0.5526, 0.6455, 1.0441, 1.4925, 1.9451, 2.8510", \
" 0.5151, 0.5230, 0.5526, 0.6455, 1.0441, 1.4925, 1.9451, 2.8510", \
" 0.5151, 0.5230, 0.5526, 0.6455, 1.0441, 1.4925, 1.9451, 2.8510", \
" 0.5151, 0.5230, 0.5526, 0.6455, 1.0441, 1.4925, 1.9451, 2.8510" \
);
}
} /* End of combinational arc of pin W6BEG[1] wrt pin OPA_I1 */
} /* End of pin W6BEG[1] */
/* Start of pin W6BEG[0] */
pin (W6BEG[0] ) {
direction : output ;
capacitance : 0.0044;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W6BEG[0] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_190 ){
values(\
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762" \
);
}
fall_transition (lut_timing_190 ){
values(\
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059" \
);
}
cell_rise (lut_timing_190 ){
values(\
" 0.7647, 0.7740, 0.8207, 1.0197, 1.9274, 2.9741, 4.0221, 6.1128", \
" 0.7703, 0.7796, 0.8263, 1.0253, 1.9330, 2.9797, 4.0277, 6.1184", \
" 0.7801, 0.7894, 0.8361, 1.0351, 1.9428, 2.9895, 4.0375, 6.1282", \
" 0.7963, 0.8056, 0.8523, 1.0513, 1.9590, 3.0057, 4.0537, 6.1444", \
" 0.8279, 0.8372, 0.8839, 1.0829, 1.9906, 3.0373, 4.0853, 6.1760", \
" 0.8766, 0.8859, 0.9326, 1.1316, 2.0393, 3.0860, 4.1340, 6.2247", \
" 0.9422, 0.9515, 0.9982, 1.1972, 2.1049, 3.1516, 4.1996, 6.2903", \
" 1.0191, 1.0284, 1.0751, 1.2741, 2.1818, 3.2285, 4.2765, 6.3672" \
);
}
cell_fall (lut_timing_190 ){
values(\
" 0.9475, 0.9545, 0.9830, 1.0775, 1.4768, 1.9251, 2.3777, 3.2840", \
" 0.9532, 0.9602, 0.9887, 1.0832, 1.4825, 1.9308, 2.3834, 3.2897", \
" 0.9630, 0.9700, 0.9985, 1.0930, 1.4923, 1.9406, 2.3932, 3.2995", \
" 0.9794, 0.9864, 1.0149, 1.1094, 1.5087, 1.9570, 2.4096, 3.3159", \
" 1.0107, 1.0177, 1.0462, 1.1407, 1.5400, 1.9883, 2.4409, 3.3472", \
" 1.0575, 1.0645, 1.0930, 1.1875, 1.5868, 2.0351, 2.4877, 3.3940", \
" 1.1187, 1.1257, 1.1542, 1.2487, 1.6480, 2.0963, 2.5489, 3.4552", \
" 1.1866, 1.1936, 1.2221, 1.3166, 1.7159, 2.1642, 2.6168, 3.5231" \
);
}
} /* End of rising_edge arc of pin W6BEG[0] wrt pin UserCLK */
/* Start of rising_edge arc of pin W6BEG[0] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_191 ){
values(\
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762" \
);
}
fall_transition (lut_timing_191 ){
values(\
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060" \
);
}
cell_rise (lut_timing_191 ){
values(\
" 0.7655, 0.7749, 0.8215, 1.0205, 1.9282, 2.9749, 4.0229, 6.1135", \
" 0.7711, 0.7805, 0.8271, 1.0261, 1.9338, 2.9805, 4.0285, 6.1191", \
" 0.7797, 0.7891, 0.8357, 1.0347, 1.9424, 2.9891, 4.0371, 6.1277", \
" 0.7972, 0.8066, 0.8532, 1.0522, 1.9599, 3.0066, 4.0546, 6.1452", \
" 0.8287, 0.8381, 0.8847, 1.0837, 1.9914, 3.0381, 4.0861, 6.1767", \
" 0.8774, 0.8868, 0.9334, 1.1324, 2.0401, 3.0868, 4.1348, 6.2254", \
" 0.9195, 0.9289, 0.9755, 1.1745, 2.0822, 3.1289, 4.1769, 6.2675", \
" 1.0198, 1.0292, 1.0758, 1.2748, 2.1825, 3.2292, 4.2772, 6.3678" \
);
}
cell_fall (lut_timing_191 ){
values(\
" 0.9487, 0.9559, 0.9842, 1.0787, 1.4780, 1.9263, 2.3790, 3.2853", \
" 0.9544, 0.9616, 0.9899, 1.0844, 1.4837, 1.9320, 2.3847, 3.2910", \
" 0.9631, 0.9703, 0.9986, 1.0931, 1.4924, 1.9407, 2.3934, 3.2997", \
" 0.9806, 0.9878, 1.0161, 1.1106, 1.5099, 1.9582, 2.4109, 3.3172", \
" 1.0119, 1.0191, 1.0474, 1.1419, 1.5412, 1.9895, 2.4422, 3.3485", \
" 1.0586, 1.0658, 1.0941, 1.1886, 1.5879, 2.0362, 2.4889, 3.3952", \
" 1.0982, 1.1054, 1.1337, 1.2282, 1.6275, 2.0758, 2.5285, 3.4348", \
" 1.1877, 1.1949, 1.2232, 1.3177, 1.7170, 2.1653, 2.6180, 3.5243" \
);
}
} /* End of rising_edge arc of pin W6BEG[0] wrt pin UserCLK */
/* Start of combinational arc of pin W6BEG[0] wrt pin OPA_I0 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPA_I0 ";
rise_transition (lut_timing_192 ){
values(\
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762" \
);
}
fall_transition (lut_timing_192 ){
values(\
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3059" \
);
}
cell_rise (lut_timing_192 ){
values(\
" 0.3450, 0.3543, 0.4010, 0.6000, 1.5077, 2.5544, 3.6024, 5.6931", \
" 0.3450, 0.3543, 0.4010, 0.6000, 1.5077, 2.5544, 3.6024, 5.6931", \
" 0.3450, 0.3543, 0.4010, 0.6000, 1.5077, 2.5544, 3.6024, 5.6931", \
" 0.3450, 0.3543, 0.4010, 0.6000, 1.5077, 2.5544, 3.6024, 5.6931", \
" 0.3450, 0.3543, 0.4010, 0.6000, 1.5077, 2.5544, 3.6024, 5.6931", \
" 0.3450, 0.3543, 0.4010, 0.6000, 1.5077, 2.5544, 3.6024, 5.6931", \
" 0.3450, 0.3543, 0.4010, 0.6000, 1.5077, 2.5544, 3.6024, 5.6931", \
" 0.3450, 0.3543, 0.4010, 0.6000, 1.5077, 2.5544, 3.6024, 5.6931" \
);
}
cell_fall (lut_timing_192 ){
values(\
" 0.5172, 0.5242, 0.5527, 0.6472, 1.0465, 1.4948, 1.9474, 2.8537", \
" 0.5172, 0.5242, 0.5527, 0.6472, 1.0465, 1.4948, 1.9474, 2.8537", \
" 0.5172, 0.5242, 0.5527, 0.6472, 1.0465, 1.4948, 1.9474, 2.8537", \
" 0.5172, 0.5242, 0.5527, 0.6472, 1.0465, 1.4948, 1.9474, 2.8537", \
" 0.5172, 0.5242, 0.5527, 0.6472, 1.0465, 1.4948, 1.9474, 2.8537", \
" 0.5172, 0.5242, 0.5527, 0.6472, 1.0465, 1.4948, 1.9474, 2.8537", \
" 0.5172, 0.5242, 0.5527, 0.6472, 1.0465, 1.4948, 1.9474, 2.8537", \
" 0.5172, 0.5242, 0.5527, 0.6472, 1.0465, 1.4948, 1.9474, 2.8537" \
);
}
} /* End of combinational arc of pin W6BEG[0] wrt pin OPA_I0 */
/* Start of combinational arc of pin W6BEG[0] wrt pin OPA_I0 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPA_I0 ";
rise_transition (lut_timing_193 ){
values(\
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762", \
" 0.0263, 0.0352, 0.0925, 0.3740, 1.6897, 3.2132, 4.7363, 7.7762" \
);
}
fall_transition (lut_timing_193 ){
values(\
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060", \
" 0.0214, 0.0263, 0.0514, 0.1635, 0.7161, 1.3608, 2.0071, 3.3060" \
);
}
cell_rise (lut_timing_193 ){
values(\
" 0.3458, 0.3552, 0.4018, 0.6008, 1.5085, 2.5552, 3.6032, 5.6938", \
" 0.3458, 0.3552, 0.4018, 0.6008, 1.5085, 2.5552, 3.6032, 5.6938", \
" 0.3458, 0.3552, 0.4018, 0.6008, 1.5085, 2.5552, 3.6032, 5.6938", \
" 0.3458, 0.3552, 0.4018, 0.6008, 1.5085, 2.5552, 3.6032, 5.6938", \
" 0.3458, 0.3552, 0.4018, 0.6008, 1.5085, 2.5552, 3.6032, 5.6938", \
" 0.3458, 0.3552, 0.4018, 0.6008, 1.5085, 2.5552, 3.6032, 5.6938", \
" 0.3458, 0.3552, 0.4018, 0.6008, 1.5085, 2.5552, 3.6032, 5.6938", \
" 0.3458, 0.3552, 0.4018, 0.6008, 1.5085, 2.5552, 3.6032, 5.6938" \
);
}
cell_fall (lut_timing_193 ){
values(\
" 0.5184, 0.5256, 0.5539, 0.6484, 1.0477, 1.4960, 1.9487, 2.8550", \
" 0.5184, 0.5256, 0.5539, 0.6484, 1.0477, 1.4960, 1.9487, 2.8550", \
" 0.5184, 0.5256, 0.5539, 0.6484, 1.0477, 1.4960, 1.9487, 2.8550", \
" 0.5184, 0.5256, 0.5539, 0.6484, 1.0477, 1.4960, 1.9487, 2.8550", \
" 0.5184, 0.5256, 0.5539, 0.6484, 1.0477, 1.4960, 1.9487, 2.8550", \
" 0.5184, 0.5256, 0.5539, 0.6484, 1.0477, 1.4960, 1.9487, 2.8550", \
" 0.5184, 0.5256, 0.5539, 0.6484, 1.0477, 1.4960, 1.9487, 2.8550", \
" 0.5184, 0.5256, 0.5539, 0.6484, 1.0477, 1.4960, 1.9487, 2.8550" \
);
}
} /* End of combinational arc of pin W6BEG[0] wrt pin OPA_I0 */
} /* End of pin W6BEG[0] */
}
type (bus5){
base_type : array ;
data_type : bit ;
bit_width : 16;
bit_from : 15;
bit_to : 0;
downto : true ;
}
bus (WW4BEG ){
bus_type : bus5 ;
/* Start of pin WW4BEG[15] */
pin (WW4BEG[15] ) {
direction : output ;
capacitance : 0.0054;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[15] wrt pin EE4END[0] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[0] ";
rise_transition (lut_timing_194 ){
values(\
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570", \
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570", \
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570", \
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570", \
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570", \
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570", \
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570", \
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570" \
);
}
fall_transition (lut_timing_194 ){
values(\
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895", \
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895", \
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895", \
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895", \
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895", \
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895", \
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895", \
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895" \
);
}
cell_rise (lut_timing_194 ){
values(\
" 0.0687, 0.0761, 0.1222, 0.3202, 1.2299, 2.2791, 3.3257, 5.4046", \
" 0.0687, 0.0761, 0.1222, 0.3202, 1.2299, 2.2791, 3.3257, 5.4046", \
" 0.0687, 0.0761, 0.1222, 0.3202, 1.2299, 2.2791, 3.3257, 5.4046", \
" 0.0687, 0.0761, 0.1222, 0.3202, 1.2299, 2.2791, 3.3257, 5.4046", \
" 0.0687, 0.0761, 0.1222, 0.3202, 1.2299, 2.2791, 3.3257, 5.4046", \
" 0.0687, 0.0761, 0.1222, 0.3202, 1.2299, 2.2791, 3.3257, 5.4046", \
" 0.0687, 0.0761, 0.1222, 0.3202, 1.2299, 2.2791, 3.3257, 5.4046", \
" 0.0687, 0.0761, 0.1222, 0.3202, 1.2299, 2.2791, 3.3257, 5.4046" \
);
}
cell_fall (lut_timing_194 ){
values(\
" 0.0961, 0.1019, 0.1312, 0.2246, 0.6243, 1.0738, 1.5264, 2.4310", \
" 0.0961, 0.1019, 0.1312, 0.2246, 0.6243, 1.0738, 1.5264, 2.4310", \
" 0.0961, 0.1019, 0.1312, 0.2246, 0.6243, 1.0738, 1.5264, 2.4310", \
" 0.0961, 0.1019, 0.1312, 0.2246, 0.6243, 1.0738, 1.5264, 2.4310", \
" 0.0961, 0.1019, 0.1312, 0.2246, 0.6243, 1.0738, 1.5264, 2.4310", \
" 0.0961, 0.1019, 0.1312, 0.2246, 0.6243, 1.0738, 1.5264, 2.4310", \
" 0.0961, 0.1019, 0.1312, 0.2246, 0.6243, 1.0738, 1.5264, 2.4310", \
" 0.0961, 0.1019, 0.1312, 0.2246, 0.6243, 1.0738, 1.5264, 2.4310" \
);
}
} /* End of combinational arc of pin WW4BEG[15] wrt pin EE4END[0] */
/* Start of combinational arc of pin WW4BEG[15] wrt pin EE4END[0] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[0] ";
rise_transition (lut_timing_195 ){
values(\
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570", \
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570", \
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570", \
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570", \
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570", \
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570", \
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570", \
" 0.0248, 0.0326, 0.0912, 0.3741, 1.6925, 3.2174, 4.7367, 7.7570" \
);
}
fall_transition (lut_timing_195 ){
values(\
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895", \
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895", \
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895", \
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895", \
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895", \
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895", \
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895", \
" 0.0217, 0.0258, 0.0508, 0.1631, 0.7170, 1.3609, 2.0041, 3.2895" \
);
}
cell_rise (lut_timing_195 ){
values(\
" 0.0690, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4048", \
" 0.0690, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4048", \
" 0.0690, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4048", \
" 0.0690, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4048", \
" 0.0690, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4048", \
" 0.0690, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4048", \
" 0.0690, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4048", \
" 0.0690, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4048" \
);
}
cell_fall (lut_timing_195 ){
values(\
" 0.0964, 0.1023, 0.1321, 0.2248, 0.6245, 1.0740, 1.5266, 2.4312", \
" 0.0964, 0.1023, 0.1321, 0.2248, 0.6245, 1.0740, 1.5266, 2.4312", \
" 0.0964, 0.1023, 0.1321, 0.2248, 0.6245, 1.0740, 1.5266, 2.4312", \
" 0.0964, 0.1023, 0.1321, 0.2248, 0.6245, 1.0740, 1.5266, 2.4312", \
" 0.0964, 0.1023, 0.1321, 0.2248, 0.6245, 1.0740, 1.5266, 2.4312", \
" 0.0964, 0.1023, 0.1321, 0.2248, 0.6245, 1.0740, 1.5266, 2.4312", \
" 0.0964, 0.1023, 0.1321, 0.2248, 0.6245, 1.0740, 1.5266, 2.4312", \
" 0.0964, 0.1023, 0.1321, 0.2248, 0.6245, 1.0740, 1.5266, 2.4312" \
);
}
} /* End of combinational arc of pin WW4BEG[15] wrt pin EE4END[0] */
} /* End of pin WW4BEG[15] */
/* Start of pin WW4BEG[14] */
pin (WW4BEG[14] ) {
direction : output ;
capacitance : 0.0074;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[14] wrt pin EE4END[1] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[1] ";
rise_transition (lut_timing_196 ){
values(\
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164", \
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164", \
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164", \
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164", \
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164", \
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164", \
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164", \
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164" \
);
}
fall_transition (lut_timing_196 ){
values(\
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466", \
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466", \
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466", \
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466", \
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466", \
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466", \
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466", \
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466" \
);
}
cell_rise (lut_timing_196 ){
values(\
" 0.0713, 0.0760, 0.1221, 0.3210, 1.2313, 2.2810, 3.3282, 5.4090", \
" 0.0713, 0.0760, 0.1221, 0.3210, 1.2313, 2.2810, 3.3282, 5.4090", \
" 0.0713, 0.0760, 0.1221, 0.3210, 1.2313, 2.2810, 3.3282, 5.4090", \
" 0.0713, 0.0760, 0.1221, 0.3210, 1.2313, 2.2810, 3.3282, 5.4090", \
" 0.0713, 0.0760, 0.1221, 0.3210, 1.2313, 2.2810, 3.3282, 5.4090", \
" 0.0713, 0.0760, 0.1221, 0.3210, 1.2313, 2.2810, 3.3282, 5.4090", \
" 0.0713, 0.0760, 0.1221, 0.3210, 1.2313, 2.2810, 3.3282, 5.4090", \
" 0.0713, 0.0760, 0.1221, 0.3210, 1.2313, 2.2810, 3.3282, 5.4090" \
);
}
cell_fall (lut_timing_196 ){
values(\
" 0.0982, 0.1018, 0.1306, 0.2258, 0.6281, 1.0780, 1.5306, 2.4364", \
" 0.0982, 0.1018, 0.1306, 0.2258, 0.6281, 1.0780, 1.5306, 2.4364", \
" 0.0982, 0.1018, 0.1306, 0.2258, 0.6281, 1.0780, 1.5306, 2.4364", \
" 0.0982, 0.1018, 0.1306, 0.2258, 0.6281, 1.0780, 1.5306, 2.4364", \
" 0.0982, 0.1018, 0.1306, 0.2258, 0.6281, 1.0780, 1.5306, 2.4364", \
" 0.0982, 0.1018, 0.1306, 0.2258, 0.6281, 1.0780, 1.5306, 2.4364", \
" 0.0982, 0.1018, 0.1306, 0.2258, 0.6281, 1.0780, 1.5306, 2.4364", \
" 0.0982, 0.1018, 0.1306, 0.2258, 0.6281, 1.0780, 1.5306, 2.4364" \
);
}
} /* End of combinational arc of pin WW4BEG[14] wrt pin EE4END[1] */
/* Start of combinational arc of pin WW4BEG[14] wrt pin EE4END[1] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[1] ";
rise_transition (lut_timing_197 ){
values(\
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164", \
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164", \
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164", \
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164", \
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164", \
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164", \
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164", \
" 0.0276, 0.0326, 0.0914, 0.3761, 1.7048, 3.2408, 4.7720, 7.8164" \
);
}
fall_transition (lut_timing_197 ){
values(\
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466", \
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466", \
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466", \
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466", \
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466", \
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466", \
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466", \
" 0.0232, 0.0258, 0.0513, 0.1649, 0.7278, 1.3837, 2.0390, 3.3466" \
);
}
cell_rise (lut_timing_197 ){
values(\
" 0.0719, 0.0766, 0.1225, 0.3214, 1.2317, 2.2814, 3.3286, 5.4094", \
" 0.0719, 0.0766, 0.1225, 0.3214, 1.2317, 2.2814, 3.3286, 5.4094", \
" 0.0719, 0.0766, 0.1225, 0.3214, 1.2317, 2.2814, 3.3286, 5.4094", \
" 0.0719, 0.0766, 0.1225, 0.3214, 1.2317, 2.2814, 3.3286, 5.4094", \
" 0.0719, 0.0766, 0.1225, 0.3214, 1.2317, 2.2814, 3.3286, 5.4094", \
" 0.0719, 0.0766, 0.1225, 0.3214, 1.2317, 2.2814, 3.3286, 5.4094", \
" 0.0719, 0.0766, 0.1225, 0.3214, 1.2317, 2.2814, 3.3286, 5.4094", \
" 0.0719, 0.0766, 0.1225, 0.3214, 1.2317, 2.2814, 3.3286, 5.4094" \
);
}
cell_fall (lut_timing_197 ){
values(\
" 0.0987, 0.1025, 0.1310, 0.2262, 0.6285, 1.0784, 1.5310, 2.4368", \
" 0.0987, 0.1025, 0.1310, 0.2262, 0.6285, 1.0784, 1.5310, 2.4368", \
" 0.0987, 0.1025, 0.1310, 0.2262, 0.6285, 1.0784, 1.5310, 2.4368", \
" 0.0987, 0.1025, 0.1310, 0.2262, 0.6285, 1.0784, 1.5310, 2.4368", \
" 0.0987, 0.1025, 0.1310, 0.2262, 0.6285, 1.0784, 1.5310, 2.4368", \
" 0.0987, 0.1025, 0.1310, 0.2262, 0.6285, 1.0784, 1.5310, 2.4368", \
" 0.0987, 0.1025, 0.1310, 0.2262, 0.6285, 1.0784, 1.5310, 2.4368", \
" 0.0987, 0.1025, 0.1310, 0.2262, 0.6285, 1.0784, 1.5310, 2.4368" \
);
}
} /* End of combinational arc of pin WW4BEG[14] wrt pin EE4END[1] */
} /* End of pin WW4BEG[14] */
/* Start of pin WW4BEG[13] */
pin (WW4BEG[13] ) {
direction : output ;
capacitance : 0.0053;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[13] wrt pin EE4END[2] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[2] ";
rise_transition (lut_timing_198 ){
values(\
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561", \
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561", \
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561", \
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561", \
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561", \
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561", \
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561", \
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561" \
);
}
fall_transition (lut_timing_198 ){
values(\
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886", \
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886", \
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886", \
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886", \
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886", \
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886", \
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886", \
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886" \
);
}
cell_rise (lut_timing_198 ){
values(\
" 0.0684, 0.0760, 0.1221, 0.3201, 1.2298, 2.2790, 3.3256, 5.4044", \
" 0.0684, 0.0760, 0.1221, 0.3201, 1.2298, 2.2790, 3.3256, 5.4044", \
" 0.0684, 0.0760, 0.1221, 0.3201, 1.2298, 2.2790, 3.3256, 5.4044", \
" 0.0684, 0.0760, 0.1221, 0.3201, 1.2298, 2.2790, 3.3256, 5.4044", \
" 0.0684, 0.0760, 0.1221, 0.3201, 1.2298, 2.2790, 3.3256, 5.4044", \
" 0.0684, 0.0760, 0.1221, 0.3201, 1.2298, 2.2790, 3.3256, 5.4044", \
" 0.0684, 0.0760, 0.1221, 0.3201, 1.2298, 2.2790, 3.3256, 5.4044", \
" 0.0684, 0.0760, 0.1221, 0.3201, 1.2298, 2.2790, 3.3256, 5.4044" \
);
}
cell_fall (lut_timing_198 ){
values(\
" 0.0958, 0.1018, 0.1311, 0.2245, 0.6241, 1.0736, 1.5262, 2.4306", \
" 0.0958, 0.1018, 0.1311, 0.2245, 0.6241, 1.0736, 1.5262, 2.4306", \
" 0.0958, 0.1018, 0.1311, 0.2245, 0.6241, 1.0736, 1.5262, 2.4306", \
" 0.0958, 0.1018, 0.1311, 0.2245, 0.6241, 1.0736, 1.5262, 2.4306", \
" 0.0958, 0.1018, 0.1311, 0.2245, 0.6241, 1.0736, 1.5262, 2.4306", \
" 0.0958, 0.1018, 0.1311, 0.2245, 0.6241, 1.0736, 1.5262, 2.4306", \
" 0.0958, 0.1018, 0.1311, 0.2245, 0.6241, 1.0736, 1.5262, 2.4306", \
" 0.0958, 0.1018, 0.1311, 0.2245, 0.6241, 1.0736, 1.5262, 2.4306" \
);
}
} /* End of combinational arc of pin WW4BEG[13] wrt pin EE4END[2] */
/* Start of combinational arc of pin WW4BEG[13] wrt pin EE4END[2] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[2] ";
rise_transition (lut_timing_199 ){
values(\
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561", \
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561", \
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561", \
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561", \
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561", \
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561", \
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561", \
" 0.0246, 0.0326, 0.0912, 0.3741, 1.6923, 3.2170, 4.7362, 7.7561" \
);
}
fall_transition (lut_timing_199 ){
values(\
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886", \
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886", \
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886", \
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886", \
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886", \
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886", \
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886", \
" 0.0216, 0.0258, 0.0508, 0.1630, 0.7168, 1.3605, 2.0035, 3.2886" \
);
}
cell_rise (lut_timing_199 ){
values(\
" 0.0688, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4047", \
" 0.0688, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4047", \
" 0.0688, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4047", \
" 0.0688, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4047", \
" 0.0688, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4047", \
" 0.0688, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4047", \
" 0.0688, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4047", \
" 0.0688, 0.0765, 0.1231, 0.3204, 1.2301, 2.2793, 3.3259, 5.4047" \
);
}
cell_fall (lut_timing_199 ){
values(\
" 0.0962, 0.1023, 0.1321, 0.2248, 0.6244, 1.0739, 1.5265, 2.4309", \
" 0.0962, 0.1023, 0.1321, 0.2248, 0.6244, 1.0739, 1.5265, 2.4309", \
" 0.0962, 0.1023, 0.1321, 0.2248, 0.6244, 1.0739, 1.5265, 2.4309", \
" 0.0962, 0.1023, 0.1321, 0.2248, 0.6244, 1.0739, 1.5265, 2.4309", \
" 0.0962, 0.1023, 0.1321, 0.2248, 0.6244, 1.0739, 1.5265, 2.4309", \
" 0.0962, 0.1023, 0.1321, 0.2248, 0.6244, 1.0739, 1.5265, 2.4309", \
" 0.0962, 0.1023, 0.1321, 0.2248, 0.6244, 1.0739, 1.5265, 2.4309", \
" 0.0962, 0.1023, 0.1321, 0.2248, 0.6244, 1.0739, 1.5265, 2.4309" \
);
}
} /* End of combinational arc of pin WW4BEG[13] wrt pin EE4END[2] */
} /* End of pin WW4BEG[13] */
/* Start of pin WW4BEG[12] */
pin (WW4BEG[12] ) {
direction : output ;
capacitance : 0.0077;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[12] wrt pin EE4END[3] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[3] ";
rise_transition (lut_timing_200 ){
values(\
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177", \
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177", \
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177", \
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177", \
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177", \
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177", \
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177", \
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177" \
);
}
fall_transition (lut_timing_200 ){
values(\
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480", \
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480", \
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480", \
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480", \
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480", \
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480", \
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480", \
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480" \
);
}
cell_rise (lut_timing_200 ){
values(\
" 0.0720, 0.0762, 0.1223, 0.3212, 1.2315, 2.2813, 3.3285, 5.4093", \
" 0.0720, 0.0762, 0.1223, 0.3212, 1.2315, 2.2813, 3.3285, 5.4093", \
" 0.0720, 0.0762, 0.1223, 0.3212, 1.2315, 2.2813, 3.3285, 5.4093", \
" 0.0720, 0.0762, 0.1223, 0.3212, 1.2315, 2.2813, 3.3285, 5.4093", \
" 0.0720, 0.0762, 0.1223, 0.3212, 1.2315, 2.2813, 3.3285, 5.4093", \
" 0.0720, 0.0762, 0.1223, 0.3212, 1.2315, 2.2813, 3.3285, 5.4093", \
" 0.0720, 0.0762, 0.1223, 0.3212, 1.2315, 2.2813, 3.3285, 5.4093", \
" 0.0720, 0.0762, 0.1223, 0.3212, 1.2315, 2.2813, 3.3285, 5.4093" \
);
}
cell_fall (lut_timing_200 ){
values(\
" 0.0987, 0.1020, 0.1308, 0.2260, 0.6284, 1.0783, 1.5310, 2.4367", \
" 0.0987, 0.1020, 0.1308, 0.2260, 0.6284, 1.0783, 1.5310, 2.4367", \
" 0.0987, 0.1020, 0.1308, 0.2260, 0.6284, 1.0783, 1.5310, 2.4367", \
" 0.0987, 0.1020, 0.1308, 0.2260, 0.6284, 1.0783, 1.5310, 2.4367", \
" 0.0987, 0.1020, 0.1308, 0.2260, 0.6284, 1.0783, 1.5310, 2.4367", \
" 0.0987, 0.1020, 0.1308, 0.2260, 0.6284, 1.0783, 1.5310, 2.4367", \
" 0.0987, 0.1020, 0.1308, 0.2260, 0.6284, 1.0783, 1.5310, 2.4367", \
" 0.0987, 0.1020, 0.1308, 0.2260, 0.6284, 1.0783, 1.5310, 2.4367" \
);
}
} /* End of combinational arc of pin WW4BEG[12] wrt pin EE4END[3] */
/* Start of combinational arc of pin WW4BEG[12] wrt pin EE4END[3] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[3] ";
rise_transition (lut_timing_201 ){
values(\
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177", \
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177", \
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177", \
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177", \
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177", \
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177", \
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177", \
" 0.0281, 0.0326, 0.0914, 0.3761, 1.7051, 3.2413, 4.7728, 7.8177" \
);
}
fall_transition (lut_timing_201 ){
values(\
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480", \
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480", \
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480", \
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480", \
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480", \
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480", \
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480", \
" 0.0234, 0.0258, 0.0513, 0.1649, 0.7281, 1.3841, 2.0398, 3.3480" \
);
}
cell_rise (lut_timing_201 ){
values(\
" 0.0723, 0.0766, 0.1225, 0.3214, 1.2317, 2.2815, 3.3287, 5.4095", \
" 0.0723, 0.0766, 0.1225, 0.3214, 1.2317, 2.2815, 3.3287, 5.4095", \
" 0.0723, 0.0766, 0.1225, 0.3214, 1.2317, 2.2815, 3.3287, 5.4095", \
" 0.0723, 0.0766, 0.1225, 0.3214, 1.2317, 2.2815, 3.3287, 5.4095", \
" 0.0723, 0.0766, 0.1225, 0.3214, 1.2317, 2.2815, 3.3287, 5.4095", \
" 0.0723, 0.0766, 0.1225, 0.3214, 1.2317, 2.2815, 3.3287, 5.4095", \
" 0.0723, 0.0766, 0.1225, 0.3214, 1.2317, 2.2815, 3.3287, 5.4095", \
" 0.0723, 0.0766, 0.1225, 0.3214, 1.2317, 2.2815, 3.3287, 5.4095" \
);
}
cell_fall (lut_timing_201 ){
values(\
" 0.0991, 0.1025, 0.1310, 0.2262, 0.6286, 1.0785, 1.5312, 2.4369", \
" 0.0991, 0.1025, 0.1310, 0.2262, 0.6286, 1.0785, 1.5312, 2.4369", \
" 0.0991, 0.1025, 0.1310, 0.2262, 0.6286, 1.0785, 1.5312, 2.4369", \
" 0.0991, 0.1025, 0.1310, 0.2262, 0.6286, 1.0785, 1.5312, 2.4369", \
" 0.0991, 0.1025, 0.1310, 0.2262, 0.6286, 1.0785, 1.5312, 2.4369", \
" 0.0991, 0.1025, 0.1310, 0.2262, 0.6286, 1.0785, 1.5312, 2.4369", \
" 0.0991, 0.1025, 0.1310, 0.2262, 0.6286, 1.0785, 1.5312, 2.4369", \
" 0.0991, 0.1025, 0.1310, 0.2262, 0.6286, 1.0785, 1.5312, 2.4369" \
);
}
} /* End of combinational arc of pin WW4BEG[12] wrt pin EE4END[3] */
} /* End of pin WW4BEG[12] */
/* Start of pin WW4BEG[11] */
pin (WW4BEG[11] ) {
direction : output ;
capacitance : 0.0075;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[11] wrt pin EE4END[4] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[4] ";
rise_transition (lut_timing_202 ){
values(\
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312", \
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312", \
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312", \
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312", \
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312", \
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312", \
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312", \
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312" \
);
}
fall_transition (lut_timing_202 ){
values(\
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616", \
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616", \
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616", \
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616", \
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616", \
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616", \
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616", \
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616" \
);
}
cell_rise (lut_timing_202 ){
values(\
" 0.0717, 0.0762, 0.1223, 0.3214, 1.2318, 2.2818, 3.3292, 5.4103", \
" 0.0717, 0.0762, 0.1223, 0.3214, 1.2318, 2.2818, 3.3292, 5.4103", \
" 0.0717, 0.0762, 0.1223, 0.3214, 1.2318, 2.2818, 3.3292, 5.4103", \
" 0.0717, 0.0762, 0.1223, 0.3214, 1.2318, 2.2818, 3.3292, 5.4103", \
" 0.0717, 0.0762, 0.1223, 0.3214, 1.2318, 2.2818, 3.3292, 5.4103", \
" 0.0717, 0.0762, 0.1223, 0.3214, 1.2318, 2.2818, 3.3292, 5.4103", \
" 0.0717, 0.0762, 0.1223, 0.3214, 1.2318, 2.2818, 3.3292, 5.4103", \
" 0.0717, 0.0762, 0.1223, 0.3214, 1.2318, 2.2818, 3.3292, 5.4103" \
);
}
cell_fall (lut_timing_202 ){
values(\
" 0.0985, 0.1020, 0.1313, 0.2262, 0.6291, 1.0792, 1.5321, 2.4379", \
" 0.0985, 0.1020, 0.1313, 0.2262, 0.6291, 1.0792, 1.5321, 2.4379", \
" 0.0985, 0.1020, 0.1313, 0.2262, 0.6291, 1.0792, 1.5321, 2.4379", \
" 0.0985, 0.1020, 0.1313, 0.2262, 0.6291, 1.0792, 1.5321, 2.4379", \
" 0.0985, 0.1020, 0.1313, 0.2262, 0.6291, 1.0792, 1.5321, 2.4379", \
" 0.0985, 0.1020, 0.1313, 0.2262, 0.6291, 1.0792, 1.5321, 2.4379", \
" 0.0985, 0.1020, 0.1313, 0.2262, 0.6291, 1.0792, 1.5321, 2.4379", \
" 0.0985, 0.1020, 0.1313, 0.2262, 0.6291, 1.0792, 1.5321, 2.4379" \
);
}
} /* End of combinational arc of pin WW4BEG[11] wrt pin EE4END[4] */
/* Start of combinational arc of pin WW4BEG[11] wrt pin EE4END[4] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[4] ";
rise_transition (lut_timing_203 ){
values(\
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312", \
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312", \
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312", \
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312", \
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312", \
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312", \
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312", \
" 0.0278, 0.0326, 0.0914, 0.3766, 1.7078, 3.2466, 4.7806, 7.8312" \
);
}
fall_transition (lut_timing_203 ){
values(\
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616", \
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616", \
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616", \
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616", \
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616", \
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616", \
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616", \
" 0.0233, 0.0258, 0.0513, 0.1653, 0.7307, 1.3893, 2.0477, 3.3616" \
);
}
cell_rise (lut_timing_203 ){
values(\
" 0.0725, 0.0771, 0.1229, 0.3220, 1.2324, 2.2824, 3.3298, 5.4109", \
" 0.0725, 0.0771, 0.1229, 0.3220, 1.2324, 2.2824, 3.3298, 5.4109", \
" 0.0725, 0.0771, 0.1229, 0.3220, 1.2324, 2.2824, 3.3298, 5.4109", \
" 0.0725, 0.0771, 0.1229, 0.3220, 1.2324, 2.2824, 3.3298, 5.4109", \
" 0.0725, 0.0771, 0.1229, 0.3220, 1.2324, 2.2824, 3.3298, 5.4109", \
" 0.0725, 0.0771, 0.1229, 0.3220, 1.2324, 2.2824, 3.3298, 5.4109", \
" 0.0725, 0.0771, 0.1229, 0.3220, 1.2324, 2.2824, 3.3298, 5.4109", \
" 0.0725, 0.0771, 0.1229, 0.3220, 1.2324, 2.2824, 3.3298, 5.4109" \
);
}
cell_fall (lut_timing_203 ){
values(\
" 0.0993, 0.1029, 0.1319, 0.2268, 0.6297, 1.0798, 1.5327, 2.4385", \
" 0.0993, 0.1029, 0.1319, 0.2268, 0.6297, 1.0798, 1.5327, 2.4385", \
" 0.0993, 0.1029, 0.1319, 0.2268, 0.6297, 1.0798, 1.5327, 2.4385", \
" 0.0993, 0.1029, 0.1319, 0.2268, 0.6297, 1.0798, 1.5327, 2.4385", \
" 0.0993, 0.1029, 0.1319, 0.2268, 0.6297, 1.0798, 1.5327, 2.4385", \
" 0.0993, 0.1029, 0.1319, 0.2268, 0.6297, 1.0798, 1.5327, 2.4385", \
" 0.0993, 0.1029, 0.1319, 0.2268, 0.6297, 1.0798, 1.5327, 2.4385", \
" 0.0993, 0.1029, 0.1319, 0.2268, 0.6297, 1.0798, 1.5327, 2.4385" \
);
}
} /* End of combinational arc of pin WW4BEG[11] wrt pin EE4END[4] */
} /* End of pin WW4BEG[11] */
/* Start of pin WW4BEG[10] */
pin (WW4BEG[10] ) {
direction : output ;
capacitance : 0.0066;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[10] wrt pin EE4END[5] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[5] ";
rise_transition (lut_timing_204 ){
values(\
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120", \
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120", \
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120", \
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120", \
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120", \
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120", \
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120", \
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120" \
);
}
fall_transition (lut_timing_204 ){
values(\
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422", \
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422", \
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422", \
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422", \
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422", \
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422", \
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422", \
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422" \
);
}
cell_rise (lut_timing_204 ){
values(\
" 0.0704, 0.0762, 0.1223, 0.3211, 1.2314, 2.2810, 3.3282, 5.4088", \
" 0.0704, 0.0762, 0.1223, 0.3211, 1.2314, 2.2810, 3.3282, 5.4088", \
" 0.0704, 0.0762, 0.1223, 0.3211, 1.2314, 2.2810, 3.3282, 5.4088", \
" 0.0704, 0.0762, 0.1223, 0.3211, 1.2314, 2.2810, 3.3282, 5.4088", \
" 0.0704, 0.0762, 0.1223, 0.3211, 1.2314, 2.2810, 3.3282, 5.4088", \
" 0.0704, 0.0762, 0.1223, 0.3211, 1.2314, 2.2810, 3.3282, 5.4088", \
" 0.0704, 0.0762, 0.1223, 0.3211, 1.2314, 2.2810, 3.3282, 5.4088", \
" 0.0704, 0.0762, 0.1223, 0.3211, 1.2314, 2.2810, 3.3282, 5.4088" \
);
}
cell_fall (lut_timing_204 ){
values(\
" 0.0975, 0.1020, 0.1307, 0.2259, 0.6280, 1.0779, 1.5306, 2.4362", \
" 0.0975, 0.1020, 0.1307, 0.2259, 0.6280, 1.0779, 1.5306, 2.4362", \
" 0.0975, 0.1020, 0.1307, 0.2259, 0.6280, 1.0779, 1.5306, 2.4362", \
" 0.0975, 0.1020, 0.1307, 0.2259, 0.6280, 1.0779, 1.5306, 2.4362", \
" 0.0975, 0.1020, 0.1307, 0.2259, 0.6280, 1.0779, 1.5306, 2.4362", \
" 0.0975, 0.1020, 0.1307, 0.2259, 0.6280, 1.0779, 1.5306, 2.4362", \
" 0.0975, 0.1020, 0.1307, 0.2259, 0.6280, 1.0779, 1.5306, 2.4362", \
" 0.0975, 0.1020, 0.1307, 0.2259, 0.6280, 1.0779, 1.5306, 2.4362" \
);
}
} /* End of combinational arc of pin WW4BEG[10] wrt pin EE4END[5] */
/* Start of combinational arc of pin WW4BEG[10] wrt pin EE4END[5] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[5] ";
rise_transition (lut_timing_205 ){
values(\
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120", \
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120", \
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120", \
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120", \
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120", \
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120", \
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120", \
" 0.0265, 0.0326, 0.0914, 0.3760, 1.7040, 3.2392, 4.7695, 7.8120" \
);
}
fall_transition (lut_timing_205 ){
values(\
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422", \
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422", \
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422", \
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422", \
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422", \
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422", \
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422", \
" 0.0226, 0.0258, 0.0513, 0.1648, 0.7270, 1.3820, 2.0365, 3.3422" \
);
}
cell_rise (lut_timing_205 ){
values(\
" 0.0711, 0.0769, 0.1228, 0.3216, 1.2319, 2.2815, 3.3287, 5.4093", \
" 0.0711, 0.0769, 0.1228, 0.3216, 1.2319, 2.2815, 3.3287, 5.4093", \
" 0.0711, 0.0769, 0.1228, 0.3216, 1.2319, 2.2815, 3.3287, 5.4093", \
" 0.0711, 0.0769, 0.1228, 0.3216, 1.2319, 2.2815, 3.3287, 5.4093", \
" 0.0711, 0.0769, 0.1228, 0.3216, 1.2319, 2.2815, 3.3287, 5.4093", \
" 0.0711, 0.0769, 0.1228, 0.3216, 1.2319, 2.2815, 3.3287, 5.4093", \
" 0.0711, 0.0769, 0.1228, 0.3216, 1.2319, 2.2815, 3.3287, 5.4093", \
" 0.0711, 0.0769, 0.1228, 0.3216, 1.2319, 2.2815, 3.3287, 5.4093" \
);
}
cell_fall (lut_timing_205 ){
values(\
" 0.0982, 0.1028, 0.1312, 0.2264, 0.6285, 1.0784, 1.5311, 2.4367", \
" 0.0982, 0.1028, 0.1312, 0.2264, 0.6285, 1.0784, 1.5311, 2.4367", \
" 0.0982, 0.1028, 0.1312, 0.2264, 0.6285, 1.0784, 1.5311, 2.4367", \
" 0.0982, 0.1028, 0.1312, 0.2264, 0.6285, 1.0784, 1.5311, 2.4367", \
" 0.0982, 0.1028, 0.1312, 0.2264, 0.6285, 1.0784, 1.5311, 2.4367", \
" 0.0982, 0.1028, 0.1312, 0.2264, 0.6285, 1.0784, 1.5311, 2.4367", \
" 0.0982, 0.1028, 0.1312, 0.2264, 0.6285, 1.0784, 1.5311, 2.4367", \
" 0.0982, 0.1028, 0.1312, 0.2264, 0.6285, 1.0784, 1.5311, 2.4367" \
);
}
} /* End of combinational arc of pin WW4BEG[10] wrt pin EE4END[5] */
} /* End of pin WW4BEG[10] */
/* Start of pin WW4BEG[9] */
pin (WW4BEG[9] ) {
direction : output ;
capacitance : 0.0050;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[9] wrt pin EE4END[6] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[6] ";
rise_transition (lut_timing_206 ){
values(\
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843", \
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843", \
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843", \
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843", \
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843", \
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843", \
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843", \
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843" \
);
}
fall_transition (lut_timing_206 ){
values(\
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156", \
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156", \
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156", \
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156", \
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156", \
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156", \
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156", \
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156" \
);
}
cell_rise (lut_timing_206 ){
values(\
" 0.0681, 0.0762, 0.1222, 0.3207, 1.2306, 2.2800, 3.3269, 5.4067", \
" 0.0681, 0.0762, 0.1222, 0.3207, 1.2306, 2.2800, 3.3269, 5.4067", \
" 0.0681, 0.0762, 0.1222, 0.3207, 1.2306, 2.2800, 3.3269, 5.4067", \
" 0.0681, 0.0762, 0.1222, 0.3207, 1.2306, 2.2800, 3.3269, 5.4067", \
" 0.0681, 0.0762, 0.1222, 0.3207, 1.2306, 2.2800, 3.3269, 5.4067", \
" 0.0681, 0.0762, 0.1222, 0.3207, 1.2306, 2.2800, 3.3269, 5.4067", \
" 0.0681, 0.0762, 0.1222, 0.3207, 1.2306, 2.2800, 3.3269, 5.4067", \
" 0.0681, 0.0762, 0.1222, 0.3207, 1.2306, 2.2800, 3.3269, 5.4067" \
);
}
cell_fall (lut_timing_206 ){
values(\
" 0.0956, 0.1020, 0.1308, 0.2253, 0.6263, 1.0759, 1.5288, 2.4334", \
" 0.0956, 0.1020, 0.1308, 0.2253, 0.6263, 1.0759, 1.5288, 2.4334", \
" 0.0956, 0.1020, 0.1308, 0.2253, 0.6263, 1.0759, 1.5288, 2.4334", \
" 0.0956, 0.1020, 0.1308, 0.2253, 0.6263, 1.0759, 1.5288, 2.4334", \
" 0.0956, 0.1020, 0.1308, 0.2253, 0.6263, 1.0759, 1.5288, 2.4334", \
" 0.0956, 0.1020, 0.1308, 0.2253, 0.6263, 1.0759, 1.5288, 2.4334", \
" 0.0956, 0.1020, 0.1308, 0.2253, 0.6263, 1.0759, 1.5288, 2.4334", \
" 0.0956, 0.1020, 0.1308, 0.2253, 0.6263, 1.0759, 1.5288, 2.4334" \
);
}
} /* End of combinational arc of pin WW4BEG[9] wrt pin EE4END[6] */
/* Start of combinational arc of pin WW4BEG[9] wrt pin EE4END[6] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[6] ";
rise_transition (lut_timing_207 ){
values(\
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843", \
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843", \
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843", \
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843", \
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843", \
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843", \
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843", \
" 0.0242, 0.0326, 0.0914, 0.3751, 1.6982, 3.2282, 4.7531, 7.7843" \
);
}
fall_transition (lut_timing_207 ){
values(\
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156", \
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156", \
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156", \
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156", \
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156", \
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156", \
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156", \
" 0.0213, 0.0258, 0.0512, 0.1639, 0.7217, 1.3714, 2.0203, 3.3156" \
);
}
cell_rise (lut_timing_207 ){
values(\
" 0.0687, 0.0769, 0.1227, 0.3212, 1.2311, 2.2805, 3.3274, 5.4072", \
" 0.0687, 0.0769, 0.1227, 0.3212, 1.2311, 2.2805, 3.3274, 5.4072", \
" 0.0687, 0.0769, 0.1227, 0.3212, 1.2311, 2.2805, 3.3274, 5.4072", \
" 0.0687, 0.0769, 0.1227, 0.3212, 1.2311, 2.2805, 3.3274, 5.4072", \
" 0.0687, 0.0769, 0.1227, 0.3212, 1.2311, 2.2805, 3.3274, 5.4072", \
" 0.0687, 0.0769, 0.1227, 0.3212, 1.2311, 2.2805, 3.3274, 5.4072", \
" 0.0687, 0.0769, 0.1227, 0.3212, 1.2311, 2.2805, 3.3274, 5.4072", \
" 0.0687, 0.0769, 0.1227, 0.3212, 1.2311, 2.2805, 3.3274, 5.4072" \
);
}
cell_fall (lut_timing_207 ){
values(\
" 0.0962, 0.1027, 0.1313, 0.2258, 0.6268, 1.0764, 1.5293, 2.4339", \
" 0.0962, 0.1027, 0.1313, 0.2258, 0.6268, 1.0764, 1.5293, 2.4339", \
" 0.0962, 0.1027, 0.1313, 0.2258, 0.6268, 1.0764, 1.5293, 2.4339", \
" 0.0962, 0.1027, 0.1313, 0.2258, 0.6268, 1.0764, 1.5293, 2.4339", \
" 0.0962, 0.1027, 0.1313, 0.2258, 0.6268, 1.0764, 1.5293, 2.4339", \
" 0.0962, 0.1027, 0.1313, 0.2258, 0.6268, 1.0764, 1.5293, 2.4339", \
" 0.0962, 0.1027, 0.1313, 0.2258, 0.6268, 1.0764, 1.5293, 2.4339", \
" 0.0962, 0.1027, 0.1313, 0.2258, 0.6268, 1.0764, 1.5293, 2.4339" \
);
}
} /* End of combinational arc of pin WW4BEG[9] wrt pin EE4END[6] */
} /* End of pin WW4BEG[9] */
/* Start of pin WW4BEG[8] */
pin (WW4BEG[8] ) {
direction : output ;
capacitance : 0.0058;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[8] wrt pin EE4END[7] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[7] ";
rise_transition (lut_timing_208 ){
values(\
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052", \
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052", \
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052", \
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052", \
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052", \
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052", \
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052", \
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052" \
);
}
fall_transition (lut_timing_208 ){
values(\
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356", \
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356", \
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356", \
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356", \
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356", \
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356", \
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356", \
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356" \
);
}
cell_rise (lut_timing_208 ){
values(\
" 0.0705, 0.0774, 0.1234, 0.3222, 1.2324, 2.2820, 3.3291, 5.4095", \
" 0.0705, 0.0774, 0.1234, 0.3222, 1.2324, 2.2820, 3.3291, 5.4095", \
" 0.0705, 0.0774, 0.1234, 0.3222, 1.2324, 2.2820, 3.3291, 5.4095", \
" 0.0705, 0.0774, 0.1234, 0.3222, 1.2324, 2.2820, 3.3291, 5.4095", \
" 0.0705, 0.0774, 0.1234, 0.3222, 1.2324, 2.2820, 3.3291, 5.4095", \
" 0.0705, 0.0774, 0.1234, 0.3222, 1.2324, 2.2820, 3.3291, 5.4095", \
" 0.0705, 0.0774, 0.1234, 0.3222, 1.2324, 2.2820, 3.3291, 5.4095", \
" 0.0705, 0.0774, 0.1234, 0.3222, 1.2324, 2.2820, 3.3291, 5.4095" \
);
}
cell_fall (lut_timing_208 ){
values(\
" 0.0978, 0.1032, 0.1320, 0.2269, 0.6288, 1.0786, 1.5313, 2.4368", \
" 0.0978, 0.1032, 0.1320, 0.2269, 0.6288, 1.0786, 1.5313, 2.4368", \
" 0.0978, 0.1032, 0.1320, 0.2269, 0.6288, 1.0786, 1.5313, 2.4368", \
" 0.0978, 0.1032, 0.1320, 0.2269, 0.6288, 1.0786, 1.5313, 2.4368", \
" 0.0978, 0.1032, 0.1320, 0.2269, 0.6288, 1.0786, 1.5313, 2.4368", \
" 0.0978, 0.1032, 0.1320, 0.2269, 0.6288, 1.0786, 1.5313, 2.4368", \
" 0.0978, 0.1032, 0.1320, 0.2269, 0.6288, 1.0786, 1.5313, 2.4368", \
" 0.0978, 0.1032, 0.1320, 0.2269, 0.6288, 1.0786, 1.5313, 2.4368" \
);
}
} /* End of combinational arc of pin WW4BEG[8] wrt pin EE4END[7] */
/* Start of combinational arc of pin WW4BEG[8] wrt pin EE4END[7] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[7] ";
rise_transition (lut_timing_209 ){
values(\
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052", \
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052", \
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052", \
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052", \
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052", \
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052", \
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052", \
" 0.0254, 0.0326, 0.0914, 0.3758, 1.7026, 3.2365, 4.7655, 7.8052" \
);
}
fall_transition (lut_timing_209 ){
values(\
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356", \
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356", \
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356", \
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356", \
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356", \
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356", \
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356", \
" 0.0220, 0.0258, 0.0513, 0.1646, 0.7257, 1.3795, 2.0326, 3.3356" \
);
}
cell_rise (lut_timing_209 ){
values(\
" 0.0705, 0.0775, 0.1233, 0.3221, 1.2323, 2.2819, 3.3290, 5.4094", \
" 0.0705, 0.0775, 0.1233, 0.3221, 1.2323, 2.2819, 3.3290, 5.4094", \
" 0.0705, 0.0775, 0.1233, 0.3221, 1.2323, 2.2819, 3.3290, 5.4094", \
" 0.0705, 0.0775, 0.1233, 0.3221, 1.2323, 2.2819, 3.3290, 5.4094", \
" 0.0705, 0.0775, 0.1233, 0.3221, 1.2323, 2.2819, 3.3290, 5.4094", \
" 0.0705, 0.0775, 0.1233, 0.3221, 1.2323, 2.2819, 3.3290, 5.4094", \
" 0.0705, 0.0775, 0.1233, 0.3221, 1.2323, 2.2819, 3.3290, 5.4094", \
" 0.0705, 0.0775, 0.1233, 0.3221, 1.2323, 2.2819, 3.3290, 5.4094" \
);
}
cell_fall (lut_timing_209 ){
values(\
" 0.0978, 0.1033, 0.1319, 0.2268, 0.6287, 1.0785, 1.5312, 2.4367", \
" 0.0978, 0.1033, 0.1319, 0.2268, 0.6287, 1.0785, 1.5312, 2.4367", \
" 0.0978, 0.1033, 0.1319, 0.2268, 0.6287, 1.0785, 1.5312, 2.4367", \
" 0.0978, 0.1033, 0.1319, 0.2268, 0.6287, 1.0785, 1.5312, 2.4367", \
" 0.0978, 0.1033, 0.1319, 0.2268, 0.6287, 1.0785, 1.5312, 2.4367", \
" 0.0978, 0.1033, 0.1319, 0.2268, 0.6287, 1.0785, 1.5312, 2.4367", \
" 0.0978, 0.1033, 0.1319, 0.2268, 0.6287, 1.0785, 1.5312, 2.4367", \
" 0.0978, 0.1033, 0.1319, 0.2268, 0.6287, 1.0785, 1.5312, 2.4367" \
);
}
} /* End of combinational arc of pin WW4BEG[8] wrt pin EE4END[7] */
} /* End of pin WW4BEG[8] */
/* Start of pin WW4BEG[7] */
pin (WW4BEG[7] ) {
direction : output ;
capacitance : 0.0041;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[7] wrt pin EE4END[8] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[8] ";
rise_transition (lut_timing_210 ){
values(\
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452", \
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452", \
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452", \
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452", \
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452", \
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452", \
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452", \
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452" \
);
}
fall_transition (lut_timing_210 ){
values(\
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782", \
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782", \
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782", \
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782", \
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782", \
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782", \
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782", \
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782" \
);
}
cell_rise (lut_timing_210 ){
values(\
" 0.0676, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0676, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0676, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0676, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0676, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0676, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0676, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0676, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046" \
);
}
cell_fall (lut_timing_210 ){
values(\
" 0.0954, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0954, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0954, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0954, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0954, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0954, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0954, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0954, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303" \
);
}
} /* End of combinational arc of pin WW4BEG[7] wrt pin EE4END[8] */
/* Start of combinational arc of pin WW4BEG[7] wrt pin EE4END[8] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[8] ";
rise_transition (lut_timing_211 ){
values(\
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452", \
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452", \
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452", \
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452", \
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452", \
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452", \
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452", \
" 0.0229, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7297, 7.7452" \
);
}
fall_transition (lut_timing_211 ){
values(\
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782", \
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782", \
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782", \
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782", \
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782", \
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782", \
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782", \
" 0.0206, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9971, 3.2782" \
);
}
cell_rise (lut_timing_211 ){
values(\
" 0.0677, 0.0771, 0.1236, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0677, 0.0771, 0.1236, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0677, 0.0771, 0.1236, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0677, 0.0771, 0.1236, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0677, 0.0771, 0.1236, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0677, 0.0771, 0.1236, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0677, 0.0771, 0.1236, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0677, 0.0771, 0.1236, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046" \
);
}
cell_fall (lut_timing_211 ){
values(\
" 0.0954, 0.1030, 0.1327, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0954, 0.1030, 0.1327, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0954, 0.1030, 0.1327, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0954, 0.1030, 0.1327, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0954, 0.1030, 0.1327, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0954, 0.1030, 0.1327, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0954, 0.1030, 0.1327, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0954, 0.1030, 0.1327, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303" \
);
}
} /* End of combinational arc of pin WW4BEG[7] wrt pin EE4END[8] */
} /* End of pin WW4BEG[7] */
/* Start of pin WW4BEG[6] */
pin (WW4BEG[6] ) {
direction : output ;
capacitance : 0.0044;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[6] wrt pin EE4END[9] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[9] ";
rise_transition (lut_timing_212 ){
values(\
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844", \
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844", \
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844", \
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844", \
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844", \
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844", \
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844", \
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844" \
);
}
fall_transition (lut_timing_212 ){
values(\
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157", \
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157", \
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157", \
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157", \
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157", \
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157", \
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157", \
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157" \
);
}
cell_rise (lut_timing_212 ){
values(\
" 0.0684, 0.0775, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080", \
" 0.0684, 0.0775, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080", \
" 0.0684, 0.0775, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080", \
" 0.0684, 0.0775, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080", \
" 0.0684, 0.0775, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080", \
" 0.0684, 0.0775, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080", \
" 0.0684, 0.0775, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080", \
" 0.0684, 0.0775, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080" \
);
}
cell_fall (lut_timing_212 ){
values(\
" 0.0961, 0.1033, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347", \
" 0.0961, 0.1033, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347", \
" 0.0961, 0.1033, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347", \
" 0.0961, 0.1033, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347", \
" 0.0961, 0.1033, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347", \
" 0.0961, 0.1033, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347", \
" 0.0961, 0.1033, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347", \
" 0.0961, 0.1033, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347" \
);
}
} /* End of combinational arc of pin WW4BEG[6] wrt pin EE4END[9] */
/* Start of combinational arc of pin WW4BEG[6] wrt pin EE4END[9] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[9] ";
rise_transition (lut_timing_213 ){
values(\
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844", \
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844", \
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844", \
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844", \
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844", \
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844", \
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844", \
" 0.0232, 0.0326, 0.0914, 0.3751, 1.6982, 3.2283, 4.7532, 7.7844" \
);
}
fall_transition (lut_timing_213 ){
values(\
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157", \
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157", \
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157", \
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157", \
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157", \
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157", \
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157", \
" 0.0208, 0.0258, 0.0512, 0.1639, 0.7217, 1.3715, 2.0204, 3.3157" \
);
}
cell_rise (lut_timing_213 ){
values(\
" 0.0685, 0.0777, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080", \
" 0.0685, 0.0777, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080", \
" 0.0685, 0.0777, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080", \
" 0.0685, 0.0777, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080", \
" 0.0685, 0.0777, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080", \
" 0.0685, 0.0777, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080", \
" 0.0685, 0.0777, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080", \
" 0.0685, 0.0777, 0.1235, 0.3220, 1.2319, 2.2813, 3.3282, 5.4080" \
);
}
cell_fall (lut_timing_213 ){
values(\
" 0.0961, 0.1035, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347", \
" 0.0961, 0.1035, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347", \
" 0.0961, 0.1035, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347", \
" 0.0961, 0.1035, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347", \
" 0.0961, 0.1035, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347", \
" 0.0961, 0.1035, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347", \
" 0.0961, 0.1035, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347", \
" 0.0961, 0.1035, 0.1321, 0.2266, 0.6276, 1.0772, 1.5301, 2.4347" \
);
}
} /* End of combinational arc of pin WW4BEG[6] wrt pin EE4END[9] */
} /* End of pin WW4BEG[6] */
/* Start of pin WW4BEG[5] */
pin (WW4BEG[5] ) {
direction : output ;
capacitance : 0.0035;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[5] wrt pin EE4END[10] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[10] ";
rise_transition (lut_timing_214 ){
values(\
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705", \
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705", \
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705", \
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705", \
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705", \
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705", \
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705", \
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705" \
);
}
fall_transition (lut_timing_214 ){
values(\
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023", \
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023", \
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023", \
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023", \
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023", \
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023", \
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023", \
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023" \
);
}
cell_rise (lut_timing_214 ){
values(\
" 0.0666, 0.0770, 0.1231, 0.3213, 1.2311, 2.2805, 3.3271, 5.4065", \
" 0.0666, 0.0770, 0.1231, 0.3213, 1.2311, 2.2805, 3.3271, 5.4065", \
" 0.0666, 0.0770, 0.1231, 0.3213, 1.2311, 2.2805, 3.3271, 5.4065", \
" 0.0666, 0.0770, 0.1231, 0.3213, 1.2311, 2.2805, 3.3271, 5.4065", \
" 0.0666, 0.0770, 0.1231, 0.3213, 1.2311, 2.2805, 3.3271, 5.4065", \
" 0.0666, 0.0770, 0.1231, 0.3213, 1.2311, 2.2805, 3.3271, 5.4065", \
" 0.0666, 0.0770, 0.1231, 0.3213, 1.2311, 2.2805, 3.3271, 5.4065", \
" 0.0666, 0.0770, 0.1231, 0.3213, 1.2311, 2.2805, 3.3271, 5.4065" \
);
}
cell_fall (lut_timing_214 ){
values(\
" 0.0945, 0.1029, 0.1316, 0.2259, 0.6262, 1.0757, 1.5284, 2.4331", \
" 0.0945, 0.1029, 0.1316, 0.2259, 0.6262, 1.0757, 1.5284, 2.4331", \
" 0.0945, 0.1029, 0.1316, 0.2259, 0.6262, 1.0757, 1.5284, 2.4331", \
" 0.0945, 0.1029, 0.1316, 0.2259, 0.6262, 1.0757, 1.5284, 2.4331", \
" 0.0945, 0.1029, 0.1316, 0.2259, 0.6262, 1.0757, 1.5284, 2.4331", \
" 0.0945, 0.1029, 0.1316, 0.2259, 0.6262, 1.0757, 1.5284, 2.4331", \
" 0.0945, 0.1029, 0.1316, 0.2259, 0.6262, 1.0757, 1.5284, 2.4331", \
" 0.0945, 0.1029, 0.1316, 0.2259, 0.6262, 1.0757, 1.5284, 2.4331" \
);
}
} /* End of combinational arc of pin WW4BEG[5] wrt pin EE4END[10] */
/* Start of combinational arc of pin WW4BEG[5] wrt pin EE4END[10] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[10] ";
rise_transition (lut_timing_215 ){
values(\
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705", \
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705", \
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705", \
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705", \
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705", \
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705", \
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705", \
" 0.0219, 0.0326, 0.0914, 0.3746, 1.6953, 3.2228, 4.7449, 7.7705" \
);
}
fall_transition (lut_timing_215 ){
values(\
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023", \
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023", \
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023", \
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023", \
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023", \
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023", \
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023", \
" 0.0201, 0.0258, 0.0512, 0.1635, 0.7192, 1.3661, 2.0121, 3.3023" \
);
}
cell_rise (lut_timing_215 ){
values(\
" 0.0666, 0.0771, 0.1230, 0.3212, 1.2310, 2.2804, 3.3270, 5.4064", \
" 0.0666, 0.0771, 0.1230, 0.3212, 1.2310, 2.2804, 3.3270, 5.4064", \
" 0.0666, 0.0771, 0.1230, 0.3212, 1.2310, 2.2804, 3.3270, 5.4064", \
" 0.0666, 0.0771, 0.1230, 0.3212, 1.2310, 2.2804, 3.3270, 5.4064", \
" 0.0666, 0.0771, 0.1230, 0.3212, 1.2310, 2.2804, 3.3270, 5.4064", \
" 0.0666, 0.0771, 0.1230, 0.3212, 1.2310, 2.2804, 3.3270, 5.4064", \
" 0.0666, 0.0771, 0.1230, 0.3212, 1.2310, 2.2804, 3.3270, 5.4064", \
" 0.0666, 0.0771, 0.1230, 0.3212, 1.2310, 2.2804, 3.3270, 5.4064" \
);
}
cell_fall (lut_timing_215 ){
values(\
" 0.0945, 0.1029, 0.1315, 0.2258, 0.6261, 1.0756, 1.5283, 2.4330", \
" 0.0945, 0.1029, 0.1315, 0.2258, 0.6261, 1.0756, 1.5283, 2.4330", \
" 0.0945, 0.1029, 0.1315, 0.2258, 0.6261, 1.0756, 1.5283, 2.4330", \
" 0.0945, 0.1029, 0.1315, 0.2258, 0.6261, 1.0756, 1.5283, 2.4330", \
" 0.0945, 0.1029, 0.1315, 0.2258, 0.6261, 1.0756, 1.5283, 2.4330", \
" 0.0945, 0.1029, 0.1315, 0.2258, 0.6261, 1.0756, 1.5283, 2.4330", \
" 0.0945, 0.1029, 0.1315, 0.2258, 0.6261, 1.0756, 1.5283, 2.4330", \
" 0.0945, 0.1029, 0.1315, 0.2258, 0.6261, 1.0756, 1.5283, 2.4330" \
);
}
} /* End of combinational arc of pin WW4BEG[5] wrt pin EE4END[10] */
} /* End of pin WW4BEG[5] */
/* Start of pin WW4BEG[4] */
pin (WW4BEG[4] ) {
direction : output ;
capacitance : 0.0042;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[4] wrt pin EE4END[11] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[11] ";
rise_transition (lut_timing_216 ){
values(\
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545", \
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545", \
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545", \
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545", \
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545", \
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545", \
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545", \
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545" \
);
}
fall_transition (lut_timing_216 ){
values(\
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871", \
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871", \
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871", \
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871", \
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871", \
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871", \
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871", \
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871" \
);
}
cell_rise (lut_timing_216 ){
values(\
" 0.0676, 0.0770, 0.1230, 0.3211, 1.2307, 2.2799, 3.3265, 5.4053", \
" 0.0676, 0.0770, 0.1230, 0.3211, 1.2307, 2.2799, 3.3265, 5.4053", \
" 0.0676, 0.0770, 0.1230, 0.3211, 1.2307, 2.2799, 3.3265, 5.4053", \
" 0.0676, 0.0770, 0.1230, 0.3211, 1.2307, 2.2799, 3.3265, 5.4053", \
" 0.0676, 0.0770, 0.1230, 0.3211, 1.2307, 2.2799, 3.3265, 5.4053", \
" 0.0676, 0.0770, 0.1230, 0.3211, 1.2307, 2.2799, 3.3265, 5.4053", \
" 0.0676, 0.0770, 0.1230, 0.3211, 1.2307, 2.2799, 3.3265, 5.4053", \
" 0.0676, 0.0770, 0.1230, 0.3211, 1.2307, 2.2799, 3.3265, 5.4053" \
);
}
cell_fall (lut_timing_216 ){
values(\
" 0.0954, 0.1028, 0.1321, 0.2255, 0.6249, 1.0745, 1.5271, 2.4314", \
" 0.0954, 0.1028, 0.1321, 0.2255, 0.6249, 1.0745, 1.5271, 2.4314", \
" 0.0954, 0.1028, 0.1321, 0.2255, 0.6249, 1.0745, 1.5271, 2.4314", \
" 0.0954, 0.1028, 0.1321, 0.2255, 0.6249, 1.0745, 1.5271, 2.4314", \
" 0.0954, 0.1028, 0.1321, 0.2255, 0.6249, 1.0745, 1.5271, 2.4314", \
" 0.0954, 0.1028, 0.1321, 0.2255, 0.6249, 1.0745, 1.5271, 2.4314", \
" 0.0954, 0.1028, 0.1321, 0.2255, 0.6249, 1.0745, 1.5271, 2.4314", \
" 0.0954, 0.1028, 0.1321, 0.2255, 0.6249, 1.0745, 1.5271, 2.4314" \
);
}
} /* End of combinational arc of pin WW4BEG[4] wrt pin EE4END[11] */
/* Start of combinational arc of pin WW4BEG[4] wrt pin EE4END[11] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[11] ";
rise_transition (lut_timing_217 ){
values(\
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545", \
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545", \
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545", \
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545", \
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545", \
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545", \
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545", \
" 0.0229, 0.0326, 0.0912, 0.3740, 1.6920, 3.2164, 4.7353, 7.7545" \
);
}
fall_transition (lut_timing_217 ){
values(\
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871", \
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871", \
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871", \
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871", \
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871", \
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871", \
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871", \
" 0.0206, 0.0258, 0.0508, 0.1630, 0.7165, 1.3599, 2.0026, 3.2871" \
);
}
cell_rise (lut_timing_217 ){
values(\
" 0.0676, 0.0771, 0.1237, 0.3210, 1.2306, 2.2798, 3.3264, 5.4052", \
" 0.0676, 0.0771, 0.1237, 0.3210, 1.2306, 2.2798, 3.3264, 5.4052", \
" 0.0676, 0.0771, 0.1237, 0.3210, 1.2306, 2.2798, 3.3264, 5.4052", \
" 0.0676, 0.0771, 0.1237, 0.3210, 1.2306, 2.2798, 3.3264, 5.4052", \
" 0.0676, 0.0771, 0.1237, 0.3210, 1.2306, 2.2798, 3.3264, 5.4052", \
" 0.0676, 0.0771, 0.1237, 0.3210, 1.2306, 2.2798, 3.3264, 5.4052", \
" 0.0676, 0.0771, 0.1237, 0.3210, 1.2306, 2.2798, 3.3264, 5.4052", \
" 0.0676, 0.0771, 0.1237, 0.3210, 1.2306, 2.2798, 3.3264, 5.4052" \
);
}
cell_fall (lut_timing_217 ){
values(\
" 0.0953, 0.1029, 0.1327, 0.2254, 0.6248, 1.0744, 1.5270, 2.4313", \
" 0.0953, 0.1029, 0.1327, 0.2254, 0.6248, 1.0744, 1.5270, 2.4313", \
" 0.0953, 0.1029, 0.1327, 0.2254, 0.6248, 1.0744, 1.5270, 2.4313", \
" 0.0953, 0.1029, 0.1327, 0.2254, 0.6248, 1.0744, 1.5270, 2.4313", \
" 0.0953, 0.1029, 0.1327, 0.2254, 0.6248, 1.0744, 1.5270, 2.4313", \
" 0.0953, 0.1029, 0.1327, 0.2254, 0.6248, 1.0744, 1.5270, 2.4313", \
" 0.0953, 0.1029, 0.1327, 0.2254, 0.6248, 1.0744, 1.5270, 2.4313", \
" 0.0953, 0.1029, 0.1327, 0.2254, 0.6248, 1.0744, 1.5270, 2.4313" \
);
}
} /* End of combinational arc of pin WW4BEG[4] wrt pin EE4END[11] */
} /* End of pin WW4BEG[4] */
/* Start of pin WW4BEG[3] */
pin (WW4BEG[3] ) {
direction : output ;
capacitance : 0.0037;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[3] wrt pin EE4END[12] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[12] ";
rise_transition (lut_timing_218 ){
values(\
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745", \
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745", \
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745", \
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745", \
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745", \
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745", \
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745", \
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745" \
);
}
fall_transition (lut_timing_218 ){
values(\
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061", \
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061", \
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061", \
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061", \
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061", \
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061", \
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061", \
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061" \
);
}
cell_rise (lut_timing_218 ){
values(\
" 0.0672, 0.0772, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070", \
" 0.0672, 0.0772, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070", \
" 0.0672, 0.0772, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070", \
" 0.0672, 0.0772, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070", \
" 0.0672, 0.0772, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070", \
" 0.0672, 0.0772, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070", \
" 0.0672, 0.0772, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070", \
" 0.0672, 0.0772, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070" \
);
}
cell_fall (lut_timing_218 ){
values(\
" 0.0950, 0.1031, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336", \
" 0.0950, 0.1031, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336", \
" 0.0950, 0.1031, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336", \
" 0.0950, 0.1031, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336", \
" 0.0950, 0.1031, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336", \
" 0.0950, 0.1031, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336", \
" 0.0950, 0.1031, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336", \
" 0.0950, 0.1031, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336" \
);
}
} /* End of combinational arc of pin WW4BEG[3] wrt pin EE4END[12] */
/* Start of combinational arc of pin WW4BEG[3] wrt pin EE4END[12] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[12] ";
rise_transition (lut_timing_219 ){
values(\
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745", \
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745", \
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745", \
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745", \
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745", \
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745", \
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745", \
" 0.0223, 0.0326, 0.0914, 0.3747, 1.6962, 3.2243, 4.7473, 7.7745" \
);
}
fall_transition (lut_timing_219 ){
values(\
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061", \
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061", \
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061", \
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061", \
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061", \
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061", \
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061", \
" 0.0203, 0.0258, 0.0512, 0.1637, 0.7202, 1.3674, 2.0144, 3.3061" \
);
}
cell_rise (lut_timing_219 ){
values(\
" 0.0673, 0.0774, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070", \
" 0.0673, 0.0774, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070", \
" 0.0673, 0.0774, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070", \
" 0.0673, 0.0774, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070", \
" 0.0673, 0.0774, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070", \
" 0.0673, 0.0774, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070", \
" 0.0673, 0.0774, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070", \
" 0.0673, 0.0774, 0.1233, 0.3216, 1.2314, 2.2808, 3.3275, 5.4070" \
);
}
cell_fall (lut_timing_219 ){
values(\
" 0.0951, 0.1033, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336", \
" 0.0951, 0.1033, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336", \
" 0.0951, 0.1033, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336", \
" 0.0951, 0.1033, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336", \
" 0.0951, 0.1033, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336", \
" 0.0951, 0.1033, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336", \
" 0.0951, 0.1033, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336", \
" 0.0951, 0.1033, 0.1318, 0.2262, 0.6266, 1.0761, 1.5290, 2.4336" \
);
}
} /* End of combinational arc of pin WW4BEG[3] wrt pin EE4END[12] */
} /* End of pin WW4BEG[3] */
/* Start of pin WW4BEG[2] */
pin (WW4BEG[2] ) {
direction : output ;
capacitance : 0.0032;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[2] wrt pin EE4END[13] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[13] ";
rise_transition (lut_timing_220 ){
values(\
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7249, 7.7371", \
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7249, 7.7371", \
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7249, 7.7371", \
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7249, 7.7371", \
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7249, 7.7371", \
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7249, 7.7371", \
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7249, 7.7371", \
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6883, 3.2094, 4.7249, 7.7371" \
);
}
fall_transition (lut_timing_220 ){
values(\
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3533, 1.9925, 3.2705", \
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3533, 1.9925, 3.2705", \
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3533, 1.9925, 3.2705", \
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3533, 1.9925, 3.2705", \
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3533, 1.9925, 3.2705", \
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3533, 1.9925, 3.2705", \
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3533, 1.9925, 3.2705", \
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3533, 1.9925, 3.2705" \
);
}
cell_rise (lut_timing_220 ){
values(\
" 0.0668, 0.0776, 0.1235, 0.3213, 1.2308, 2.2801, 3.3264, 5.4045", \
" 0.0668, 0.0776, 0.1235, 0.3213, 1.2308, 2.2801, 3.3264, 5.4045", \
" 0.0668, 0.0776, 0.1235, 0.3213, 1.2308, 2.2801, 3.3264, 5.4045", \
" 0.0668, 0.0776, 0.1235, 0.3213, 1.2308, 2.2801, 3.3264, 5.4045", \
" 0.0668, 0.0776, 0.1235, 0.3213, 1.2308, 2.2801, 3.3264, 5.4045", \
" 0.0668, 0.0776, 0.1235, 0.3213, 1.2308, 2.2801, 3.3264, 5.4045", \
" 0.0668, 0.0776, 0.1235, 0.3213, 1.2308, 2.2801, 3.3264, 5.4045", \
" 0.0668, 0.0776, 0.1235, 0.3213, 1.2308, 2.2801, 3.3264, 5.4045" \
);
}
cell_fall (lut_timing_220 ){
values(\
" 0.0947, 0.1034, 0.1326, 0.2256, 0.6240, 1.0735, 1.5262, 2.4300", \
" 0.0947, 0.1034, 0.1326, 0.2256, 0.6240, 1.0735, 1.5262, 2.4300", \
" 0.0947, 0.1034, 0.1326, 0.2256, 0.6240, 1.0735, 1.5262, 2.4300", \
" 0.0947, 0.1034, 0.1326, 0.2256, 0.6240, 1.0735, 1.5262, 2.4300", \
" 0.0947, 0.1034, 0.1326, 0.2256, 0.6240, 1.0735, 1.5262, 2.4300", \
" 0.0947, 0.1034, 0.1326, 0.2256, 0.6240, 1.0735, 1.5262, 2.4300", \
" 0.0947, 0.1034, 0.1326, 0.2256, 0.6240, 1.0735, 1.5262, 2.4300", \
" 0.0947, 0.1034, 0.1326, 0.2256, 0.6240, 1.0735, 1.5262, 2.4300" \
);
}
} /* End of combinational arc of pin WW4BEG[2] wrt pin EE4END[13] */
/* Start of combinational arc of pin WW4BEG[2] wrt pin EE4END[13] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[13] ";
rise_transition (lut_timing_221 ){
values(\
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6882, 3.2093, 4.7248, 7.7372", \
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6882, 3.2093, 4.7248, 7.7372", \
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6882, 3.2093, 4.7248, 7.7372", \
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6882, 3.2093, 4.7248, 7.7372", \
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6882, 3.2093, 4.7248, 7.7372", \
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6882, 3.2093, 4.7248, 7.7372", \
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6882, 3.2093, 4.7248, 7.7372", \
" 0.0215, 0.0326, 0.0912, 0.3734, 1.6882, 3.2093, 4.7248, 7.7372" \
);
}
fall_transition (lut_timing_221 ){
values(\
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9925, 3.2706", \
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9925, 3.2706", \
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9925, 3.2706", \
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9925, 3.2706", \
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9925, 3.2706", \
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9925, 3.2706", \
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9925, 3.2706", \
" 0.0199, 0.0258, 0.0508, 0.1626, 0.7134, 1.3532, 1.9925, 3.2706" \
);
}
cell_rise (lut_timing_221 ){
values(\
" 0.0674, 0.0783, 0.1247, 0.3219, 1.2313, 2.2806, 3.3269, 5.4053", \
" 0.0674, 0.0783, 0.1247, 0.3219, 1.2313, 2.2806, 3.3269, 5.4053", \
" 0.0674, 0.0783, 0.1247, 0.3219, 1.2313, 2.2806, 3.3269, 5.4053", \
" 0.0674, 0.0783, 0.1247, 0.3219, 1.2313, 2.2806, 3.3269, 5.4053", \
" 0.0674, 0.0783, 0.1247, 0.3219, 1.2313, 2.2806, 3.3269, 5.4053", \
" 0.0674, 0.0783, 0.1247, 0.3219, 1.2313, 2.2806, 3.3269, 5.4053", \
" 0.0674, 0.0783, 0.1247, 0.3219, 1.2313, 2.2806, 3.3269, 5.4053", \
" 0.0674, 0.0783, 0.1247, 0.3219, 1.2313, 2.2806, 3.3269, 5.4053" \
);
}
cell_fall (lut_timing_221 ){
values(\
" 0.0953, 0.1041, 0.1338, 0.2262, 0.6246, 1.0741, 1.5268, 2.4306", \
" 0.0953, 0.1041, 0.1338, 0.2262, 0.6246, 1.0741, 1.5268, 2.4306", \
" 0.0953, 0.1041, 0.1338, 0.2262, 0.6246, 1.0741, 1.5268, 2.4306", \
" 0.0953, 0.1041, 0.1338, 0.2262, 0.6246, 1.0741, 1.5268, 2.4306", \
" 0.0953, 0.1041, 0.1338, 0.2262, 0.6246, 1.0741, 1.5268, 2.4306", \
" 0.0953, 0.1041, 0.1338, 0.2262, 0.6246, 1.0741, 1.5268, 2.4306", \
" 0.0953, 0.1041, 0.1338, 0.2262, 0.6246, 1.0741, 1.5268, 2.4306", \
" 0.0953, 0.1041, 0.1338, 0.2262, 0.6246, 1.0741, 1.5268, 2.4306" \
);
}
} /* End of combinational arc of pin WW4BEG[2] wrt pin EE4END[13] */
} /* End of pin WW4BEG[2] */
/* Start of pin WW4BEG[1] */
pin (WW4BEG[1] ) {
direction : output ;
capacitance : 0.0027;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[1] wrt pin EE4END[14] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[14] ";
rise_transition (lut_timing_222 ){
values(\
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6867, 3.2063, 4.7203, 7.7293", \
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6867, 3.2063, 4.7203, 7.7293", \
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6867, 3.2063, 4.7203, 7.7293", \
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6867, 3.2063, 4.7203, 7.7293", \
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6867, 3.2063, 4.7203, 7.7293", \
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6867, 3.2063, 4.7203, 7.7293", \
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6867, 3.2063, 4.7203, 7.7293", \
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6867, 3.2063, 4.7203, 7.7293" \
);
}
fall_transition (lut_timing_222 ){
values(\
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9878, 3.2630", \
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9878, 3.2630", \
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9878, 3.2630", \
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9878, 3.2630", \
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9878, 3.2630", \
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9878, 3.2630", \
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9878, 3.2630", \
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9878, 3.2630" \
);
}
cell_rise (lut_timing_222 ){
values(\
" 0.0657, 0.0774, 0.1233, 0.3209, 1.2304, 2.2796, 3.3258, 5.4037", \
" 0.0657, 0.0774, 0.1233, 0.3209, 1.2304, 2.2796, 3.3258, 5.4037", \
" 0.0657, 0.0774, 0.1233, 0.3209, 1.2304, 2.2796, 3.3258, 5.4037", \
" 0.0657, 0.0774, 0.1233, 0.3209, 1.2304, 2.2796, 3.3258, 5.4037", \
" 0.0657, 0.0774, 0.1233, 0.3209, 1.2304, 2.2796, 3.3258, 5.4037", \
" 0.0657, 0.0774, 0.1233, 0.3209, 1.2304, 2.2796, 3.3258, 5.4037", \
" 0.0657, 0.0774, 0.1233, 0.3209, 1.2304, 2.2796, 3.3258, 5.4037", \
" 0.0657, 0.0774, 0.1233, 0.3209, 1.2304, 2.2796, 3.3258, 5.4037" \
);
}
cell_fall (lut_timing_222 ){
values(\
" 0.0938, 0.1032, 0.1323, 0.2252, 0.6231, 1.0728, 1.5252, 2.4288", \
" 0.0938, 0.1032, 0.1323, 0.2252, 0.6231, 1.0728, 1.5252, 2.4288", \
" 0.0938, 0.1032, 0.1323, 0.2252, 0.6231, 1.0728, 1.5252, 2.4288", \
" 0.0938, 0.1032, 0.1323, 0.2252, 0.6231, 1.0728, 1.5252, 2.4288", \
" 0.0938, 0.1032, 0.1323, 0.2252, 0.6231, 1.0728, 1.5252, 2.4288", \
" 0.0938, 0.1032, 0.1323, 0.2252, 0.6231, 1.0728, 1.5252, 2.4288", \
" 0.0938, 0.1032, 0.1323, 0.2252, 0.6231, 1.0728, 1.5252, 2.4288", \
" 0.0938, 0.1032, 0.1323, 0.2252, 0.6231, 1.0728, 1.5252, 2.4288" \
);
}
} /* End of combinational arc of pin WW4BEG[1] wrt pin EE4END[14] */
/* Start of combinational arc of pin WW4BEG[1] wrt pin EE4END[14] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[14] ";
rise_transition (lut_timing_223 ){
values(\
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6866, 3.2062, 4.7201, 7.7294", \
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6866, 3.2062, 4.7201, 7.7294", \
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6866, 3.2062, 4.7201, 7.7294", \
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6866, 3.2062, 4.7201, 7.7294", \
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6866, 3.2062, 4.7201, 7.7294", \
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6866, 3.2062, 4.7201, 7.7294", \
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6866, 3.2062, 4.7201, 7.7294", \
" 0.0207, 0.0326, 0.0912, 0.3729, 1.6866, 3.2062, 4.7201, 7.7294" \
);
}
fall_transition (lut_timing_223 ){
values(\
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9877, 3.2631", \
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9877, 3.2631", \
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9877, 3.2631", \
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9877, 3.2631", \
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9877, 3.2631", \
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9877, 3.2631", \
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9877, 3.2631", \
" 0.0194, 0.0258, 0.0508, 0.1623, 0.7121, 1.3502, 1.9877, 3.2631" \
);
}
cell_rise (lut_timing_223 ){
values(\
" 0.0662, 0.0780, 0.1243, 0.3213, 1.2308, 2.2800, 3.3262, 5.4044", \
" 0.0662, 0.0780, 0.1243, 0.3213, 1.2308, 2.2800, 3.3262, 5.4044", \
" 0.0662, 0.0780, 0.1243, 0.3213, 1.2308, 2.2800, 3.3262, 5.4044", \
" 0.0662, 0.0780, 0.1243, 0.3213, 1.2308, 2.2800, 3.3262, 5.4044", \
" 0.0662, 0.0780, 0.1243, 0.3213, 1.2308, 2.2800, 3.3262, 5.4044", \
" 0.0662, 0.0780, 0.1243, 0.3213, 1.2308, 2.2800, 3.3262, 5.4044", \
" 0.0662, 0.0780, 0.1243, 0.3213, 1.2308, 2.2800, 3.3262, 5.4044", \
" 0.0662, 0.0780, 0.1243, 0.3213, 1.2308, 2.2800, 3.3262, 5.4044" \
);
}
cell_fall (lut_timing_223 ){
values(\
" 0.0943, 0.1038, 0.1334, 0.2257, 0.6235, 1.0733, 1.5256, 2.4293", \
" 0.0943, 0.1038, 0.1334, 0.2257, 0.6235, 1.0733, 1.5256, 2.4293", \
" 0.0943, 0.1038, 0.1334, 0.2257, 0.6235, 1.0733, 1.5256, 2.4293", \
" 0.0943, 0.1038, 0.1334, 0.2257, 0.6235, 1.0733, 1.5256, 2.4293", \
" 0.0943, 0.1038, 0.1334, 0.2257, 0.6235, 1.0733, 1.5256, 2.4293", \
" 0.0943, 0.1038, 0.1334, 0.2257, 0.6235, 1.0733, 1.5256, 2.4293", \
" 0.0943, 0.1038, 0.1334, 0.2257, 0.6235, 1.0733, 1.5256, 2.4293", \
" 0.0943, 0.1038, 0.1334, 0.2257, 0.6235, 1.0733, 1.5256, 2.4293" \
);
}
} /* End of combinational arc of pin WW4BEG[1] wrt pin EE4END[14] */
} /* End of pin WW4BEG[1] */
/* Start of pin WW4BEG[0] */
pin (WW4BEG[0] ) {
direction : output ;
capacitance : 0.0050;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin WW4BEG[0] wrt pin EE4END[15] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" EE4END[15] ";
rise_transition (lut_timing_224 ){
values(\
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633", \
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633", \
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633", \
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633", \
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633", \
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633", \
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633", \
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633" \
);
}
fall_transition (lut_timing_224 ){
values(\
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955", \
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955", \
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955", \
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955", \
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955", \
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955", \
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955", \
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955" \
);
}
cell_rise (lut_timing_224 ){
values(\
" 0.0690, 0.0771, 0.1239, 0.3213, 1.2311, 2.2804, 3.3270, 5.4060", \
" 0.0690, 0.0771, 0.1239, 0.3213, 1.2311, 2.2804, 3.3270, 5.4060", \
" 0.0690, 0.0771, 0.1239, 0.3213, 1.2311, 2.2804, 3.3270, 5.4060", \
" 0.0690, 0.0771, 0.1239, 0.3213, 1.2311, 2.2804, 3.3270, 5.4060", \
" 0.0690, 0.0771, 0.1239, 0.3213, 1.2311, 2.2804, 3.3270, 5.4060", \
" 0.0690, 0.0771, 0.1239, 0.3213, 1.2311, 2.2804, 3.3270, 5.4060", \
" 0.0690, 0.0771, 0.1239, 0.3213, 1.2311, 2.2804, 3.3270, 5.4060", \
" 0.0690, 0.0771, 0.1239, 0.3213, 1.2311, 2.2804, 3.3270, 5.4060" \
);
}
cell_fall (lut_timing_224 ){
values(\
" 0.0965, 0.1030, 0.1330, 0.2258, 0.6258, 1.0753, 1.5280, 2.4326", \
" 0.0965, 0.1030, 0.1330, 0.2258, 0.6258, 1.0753, 1.5280, 2.4326", \
" 0.0965, 0.1030, 0.1330, 0.2258, 0.6258, 1.0753, 1.5280, 2.4326", \
" 0.0965, 0.1030, 0.1330, 0.2258, 0.6258, 1.0753, 1.5280, 2.4326", \
" 0.0965, 0.1030, 0.1330, 0.2258, 0.6258, 1.0753, 1.5280, 2.4326", \
" 0.0965, 0.1030, 0.1330, 0.2258, 0.6258, 1.0753, 1.5280, 2.4326", \
" 0.0965, 0.1030, 0.1330, 0.2258, 0.6258, 1.0753, 1.5280, 2.4326", \
" 0.0965, 0.1030, 0.1330, 0.2258, 0.6258, 1.0753, 1.5280, 2.4326" \
);
}
} /* End of combinational arc of pin WW4BEG[0] wrt pin EE4END[15] */
/* Start of combinational arc of pin WW4BEG[0] wrt pin EE4END[15] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" EE4END[15] ";
rise_transition (lut_timing_225 ){
values(\
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633", \
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633", \
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633", \
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633", \
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633", \
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633", \
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633", \
" 0.0241, 0.0326, 0.0912, 0.3743, 1.6938, 3.2199, 4.7405, 7.7633" \
);
}
fall_transition (lut_timing_225 ){
values(\
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955", \
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955", \
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955", \
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955", \
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955", \
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955", \
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955", \
" 0.0213, 0.0258, 0.0508, 0.1632, 0.7181, 1.3633, 2.0078, 3.2955" \
);
}
cell_rise (lut_timing_225 ){
values(\
" 0.0696, 0.0778, 0.1244, 0.3218, 1.2316, 2.2809, 3.3275, 5.4065", \
" 0.0696, 0.0778, 0.1244, 0.3218, 1.2316, 2.2809, 3.3275, 5.4065", \
" 0.0696, 0.0778, 0.1244, 0.3218, 1.2316, 2.2809, 3.3275, 5.4065", \
" 0.0696, 0.0778, 0.1244, 0.3218, 1.2316, 2.2809, 3.3275, 5.4065", \
" 0.0696, 0.0778, 0.1244, 0.3218, 1.2316, 2.2809, 3.3275, 5.4065", \
" 0.0696, 0.0778, 0.1244, 0.3218, 1.2316, 2.2809, 3.3275, 5.4065", \
" 0.0696, 0.0778, 0.1244, 0.3218, 1.2316, 2.2809, 3.3275, 5.4065", \
" 0.0696, 0.0778, 0.1244, 0.3218, 1.2316, 2.2809, 3.3275, 5.4065" \
);
}
cell_fall (lut_timing_225 ){
values(\
" 0.0971, 0.1036, 0.1335, 0.2263, 0.6263, 1.0758, 1.5285, 2.4331", \
" 0.0971, 0.1036, 0.1335, 0.2263, 0.6263, 1.0758, 1.5285, 2.4331", \
" 0.0971, 0.1036, 0.1335, 0.2263, 0.6263, 1.0758, 1.5285, 2.4331", \
" 0.0971, 0.1036, 0.1335, 0.2263, 0.6263, 1.0758, 1.5285, 2.4331", \
" 0.0971, 0.1036, 0.1335, 0.2263, 0.6263, 1.0758, 1.5285, 2.4331", \
" 0.0971, 0.1036, 0.1335, 0.2263, 0.6263, 1.0758, 1.5285, 2.4331", \
" 0.0971, 0.1036, 0.1335, 0.2263, 0.6263, 1.0758, 1.5285, 2.4331", \
" 0.0971, 0.1036, 0.1335, 0.2263, 0.6263, 1.0758, 1.5285, 2.4331" \
);
}
} /* End of combinational arc of pin WW4BEG[0] wrt pin EE4END[15] */
} /* End of pin WW4BEG[0] */
}
type (bus6){
base_type : array ;
data_type : bit ;
bit_width : 8;
bit_from : 7;
bit_to : 0;
downto : true ;
}
bus (W2BEGb ){
bus_type : bus6 ;
/* Start of pin W2BEGb[7] */
pin (W2BEGb[7] ) {
direction : output ;
capacitance : 0.0040;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W2BEGb[7] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_226 ){
values(\
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577" \
);
}
fall_transition (lut_timing_226 ){
values(\
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884", \
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884", \
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884", \
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884", \
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884", \
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884", \
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884", \
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884" \
);
}
cell_rise (lut_timing_226 ){
values(\
" 0.7747, 0.7847, 0.8314, 1.0301, 1.9376, 2.9842, 4.0320, 6.1217", \
" 0.7802, 0.7902, 0.8369, 1.0356, 1.9431, 2.9897, 4.0375, 6.1272", \
" 0.7901, 0.8001, 0.8468, 1.0455, 1.9530, 2.9996, 4.0474, 6.1371", \
" 0.8063, 0.8163, 0.8630, 1.0617, 1.9692, 3.0158, 4.0636, 6.1533", \
" 0.8379, 0.8479, 0.8946, 1.0933, 2.0008, 3.0474, 4.0952, 6.1849", \
" 0.8866, 0.8966, 0.9433, 1.1420, 2.0495, 3.0961, 4.1439, 6.2336", \
" 0.9521, 0.9621, 1.0088, 1.2075, 2.1150, 3.1616, 4.2094, 6.2991", \
" 1.0291, 1.0391, 1.0858, 1.2845, 2.1920, 3.2386, 4.2864, 6.3761" \
);
}
cell_fall (lut_timing_226 ){
values(\
" 0.9541, 0.9617, 0.9907, 1.0842, 1.4825, 1.9310, 2.3834, 3.2894", \
" 0.9598, 0.9674, 0.9964, 1.0899, 1.4882, 1.9367, 2.3891, 3.2951", \
" 0.9696, 0.9772, 1.0062, 1.0997, 1.4980, 1.9465, 2.3989, 3.3049", \
" 0.9860, 0.9936, 1.0226, 1.1161, 1.5144, 1.9629, 2.4153, 3.3213", \
" 1.0174, 1.0250, 1.0540, 1.1475, 1.5458, 1.9943, 2.4467, 3.3527", \
" 1.0641, 1.0717, 1.1007, 1.1942, 1.5925, 2.0410, 2.4934, 3.3994", \
" 1.1253, 1.1329, 1.1619, 1.2554, 1.6537, 2.1022, 2.5546, 3.4606", \
" 1.1931, 1.2007, 1.2297, 1.3232, 1.7215, 2.1700, 2.6224, 3.5284" \
);
}
} /* End of rising_edge arc of pin W2BEGb[7] wrt pin UserCLK */
/* Start of rising_edge arc of pin W2BEGb[7] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_227 ){
values(\
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577" \
);
}
fall_transition (lut_timing_227 ){
values(\
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885", \
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885", \
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885", \
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885", \
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885", \
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885", \
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885", \
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885" \
);
}
cell_rise (lut_timing_227 ){
values(\
" 0.7754, 0.7855, 0.8328, 1.0308, 1.9383, 2.9849, 4.0327, 6.1222", \
" 0.7809, 0.7910, 0.8383, 1.0363, 1.9438, 2.9904, 4.0382, 6.1277", \
" 0.7896, 0.7997, 0.8470, 1.0450, 1.9525, 2.9991, 4.0469, 6.1364", \
" 0.8070, 0.8171, 0.8644, 1.0624, 1.9699, 3.0165, 4.0643, 6.1538", \
" 0.8387, 0.8488, 0.8961, 1.0941, 2.0016, 3.0482, 4.0960, 6.1855", \
" 0.8873, 0.8974, 0.9447, 1.1427, 2.0502, 3.0968, 4.1446, 6.2341", \
" 0.9293, 0.9394, 0.9867, 1.1847, 2.0922, 3.1388, 4.1866, 6.2761", \
" 1.0298, 1.0399, 1.0872, 1.2852, 2.1927, 3.2393, 4.2871, 6.3766" \
);
}
cell_fall (lut_timing_227 ){
values(\
" 0.9553, 0.9630, 0.9925, 1.0854, 1.4836, 1.9322, 2.3846, 3.2906", \
" 0.9610, 0.9687, 0.9982, 1.0911, 1.4893, 1.9379, 2.3903, 3.2963", \
" 0.9696, 0.9773, 1.0068, 1.0997, 1.4979, 1.9465, 2.3989, 3.3049", \
" 0.9872, 0.9949, 1.0244, 1.1173, 1.5155, 1.9641, 2.4165, 3.3225", \
" 1.0185, 1.0262, 1.0557, 1.1486, 1.5468, 1.9954, 2.4478, 3.3538", \
" 1.0653, 1.0730, 1.1025, 1.1954, 1.5936, 2.0422, 2.4946, 3.4006", \
" 1.1048, 1.1125, 1.1420, 1.2349, 1.6331, 2.0817, 2.5341, 3.4401", \
" 1.1943, 1.2020, 1.2315, 1.3244, 1.7226, 2.1712, 2.6236, 3.5296" \
);
}
} /* End of rising_edge arc of pin W2BEGb[7] wrt pin UserCLK */
/* Start of combinational arc of pin W2BEGb[7] wrt pin OPA_I3 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPA_I3 ";
rise_transition (lut_timing_228 ){
values(\
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577" \
);
}
fall_transition (lut_timing_228 ){
values(\
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884", \
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884", \
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884", \
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884", \
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884", \
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884", \
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884", \
" 0.0210, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2884" \
);
}
cell_rise (lut_timing_228 ){
values(\
" 0.3480, 0.3580, 0.4047, 0.6034, 1.5109, 2.5575, 3.6053, 5.6950", \
" 0.3480, 0.3580, 0.4047, 0.6034, 1.5109, 2.5575, 3.6053, 5.6950", \
" 0.3480, 0.3580, 0.4047, 0.6034, 1.5109, 2.5575, 3.6053, 5.6950", \
" 0.3480, 0.3580, 0.4047, 0.6034, 1.5109, 2.5575, 3.6053, 5.6950", \
" 0.3480, 0.3580, 0.4047, 0.6034, 1.5109, 2.5575, 3.6053, 5.6950", \
" 0.3480, 0.3580, 0.4047, 0.6034, 1.5109, 2.5575, 3.6053, 5.6950", \
" 0.3480, 0.3580, 0.4047, 0.6034, 1.5109, 2.5575, 3.6053, 5.6950", \
" 0.3480, 0.3580, 0.4047, 0.6034, 1.5109, 2.5575, 3.6053, 5.6950" \
);
}
cell_fall (lut_timing_228 ){
values(\
" 0.5196, 0.5272, 0.5562, 0.6497, 1.0480, 1.4965, 1.9489, 2.8549", \
" 0.5196, 0.5272, 0.5562, 0.6497, 1.0480, 1.4965, 1.9489, 2.8549", \
" 0.5196, 0.5272, 0.5562, 0.6497, 1.0480, 1.4965, 1.9489, 2.8549", \
" 0.5196, 0.5272, 0.5562, 0.6497, 1.0480, 1.4965, 1.9489, 2.8549", \
" 0.5196, 0.5272, 0.5562, 0.6497, 1.0480, 1.4965, 1.9489, 2.8549", \
" 0.5196, 0.5272, 0.5562, 0.6497, 1.0480, 1.4965, 1.9489, 2.8549", \
" 0.5196, 0.5272, 0.5562, 0.6497, 1.0480, 1.4965, 1.9489, 2.8549", \
" 0.5196, 0.5272, 0.5562, 0.6497, 1.0480, 1.4965, 1.9489, 2.8549" \
);
}
} /* End of combinational arc of pin W2BEGb[7] wrt pin OPA_I3 */
/* Start of combinational arc of pin W2BEGb[7] wrt pin OPA_I3 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPA_I3 ";
rise_transition (lut_timing_229 ){
values(\
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577", \
" 0.0257, 0.0353, 0.0922, 0.3731, 1.6857, 3.2057, 4.7251, 7.7577" \
);
}
fall_transition (lut_timing_229 ){
values(\
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885", \
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885", \
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885", \
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885", \
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885", \
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885", \
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885", \
" 0.0211, 0.0263, 0.0510, 0.1629, 0.7129, 1.3536, 1.9961, 3.2885" \
);
}
cell_rise (lut_timing_229 ){
values(\
" 0.3487, 0.3588, 0.4061, 0.6041, 1.5116, 2.5582, 3.6060, 5.6955", \
" 0.3487, 0.3588, 0.4061, 0.6041, 1.5116, 2.5582, 3.6060, 5.6955", \
" 0.3487, 0.3588, 0.4061, 0.6041, 1.5116, 2.5582, 3.6060, 5.6955", \
" 0.3487, 0.3588, 0.4061, 0.6041, 1.5116, 2.5582, 3.6060, 5.6955", \
" 0.3487, 0.3588, 0.4061, 0.6041, 1.5116, 2.5582, 3.6060, 5.6955", \
" 0.3487, 0.3588, 0.4061, 0.6041, 1.5116, 2.5582, 3.6060, 5.6955", \
" 0.3487, 0.3588, 0.4061, 0.6041, 1.5116, 2.5582, 3.6060, 5.6955", \
" 0.3487, 0.3588, 0.4061, 0.6041, 1.5116, 2.5582, 3.6060, 5.6955" \
);
}
cell_fall (lut_timing_229 ){
values(\
" 0.5208, 0.5285, 0.5580, 0.6509, 1.0491, 1.4977, 1.9501, 2.8561", \
" 0.5208, 0.5285, 0.5580, 0.6509, 1.0491, 1.4977, 1.9501, 2.8561", \
" 0.5208, 0.5285, 0.5580, 0.6509, 1.0491, 1.4977, 1.9501, 2.8561", \
" 0.5208, 0.5285, 0.5580, 0.6509, 1.0491, 1.4977, 1.9501, 2.8561", \
" 0.5208, 0.5285, 0.5580, 0.6509, 1.0491, 1.4977, 1.9501, 2.8561", \
" 0.5208, 0.5285, 0.5580, 0.6509, 1.0491, 1.4977, 1.9501, 2.8561", \
" 0.5208, 0.5285, 0.5580, 0.6509, 1.0491, 1.4977, 1.9501, 2.8561", \
" 0.5208, 0.5285, 0.5580, 0.6509, 1.0491, 1.4977, 1.9501, 2.8561" \
);
}
} /* End of combinational arc of pin W2BEGb[7] wrt pin OPA_I3 */
} /* End of pin W2BEGb[7] */
/* Start of pin W2BEGb[6] */
pin (W2BEGb[6] ) {
direction : output ;
capacitance : 0.0031;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin W2BEGb[6] wrt pin E2END[1] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E2END[1] ";
rise_transition (lut_timing_230 ){
values(\
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342" \
);
}
fall_transition (lut_timing_230 ){
values(\
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677" \
);
}
cell_rise (lut_timing_230 ){
values(\
" 0.0651, 0.0761, 0.1220, 0.3197, 1.2292, 2.2785, 3.3248, 5.4028", \
" 0.0651, 0.0761, 0.1220, 0.3197, 1.2292, 2.2785, 3.3248, 5.4028", \
" 0.0651, 0.0761, 0.1220, 0.3197, 1.2292, 2.2785, 3.3248, 5.4028", \
" 0.0651, 0.0761, 0.1220, 0.3197, 1.2292, 2.2785, 3.3248, 5.4028", \
" 0.0651, 0.0761, 0.1220, 0.3197, 1.2292, 2.2785, 3.3248, 5.4028", \
" 0.0651, 0.0761, 0.1220, 0.3197, 1.2292, 2.2785, 3.3248, 5.4028", \
" 0.0651, 0.0761, 0.1220, 0.3197, 1.2292, 2.2785, 3.3248, 5.4028", \
" 0.0651, 0.0761, 0.1220, 0.3197, 1.2292, 2.2785, 3.3248, 5.4028" \
);
}
cell_fall (lut_timing_230 ){
values(\
" 0.0931, 0.1019, 0.1311, 0.2241, 0.6222, 1.0718, 1.5245, 2.4281", \
" 0.0931, 0.1019, 0.1311, 0.2241, 0.6222, 1.0718, 1.5245, 2.4281", \
" 0.0931, 0.1019, 0.1311, 0.2241, 0.6222, 1.0718, 1.5245, 2.4281", \
" 0.0931, 0.1019, 0.1311, 0.2241, 0.6222, 1.0718, 1.5245, 2.4281", \
" 0.0931, 0.1019, 0.1311, 0.2241, 0.6222, 1.0718, 1.5245, 2.4281", \
" 0.0931, 0.1019, 0.1311, 0.2241, 0.6222, 1.0718, 1.5245, 2.4281", \
" 0.0931, 0.1019, 0.1311, 0.2241, 0.6222, 1.0718, 1.5245, 2.4281", \
" 0.0931, 0.1019, 0.1311, 0.2241, 0.6222, 1.0718, 1.5245, 2.4281" \
);
}
} /* End of combinational arc of pin W2BEGb[6] wrt pin E2END[1] */
/* Start of combinational arc of pin W2BEGb[6] wrt pin E2END[1] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E2END[1] ";
rise_transition (lut_timing_231 ){
values(\
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6877, 3.2083, 4.7232, 7.7342" \
);
}
fall_transition (lut_timing_231 ){
values(\
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7130, 1.3522, 1.9908, 3.2677" \
);
}
cell_rise (lut_timing_231 ){
values(\
" 0.0657, 0.0767, 0.1231, 0.3202, 1.2297, 2.2790, 3.3253, 5.4033", \
" 0.0657, 0.0767, 0.1231, 0.3202, 1.2297, 2.2790, 3.3253, 5.4033", \
" 0.0657, 0.0767, 0.1231, 0.3202, 1.2297, 2.2790, 3.3253, 5.4033", \
" 0.0657, 0.0767, 0.1231, 0.3202, 1.2297, 2.2790, 3.3253, 5.4033", \
" 0.0657, 0.0767, 0.1231, 0.3202, 1.2297, 2.2790, 3.3253, 5.4033", \
" 0.0657, 0.0767, 0.1231, 0.3202, 1.2297, 2.2790, 3.3253, 5.4033", \
" 0.0657, 0.0767, 0.1231, 0.3202, 1.2297, 2.2790, 3.3253, 5.4033", \
" 0.0657, 0.0767, 0.1231, 0.3202, 1.2297, 2.2790, 3.3253, 5.4033" \
);
}
cell_fall (lut_timing_231 ){
values(\
" 0.0937, 0.1025, 0.1322, 0.2246, 0.6227, 1.0723, 1.5250, 2.4286", \
" 0.0937, 0.1025, 0.1322, 0.2246, 0.6227, 1.0723, 1.5250, 2.4286", \
" 0.0937, 0.1025, 0.1322, 0.2246, 0.6227, 1.0723, 1.5250, 2.4286", \
" 0.0937, 0.1025, 0.1322, 0.2246, 0.6227, 1.0723, 1.5250, 2.4286", \
" 0.0937, 0.1025, 0.1322, 0.2246, 0.6227, 1.0723, 1.5250, 2.4286", \
" 0.0937, 0.1025, 0.1322, 0.2246, 0.6227, 1.0723, 1.5250, 2.4286", \
" 0.0937, 0.1025, 0.1322, 0.2246, 0.6227, 1.0723, 1.5250, 2.4286", \
" 0.0937, 0.1025, 0.1322, 0.2246, 0.6227, 1.0723, 1.5250, 2.4286" \
);
}
} /* End of combinational arc of pin W2BEGb[6] wrt pin E2END[1] */
} /* End of pin W2BEGb[6] */
/* Start of pin W2BEGb[5] */
pin (W2BEGb[5] ) {
direction : output ;
capacitance : 0.0031;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin W2BEGb[5] wrt pin E2END[2] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E2END[2] ";
rise_transition (lut_timing_232 ){
values(\
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357" \
);
}
fall_transition (lut_timing_232 ){
values(\
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692" \
);
}
cell_rise (lut_timing_232 ){
values(\
" 0.0658, 0.0768, 0.1227, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036", \
" 0.0658, 0.0768, 0.1227, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036", \
" 0.0658, 0.0768, 0.1227, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036", \
" 0.0658, 0.0768, 0.1227, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036", \
" 0.0658, 0.0768, 0.1227, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036", \
" 0.0658, 0.0768, 0.1227, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036", \
" 0.0658, 0.0768, 0.1227, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036", \
" 0.0658, 0.0768, 0.1227, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036" \
);
}
cell_fall (lut_timing_232 ){
values(\
" 0.0937, 0.1026, 0.1318, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290", \
" 0.0937, 0.1026, 0.1318, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290", \
" 0.0937, 0.1026, 0.1318, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290", \
" 0.0937, 0.1026, 0.1318, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290", \
" 0.0937, 0.1026, 0.1318, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290", \
" 0.0937, 0.1026, 0.1318, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290", \
" 0.0937, 0.1026, 0.1318, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290", \
" 0.0937, 0.1026, 0.1318, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290" \
);
}
} /* End of combinational arc of pin W2BEGb[5] wrt pin E2END[2] */
/* Start of combinational arc of pin W2BEGb[5] wrt pin E2END[2] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E2END[2] ";
rise_transition (lut_timing_233 ){
values(\
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357", \
" 0.0213, 0.0326, 0.0912, 0.3731, 1.6880, 3.2089, 4.7241, 7.7357" \
);
}
fall_transition (lut_timing_233 ){
values(\
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692", \
" 0.0198, 0.0258, 0.0508, 0.1625, 0.7132, 1.3528, 1.9917, 3.2692" \
);
}
cell_rise (lut_timing_233 ){
values(\
" 0.0658, 0.0769, 0.1233, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036", \
" 0.0658, 0.0769, 0.1233, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036", \
" 0.0658, 0.0769, 0.1233, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036", \
" 0.0658, 0.0769, 0.1233, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036", \
" 0.0658, 0.0769, 0.1233, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036", \
" 0.0658, 0.0769, 0.1233, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036", \
" 0.0658, 0.0769, 0.1233, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036", \
" 0.0658, 0.0769, 0.1233, 0.3204, 1.2300, 2.2792, 3.3255, 5.4036" \
);
}
cell_fall (lut_timing_233 ){
values(\
" 0.0938, 0.1027, 0.1324, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290", \
" 0.0938, 0.1027, 0.1324, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290", \
" 0.0938, 0.1027, 0.1324, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290", \
" 0.0938, 0.1027, 0.1324, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290", \
" 0.0938, 0.1027, 0.1324, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290", \
" 0.0938, 0.1027, 0.1324, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290", \
" 0.0938, 0.1027, 0.1324, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290", \
" 0.0938, 0.1027, 0.1324, 0.2249, 0.6231, 1.0726, 1.5253, 2.4290" \
);
}
} /* End of combinational arc of pin W2BEGb[5] wrt pin E2END[2] */
} /* End of pin W2BEGb[5] */
/* Start of pin W2BEGb[4] */
pin (W2BEGb[4] ) {
direction : output ;
capacitance : 0.0058;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W2BEGb[4] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_234 ){
values(\
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837" \
);
}
fall_transition (lut_timing_234 ){
values(\
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131", \
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131", \
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131", \
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131", \
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131", \
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131", \
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131", \
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131" \
);
}
cell_rise (lut_timing_234 ){
values(\
" 0.7687, 0.7758, 0.8225, 1.0215, 1.9289, 2.9757, 4.0240, 6.1165", \
" 0.7742, 0.7813, 0.8280, 1.0270, 1.9344, 2.9812, 4.0295, 6.1220", \
" 0.7841, 0.7912, 0.8379, 1.0369, 1.9443, 2.9911, 4.0394, 6.1319", \
" 0.8003, 0.8074, 0.8541, 1.0531, 1.9605, 3.0073, 4.0556, 6.1481", \
" 0.8319, 0.8390, 0.8857, 1.0847, 1.9921, 3.0389, 4.0872, 6.1797", \
" 0.8806, 0.8877, 0.9344, 1.1334, 2.0408, 3.0876, 4.1359, 6.2284", \
" 0.9461, 0.9532, 0.9999, 1.1989, 2.1063, 3.1531, 4.2014, 6.2939", \
" 1.0230, 1.0301, 1.0768, 1.2758, 2.1832, 3.2300, 4.2783, 6.3708" \
);
}
cell_fall (lut_timing_234 ){
values(\
" 0.9496, 0.9550, 0.9836, 1.0782, 1.4778, 1.9262, 2.3788, 3.2850", \
" 0.9554, 0.9608, 0.9894, 1.0840, 1.4836, 1.9320, 2.3846, 3.2908", \
" 0.9652, 0.9706, 0.9992, 1.0938, 1.4934, 1.9418, 2.3944, 3.3006", \
" 0.9816, 0.9870, 1.0156, 1.1102, 1.5098, 1.9582, 2.4108, 3.3170", \
" 1.0128, 1.0182, 1.0468, 1.1414, 1.5410, 1.9894, 2.4420, 3.3482", \
" 1.0596, 1.0650, 1.0936, 1.1882, 1.5878, 2.0362, 2.4888, 3.3950", \
" 1.1208, 1.1262, 1.1548, 1.2494, 1.6490, 2.0974, 2.5500, 3.4562", \
" 1.1887, 1.1941, 1.2227, 1.3173, 1.7169, 2.1653, 2.6179, 3.5241" \
);
}
} /* End of rising_edge arc of pin W2BEGb[4] wrt pin UserCLK */
/* Start of rising_edge arc of pin W2BEGb[4] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_235 ){
values(\
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837" \
);
}
fall_transition (lut_timing_235 ){
values(\
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132", \
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132", \
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132", \
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132", \
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132", \
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132", \
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132", \
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132" \
);
}
cell_rise (lut_timing_235 ){
values(\
" 0.7694, 0.7767, 0.8232, 1.0222, 1.9296, 2.9764, 4.0247, 6.1170", \
" 0.7749, 0.7822, 0.8287, 1.0277, 1.9351, 2.9819, 4.0302, 6.1225", \
" 0.7835, 0.7908, 0.8373, 1.0363, 1.9437, 2.9905, 4.0388, 6.1311", \
" 0.8010, 0.8083, 0.8548, 1.0538, 1.9612, 3.0080, 4.0563, 6.1486", \
" 0.8326, 0.8399, 0.8864, 1.0854, 1.9928, 3.0396, 4.0879, 6.1802", \
" 0.8813, 0.8886, 0.9351, 1.1341, 2.0415, 3.0883, 4.1366, 6.2289", \
" 0.9233, 0.9306, 0.9771, 1.1761, 2.0835, 3.1303, 4.1786, 6.2709", \
" 1.0237, 1.0310, 1.0775, 1.2765, 2.1839, 3.2307, 4.2790, 6.3713" \
);
}
cell_fall (lut_timing_235 ){
values(\
" 0.9508, 0.9563, 0.9847, 1.0793, 1.4789, 1.9273, 2.3799, 3.2861", \
" 0.9565, 0.9620, 0.9904, 1.0850, 1.4846, 1.9330, 2.3856, 3.2918", \
" 0.9651, 0.9706, 0.9990, 1.0936, 1.4932, 1.9416, 2.3942, 3.3004", \
" 0.9827, 0.9882, 1.0166, 1.1112, 1.5108, 1.9592, 2.4118, 3.3180", \
" 1.0140, 1.0195, 1.0479, 1.1425, 1.5421, 1.9905, 2.4431, 3.3493", \
" 1.0607, 1.0662, 1.0946, 1.1892, 1.5888, 2.0372, 2.4898, 3.3960", \
" 1.1003, 1.1058, 1.1342, 1.2288, 1.6284, 2.0768, 2.5294, 3.4356", \
" 1.1898, 1.1953, 1.2237, 1.3183, 1.7179, 2.1663, 2.6189, 3.5251" \
);
}
} /* End of rising_edge arc of pin W2BEGb[4] wrt pin UserCLK */
/* Start of combinational arc of pin W2BEGb[4] wrt pin OPA_I2 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPA_I2 ";
rise_transition (lut_timing_236 ){
values(\
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7407, 7.7837" \
);
}
fall_transition (lut_timing_236 ){
values(\
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131", \
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131", \
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131", \
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131", \
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131", \
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131", \
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131", \
" 0.0224, 0.0262, 0.0513, 0.1637, 0.7175, 1.3638, 2.0117, 3.3131" \
);
}
cell_rise (lut_timing_236 ){
values(\
" 0.3381, 0.3452, 0.3919, 0.5909, 1.4983, 2.5451, 3.5934, 5.6859", \
" 0.3381, 0.3452, 0.3919, 0.5909, 1.4983, 2.5451, 3.5934, 5.6859", \
" 0.3381, 0.3452, 0.3919, 0.5909, 1.4983, 2.5451, 3.5934, 5.6859", \
" 0.3381, 0.3452, 0.3919, 0.5909, 1.4983, 2.5451, 3.5934, 5.6859", \
" 0.3381, 0.3452, 0.3919, 0.5909, 1.4983, 2.5451, 3.5934, 5.6859", \
" 0.3381, 0.3452, 0.3919, 0.5909, 1.4983, 2.5451, 3.5934, 5.6859", \
" 0.3381, 0.3452, 0.3919, 0.5909, 1.4983, 2.5451, 3.5934, 5.6859", \
" 0.3381, 0.3452, 0.3919, 0.5909, 1.4983, 2.5451, 3.5934, 5.6859" \
);
}
cell_fall (lut_timing_236 ){
values(\
" 0.5116, 0.5170, 0.5456, 0.6402, 1.0398, 1.4882, 1.9408, 2.8470", \
" 0.5116, 0.5170, 0.5456, 0.6402, 1.0398, 1.4882, 1.9408, 2.8470", \
" 0.5116, 0.5170, 0.5456, 0.6402, 1.0398, 1.4882, 1.9408, 2.8470", \
" 0.5116, 0.5170, 0.5456, 0.6402, 1.0398, 1.4882, 1.9408, 2.8470", \
" 0.5116, 0.5170, 0.5456, 0.6402, 1.0398, 1.4882, 1.9408, 2.8470", \
" 0.5116, 0.5170, 0.5456, 0.6402, 1.0398, 1.4882, 1.9408, 2.8470", \
" 0.5116, 0.5170, 0.5456, 0.6402, 1.0398, 1.4882, 1.9408, 2.8470", \
" 0.5116, 0.5170, 0.5456, 0.6402, 1.0398, 1.4882, 1.9408, 2.8470" \
);
}
} /* End of combinational arc of pin W2BEGb[4] wrt pin OPA_I2 */
/* Start of combinational arc of pin W2BEGb[4] wrt pin OPA_I2 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPA_I2 ";
rise_transition (lut_timing_237 ){
values(\
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837", \
" 0.0279, 0.0349, 0.0924, 0.3741, 1.6913, 3.2163, 4.7408, 7.7837" \
);
}
fall_transition (lut_timing_237 ){
values(\
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132", \
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132", \
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132", \
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132", \
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132", \
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132", \
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132", \
" 0.0224, 0.0262, 0.0514, 0.1637, 0.7175, 1.3638, 2.0117, 3.3132" \
);
}
cell_rise (lut_timing_237 ){
values(\
" 0.3387, 0.3460, 0.3925, 0.5915, 1.4989, 2.5457, 3.5940, 5.6863", \
" 0.3387, 0.3460, 0.3925, 0.5915, 1.4989, 2.5457, 3.5940, 5.6863", \
" 0.3387, 0.3460, 0.3925, 0.5915, 1.4989, 2.5457, 3.5940, 5.6863", \
" 0.3387, 0.3460, 0.3925, 0.5915, 1.4989, 2.5457, 3.5940, 5.6863", \
" 0.3387, 0.3460, 0.3925, 0.5915, 1.4989, 2.5457, 3.5940, 5.6863", \
" 0.3387, 0.3460, 0.3925, 0.5915, 1.4989, 2.5457, 3.5940, 5.6863", \
" 0.3387, 0.3460, 0.3925, 0.5915, 1.4989, 2.5457, 3.5940, 5.6863", \
" 0.3387, 0.3460, 0.3925, 0.5915, 1.4989, 2.5457, 3.5940, 5.6863" \
);
}
cell_fall (lut_timing_237 ){
values(\
" 0.5127, 0.5182, 0.5466, 0.6412, 1.0408, 1.4892, 1.9418, 2.8480", \
" 0.5127, 0.5182, 0.5466, 0.6412, 1.0408, 1.4892, 1.9418, 2.8480", \
" 0.5127, 0.5182, 0.5466, 0.6412, 1.0408, 1.4892, 1.9418, 2.8480", \
" 0.5127, 0.5182, 0.5466, 0.6412, 1.0408, 1.4892, 1.9418, 2.8480", \
" 0.5127, 0.5182, 0.5466, 0.6412, 1.0408, 1.4892, 1.9418, 2.8480", \
" 0.5127, 0.5182, 0.5466, 0.6412, 1.0408, 1.4892, 1.9418, 2.8480", \
" 0.5127, 0.5182, 0.5466, 0.6412, 1.0408, 1.4892, 1.9418, 2.8480", \
" 0.5127, 0.5182, 0.5466, 0.6412, 1.0408, 1.4892, 1.9418, 2.8480" \
);
}
} /* End of combinational arc of pin W2BEGb[4] wrt pin OPA_I2 */
} /* End of pin W2BEGb[4] */
/* Start of pin W2BEGb[3] */
pin (W2BEGb[3] ) {
direction : output ;
capacitance : 0.0040;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W2BEGb[3] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_238 ){
values(\
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579" \
);
}
fall_transition (lut_timing_238 ){
values(\
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885", \
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885", \
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885", \
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885", \
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885", \
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885", \
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885", \
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885" \
);
}
cell_rise (lut_timing_238 ){
values(\
" 0.7429, 0.7528, 0.7995, 0.9981, 1.9053, 2.9520, 4.0001, 6.0910", \
" 0.7484, 0.7583, 0.8050, 1.0036, 1.9108, 2.9575, 4.0056, 6.0965", \
" 0.7583, 0.7682, 0.8149, 1.0135, 1.9207, 2.9674, 4.0155, 6.1064", \
" 0.7745, 0.7844, 0.8311, 1.0297, 1.9369, 2.9836, 4.0317, 6.1226", \
" 0.8061, 0.8160, 0.8627, 1.0613, 1.9685, 3.0152, 4.0633, 6.1542", \
" 0.8548, 0.8647, 0.9114, 1.1100, 2.0172, 3.0639, 4.1120, 6.2029", \
" 0.9203, 0.9302, 0.9769, 1.1755, 2.0827, 3.1294, 4.1775, 6.2684", \
" 0.9972, 1.0071, 1.0538, 1.2524, 2.1596, 3.2063, 4.2544, 6.3453" \
);
}
cell_fall (lut_timing_238 ){
values(\
" 0.9094, 0.9169, 0.9459, 1.0395, 1.4377, 1.8862, 2.3387, 3.2445", \
" 0.9152, 0.9227, 0.9517, 1.0453, 1.4435, 1.8920, 2.3445, 3.2503", \
" 0.9249, 0.9324, 0.9614, 1.0550, 1.4532, 1.9017, 2.3542, 3.2600", \
" 0.9414, 0.9489, 0.9779, 1.0715, 1.4697, 1.9182, 2.3707, 3.2765", \
" 0.9727, 0.9802, 1.0092, 1.1028, 1.5010, 1.9495, 2.4020, 3.3078", \
" 1.0194, 1.0269, 1.0559, 1.1495, 1.5477, 1.9962, 2.4487, 3.3545", \
" 1.0807, 1.0882, 1.1172, 1.2108, 1.6090, 2.0575, 2.5100, 3.4158", \
" 1.1485, 1.1560, 1.1850, 1.2786, 1.6768, 2.1253, 2.5778, 3.4836" \
);
}
} /* End of rising_edge arc of pin W2BEGb[3] wrt pin UserCLK */
/* Start of rising_edge arc of pin W2BEGb[3] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_239 ){
values(\
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579" \
);
}
fall_transition (lut_timing_239 ){
values(\
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886", \
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886", \
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886", \
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886", \
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886", \
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886", \
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886", \
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886" \
);
}
cell_rise (lut_timing_239 ){
values(\
" 0.7436, 0.7536, 0.8009, 0.9988, 1.9060, 2.9527, 4.0008, 6.0915", \
" 0.7491, 0.7591, 0.8064, 1.0043, 1.9115, 2.9582, 4.0063, 6.0970", \
" 0.7578, 0.7678, 0.8151, 1.0130, 1.9202, 2.9669, 4.0150, 6.1057", \
" 0.7752, 0.7852, 0.8325, 1.0304, 1.9376, 2.9843, 4.0324, 6.1231", \
" 0.8067, 0.8167, 0.8640, 1.0619, 1.9691, 3.0158, 4.0639, 6.1546", \
" 0.8555, 0.8655, 0.9128, 1.1107, 2.0179, 3.0646, 4.1127, 6.2034", \
" 0.8975, 0.9075, 0.9548, 1.1527, 2.0599, 3.1066, 4.1547, 6.2454", \
" 0.9980, 1.0080, 1.0553, 1.2532, 2.1604, 3.2071, 4.2552, 6.3459" \
);
}
cell_fall (lut_timing_239 ){
values(\
" 0.9106, 0.9183, 0.9478, 1.0407, 1.4389, 1.8875, 2.3400, 3.2457", \
" 0.9162, 0.9239, 0.9534, 1.0463, 1.4445, 1.8931, 2.3456, 3.2513", \
" 0.9249, 0.9326, 0.9621, 1.0550, 1.4532, 1.9018, 2.3543, 3.2600", \
" 0.9424, 0.9501, 0.9796, 1.0725, 1.4707, 1.9193, 2.3718, 3.2775", \
" 0.9737, 0.9814, 1.0109, 1.1038, 1.5020, 1.9506, 2.4031, 3.3088", \
" 1.0205, 1.0282, 1.0577, 1.1506, 1.5488, 1.9974, 2.4499, 3.3556", \
" 1.0600, 1.0677, 1.0972, 1.1901, 1.5883, 2.0369, 2.4894, 3.3951", \
" 1.1495, 1.1572, 1.1867, 1.2796, 1.6778, 2.1264, 2.5789, 3.4846" \
);
}
} /* End of rising_edge arc of pin W2BEGb[3] wrt pin UserCLK */
/* Start of combinational arc of pin W2BEGb[3] wrt pin OPA_I1 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPA_I1 ";
rise_transition (lut_timing_240 ){
values(\
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579" \
);
}
fall_transition (lut_timing_240 ){
values(\
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885", \
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885", \
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885", \
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885", \
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885", \
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885", \
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885", \
" 0.0210, 0.0262, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2885" \
);
}
cell_rise (lut_timing_240 ){
values(\
" 0.3412, 0.3511, 0.3978, 0.5964, 1.5036, 2.5503, 3.5984, 5.6893", \
" 0.3412, 0.3511, 0.3978, 0.5964, 1.5036, 2.5503, 3.5984, 5.6893", \
" 0.3412, 0.3511, 0.3978, 0.5964, 1.5036, 2.5503, 3.5984, 5.6893", \
" 0.3412, 0.3511, 0.3978, 0.5964, 1.5036, 2.5503, 3.5984, 5.6893", \
" 0.3412, 0.3511, 0.3978, 0.5964, 1.5036, 2.5503, 3.5984, 5.6893", \
" 0.3412, 0.3511, 0.3978, 0.5964, 1.5036, 2.5503, 3.5984, 5.6893", \
" 0.3412, 0.3511, 0.3978, 0.5964, 1.5036, 2.5503, 3.5984, 5.6893", \
" 0.3412, 0.3511, 0.3978, 0.5964, 1.5036, 2.5503, 3.5984, 5.6893" \
);
}
cell_fall (lut_timing_240 ){
values(\
" 0.5142, 0.5217, 0.5507, 0.6443, 1.0425, 1.4910, 1.9435, 2.8493", \
" 0.5142, 0.5217, 0.5507, 0.6443, 1.0425, 1.4910, 1.9435, 2.8493", \
" 0.5142, 0.5217, 0.5507, 0.6443, 1.0425, 1.4910, 1.9435, 2.8493", \
" 0.5142, 0.5217, 0.5507, 0.6443, 1.0425, 1.4910, 1.9435, 2.8493", \
" 0.5142, 0.5217, 0.5507, 0.6443, 1.0425, 1.4910, 1.9435, 2.8493", \
" 0.5142, 0.5217, 0.5507, 0.6443, 1.0425, 1.4910, 1.9435, 2.8493", \
" 0.5142, 0.5217, 0.5507, 0.6443, 1.0425, 1.4910, 1.9435, 2.8493", \
" 0.5142, 0.5217, 0.5507, 0.6443, 1.0425, 1.4910, 1.9435, 2.8493" \
);
}
} /* End of combinational arc of pin W2BEGb[3] wrt pin OPA_I1 */
/* Start of combinational arc of pin W2BEGb[3] wrt pin OPA_I1 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPA_I1 ";
rise_transition (lut_timing_241 ){
values(\
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579", \
" 0.0255, 0.0351, 0.0921, 0.3733, 1.6861, 3.2057, 4.7252, 7.7579" \
);
}
fall_transition (lut_timing_241 ){
values(\
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886", \
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886", \
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886", \
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886", \
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886", \
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886", \
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886", \
" 0.0211, 0.0263, 0.0509, 0.1629, 0.7130, 1.3537, 1.9963, 3.2886" \
);
}
cell_rise (lut_timing_241 ){
values(\
" 0.3419, 0.3519, 0.3992, 0.5971, 1.5043, 2.5510, 3.5991, 5.6898", \
" 0.3419, 0.3519, 0.3992, 0.5971, 1.5043, 2.5510, 3.5991, 5.6898", \
" 0.3419, 0.3519, 0.3992, 0.5971, 1.5043, 2.5510, 3.5991, 5.6898", \
" 0.3419, 0.3519, 0.3992, 0.5971, 1.5043, 2.5510, 3.5991, 5.6898", \
" 0.3419, 0.3519, 0.3992, 0.5971, 1.5043, 2.5510, 3.5991, 5.6898", \
" 0.3419, 0.3519, 0.3992, 0.5971, 1.5043, 2.5510, 3.5991, 5.6898", \
" 0.3419, 0.3519, 0.3992, 0.5971, 1.5043, 2.5510, 3.5991, 5.6898", \
" 0.3419, 0.3519, 0.3992, 0.5971, 1.5043, 2.5510, 3.5991, 5.6898" \
);
}
cell_fall (lut_timing_241 ){
values(\
" 0.5153, 0.5230, 0.5525, 0.6454, 1.0436, 1.4922, 1.9447, 2.8504", \
" 0.5153, 0.5230, 0.5525, 0.6454, 1.0436, 1.4922, 1.9447, 2.8504", \
" 0.5153, 0.5230, 0.5525, 0.6454, 1.0436, 1.4922, 1.9447, 2.8504", \
" 0.5153, 0.5230, 0.5525, 0.6454, 1.0436, 1.4922, 1.9447, 2.8504", \
" 0.5153, 0.5230, 0.5525, 0.6454, 1.0436, 1.4922, 1.9447, 2.8504", \
" 0.5153, 0.5230, 0.5525, 0.6454, 1.0436, 1.4922, 1.9447, 2.8504", \
" 0.5153, 0.5230, 0.5525, 0.6454, 1.0436, 1.4922, 1.9447, 2.8504", \
" 0.5153, 0.5230, 0.5525, 0.6454, 1.0436, 1.4922, 1.9447, 2.8504" \
);
}
} /* End of combinational arc of pin W2BEGb[3] wrt pin OPA_I1 */
} /* End of pin W2BEGb[3] */
/* Start of pin W2BEGb[2] */
pin (W2BEGb[2] ) {
direction : output ;
capacitance : 0.0039;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin W2BEGb[2] wrt pin E2END[5] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E2END[5] ";
rise_transition (lut_timing_242 ){
values(\
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481", \
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481", \
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481", \
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481", \
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481", \
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481", \
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481", \
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481" \
);
}
fall_transition (lut_timing_242 ){
values(\
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810", \
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810", \
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810", \
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810", \
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810", \
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810", \
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810", \
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810" \
);
}
cell_rise (lut_timing_242 ){
values(\
" 0.0670, 0.0768, 0.1228, 0.3207, 1.2304, 2.2795, 3.3260, 5.4046", \
" 0.0670, 0.0768, 0.1228, 0.3207, 1.2304, 2.2795, 3.3260, 5.4046", \
" 0.0670, 0.0768, 0.1228, 0.3207, 1.2304, 2.2795, 3.3260, 5.4046", \
" 0.0670, 0.0768, 0.1228, 0.3207, 1.2304, 2.2795, 3.3260, 5.4046", \
" 0.0670, 0.0768, 0.1228, 0.3207, 1.2304, 2.2795, 3.3260, 5.4046", \
" 0.0670, 0.0768, 0.1228, 0.3207, 1.2304, 2.2795, 3.3260, 5.4046", \
" 0.0670, 0.0768, 0.1228, 0.3207, 1.2304, 2.2795, 3.3260, 5.4046", \
" 0.0670, 0.0768, 0.1228, 0.3207, 1.2304, 2.2795, 3.3260, 5.4046" \
);
}
cell_fall (lut_timing_242 ){
values(\
" 0.0948, 0.1026, 0.1319, 0.2252, 0.6243, 1.0738, 1.5265, 2.4305", \
" 0.0948, 0.1026, 0.1319, 0.2252, 0.6243, 1.0738, 1.5265, 2.4305", \
" 0.0948, 0.1026, 0.1319, 0.2252, 0.6243, 1.0738, 1.5265, 2.4305", \
" 0.0948, 0.1026, 0.1319, 0.2252, 0.6243, 1.0738, 1.5265, 2.4305", \
" 0.0948, 0.1026, 0.1319, 0.2252, 0.6243, 1.0738, 1.5265, 2.4305", \
" 0.0948, 0.1026, 0.1319, 0.2252, 0.6243, 1.0738, 1.5265, 2.4305", \
" 0.0948, 0.1026, 0.1319, 0.2252, 0.6243, 1.0738, 1.5265, 2.4305", \
" 0.0948, 0.1026, 0.1319, 0.2252, 0.6243, 1.0738, 1.5265, 2.4305" \
);
}
} /* End of combinational arc of pin W2BEGb[2] wrt pin E2END[5] */
/* Start of combinational arc of pin W2BEGb[2] wrt pin E2END[5] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E2END[5] ";
rise_transition (lut_timing_243 ){
values(\
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481", \
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481", \
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481", \
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481", \
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481", \
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481", \
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481", \
" 0.0225, 0.0326, 0.0912, 0.3738, 1.6906, 3.2138, 4.7315, 7.7481" \
);
}
fall_transition (lut_timing_243 ){
values(\
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810", \
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810", \
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810", \
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810", \
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810", \
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810", \
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810", \
" 0.0204, 0.0258, 0.0508, 0.1628, 0.7154, 1.3575, 1.9988, 3.2810" \
);
}
cell_rise (lut_timing_243 ){
values(\
" 0.0670, 0.0769, 0.1234, 0.3206, 1.2303, 2.2794, 3.3259, 5.4045", \
" 0.0670, 0.0769, 0.1234, 0.3206, 1.2303, 2.2794, 3.3259, 5.4045", \
" 0.0670, 0.0769, 0.1234, 0.3206, 1.2303, 2.2794, 3.3259, 5.4045", \
" 0.0670, 0.0769, 0.1234, 0.3206, 1.2303, 2.2794, 3.3259, 5.4045", \
" 0.0670, 0.0769, 0.1234, 0.3206, 1.2303, 2.2794, 3.3259, 5.4045", \
" 0.0670, 0.0769, 0.1234, 0.3206, 1.2303, 2.2794, 3.3259, 5.4045", \
" 0.0670, 0.0769, 0.1234, 0.3206, 1.2303, 2.2794, 3.3259, 5.4045", \
" 0.0670, 0.0769, 0.1234, 0.3206, 1.2303, 2.2794, 3.3259, 5.4045" \
);
}
cell_fall (lut_timing_243 ){
values(\
" 0.0948, 0.1027, 0.1324, 0.2251, 0.6242, 1.0737, 1.5264, 2.4304", \
" 0.0948, 0.1027, 0.1324, 0.2251, 0.6242, 1.0737, 1.5264, 2.4304", \
" 0.0948, 0.1027, 0.1324, 0.2251, 0.6242, 1.0737, 1.5264, 2.4304", \
" 0.0948, 0.1027, 0.1324, 0.2251, 0.6242, 1.0737, 1.5264, 2.4304", \
" 0.0948, 0.1027, 0.1324, 0.2251, 0.6242, 1.0737, 1.5264, 2.4304", \
" 0.0948, 0.1027, 0.1324, 0.2251, 0.6242, 1.0737, 1.5264, 2.4304", \
" 0.0948, 0.1027, 0.1324, 0.2251, 0.6242, 1.0737, 1.5264, 2.4304", \
" 0.0948, 0.1027, 0.1324, 0.2251, 0.6242, 1.0737, 1.5264, 2.4304" \
);
}
} /* End of combinational arc of pin W2BEGb[2] wrt pin E2END[5] */
} /* End of pin W2BEGb[2] */
/* Start of pin W2BEGb[1] */
pin (W2BEGb[1] ) {
direction : output ;
capacitance : 0.0028;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin W2BEGb[1] wrt pin E2END[6] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E2END[6] ";
rise_transition (lut_timing_244 ){
values(\
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334", \
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334", \
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334", \
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334", \
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334", \
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334", \
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334", \
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334" \
);
}
fall_transition (lut_timing_244 ){
values(\
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669", \
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669", \
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669", \
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669", \
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669", \
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669", \
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669", \
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669" \
);
}
cell_rise (lut_timing_244 ){
values(\
" 0.0656, 0.0771, 0.1230, 0.3206, 1.2302, 2.2794, 3.3257, 5.4037", \
" 0.0656, 0.0771, 0.1230, 0.3206, 1.2302, 2.2794, 3.3257, 5.4037", \
" 0.0656, 0.0771, 0.1230, 0.3206, 1.2302, 2.2794, 3.3257, 5.4037", \
" 0.0656, 0.0771, 0.1230, 0.3206, 1.2302, 2.2794, 3.3257, 5.4037", \
" 0.0656, 0.0771, 0.1230, 0.3206, 1.2302, 2.2794, 3.3257, 5.4037", \
" 0.0656, 0.0771, 0.1230, 0.3206, 1.2302, 2.2794, 3.3257, 5.4037", \
" 0.0656, 0.0771, 0.1230, 0.3206, 1.2302, 2.2794, 3.3257, 5.4037", \
" 0.0656, 0.0771, 0.1230, 0.3206, 1.2302, 2.2794, 3.3257, 5.4037" \
);
}
cell_fall (lut_timing_244 ){
values(\
" 0.0937, 0.1029, 0.1321, 0.2251, 0.6232, 1.0727, 1.5254, 2.4290", \
" 0.0937, 0.1029, 0.1321, 0.2251, 0.6232, 1.0727, 1.5254, 2.4290", \
" 0.0937, 0.1029, 0.1321, 0.2251, 0.6232, 1.0727, 1.5254, 2.4290", \
" 0.0937, 0.1029, 0.1321, 0.2251, 0.6232, 1.0727, 1.5254, 2.4290", \
" 0.0937, 0.1029, 0.1321, 0.2251, 0.6232, 1.0727, 1.5254, 2.4290", \
" 0.0937, 0.1029, 0.1321, 0.2251, 0.6232, 1.0727, 1.5254, 2.4290", \
" 0.0937, 0.1029, 0.1321, 0.2251, 0.6232, 1.0727, 1.5254, 2.4290", \
" 0.0937, 0.1029, 0.1321, 0.2251, 0.6232, 1.0727, 1.5254, 2.4290" \
);
}
} /* End of combinational arc of pin W2BEGb[1] wrt pin E2END[6] */
/* Start of combinational arc of pin W2BEGb[1] wrt pin E2END[6] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E2END[6] ";
rise_transition (lut_timing_245 ){
values(\
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334", \
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334", \
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334", \
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334", \
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334", \
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334", \
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334", \
" 0.0209, 0.0326, 0.0912, 0.3730, 1.6875, 3.2079, 4.7227, 7.7334" \
);
}
fall_transition (lut_timing_245 ){
values(\
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669", \
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669", \
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669", \
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669", \
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669", \
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669", \
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669", \
" 0.0196, 0.0258, 0.0508, 0.1624, 0.7128, 1.3518, 1.9903, 3.2669" \
);
}
cell_rise (lut_timing_245 ){
values(\
" 0.0656, 0.0771, 0.1235, 0.3205, 1.2301, 2.2793, 3.3256, 5.4036", \
" 0.0656, 0.0771, 0.1235, 0.3205, 1.2301, 2.2793, 3.3256, 5.4036", \
" 0.0656, 0.0771, 0.1235, 0.3205, 1.2301, 2.2793, 3.3256, 5.4036", \
" 0.0656, 0.0771, 0.1235, 0.3205, 1.2301, 2.2793, 3.3256, 5.4036", \
" 0.0656, 0.0771, 0.1235, 0.3205, 1.2301, 2.2793, 3.3256, 5.4036", \
" 0.0656, 0.0771, 0.1235, 0.3205, 1.2301, 2.2793, 3.3256, 5.4036", \
" 0.0656, 0.0771, 0.1235, 0.3205, 1.2301, 2.2793, 3.3256, 5.4036", \
" 0.0656, 0.0771, 0.1235, 0.3205, 1.2301, 2.2793, 3.3256, 5.4036" \
);
}
cell_fall (lut_timing_245 ){
values(\
" 0.0936, 0.1029, 0.1325, 0.2250, 0.6231, 1.0726, 1.5253, 2.4289", \
" 0.0936, 0.1029, 0.1325, 0.2250, 0.6231, 1.0726, 1.5253, 2.4289", \
" 0.0936, 0.1029, 0.1325, 0.2250, 0.6231, 1.0726, 1.5253, 2.4289", \
" 0.0936, 0.1029, 0.1325, 0.2250, 0.6231, 1.0726, 1.5253, 2.4289", \
" 0.0936, 0.1029, 0.1325, 0.2250, 0.6231, 1.0726, 1.5253, 2.4289", \
" 0.0936, 0.1029, 0.1325, 0.2250, 0.6231, 1.0726, 1.5253, 2.4289", \
" 0.0936, 0.1029, 0.1325, 0.2250, 0.6231, 1.0726, 1.5253, 2.4289", \
" 0.0936, 0.1029, 0.1325, 0.2250, 0.6231, 1.0726, 1.5253, 2.4289" \
);
}
} /* End of combinational arc of pin W2BEGb[1] wrt pin E2END[6] */
} /* End of pin W2BEGb[1] */
/* Start of pin W2BEGb[0] */
pin (W2BEGb[0] ) {
direction : output ;
capacitance : 0.0032;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W2BEGb[0] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_246 ){
values(\
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484" \
);
}
fall_transition (lut_timing_246 ){
values(\
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795" \
);
}
cell_rise (lut_timing_246 ){
values(\
" 0.7627, 0.7739, 0.8206, 1.0191, 1.9262, 2.9729, 4.0209, 6.1109", \
" 0.7683, 0.7795, 0.8262, 1.0247, 1.9318, 2.9785, 4.0265, 6.1165", \
" 0.7781, 0.7893, 0.8360, 1.0345, 1.9416, 2.9883, 4.0363, 6.1263", \
" 0.7943, 0.8055, 0.8522, 1.0507, 1.9578, 3.0045, 4.0525, 6.1425", \
" 0.8259, 0.8371, 0.8838, 1.0823, 1.9894, 3.0361, 4.0841, 6.1741", \
" 0.8746, 0.8858, 0.9325, 1.1310, 2.0381, 3.0848, 4.1328, 6.2228", \
" 0.9402, 0.9514, 0.9981, 1.1966, 2.1037, 3.1504, 4.1984, 6.2884", \
" 1.0171, 1.0283, 1.0750, 1.2735, 2.1806, 3.2273, 4.2753, 6.3653" \
);
}
cell_fall (lut_timing_246 ){
values(\
" 0.9458, 0.9545, 0.9834, 1.0769, 1.4745, 1.9230, 2.3754, 3.2811", \
" 0.9515, 0.9602, 0.9891, 1.0826, 1.4802, 1.9287, 2.3811, 3.2868", \
" 0.9613, 0.9700, 0.9989, 1.0924, 1.4900, 1.9385, 2.3909, 3.2966", \
" 0.9777, 0.9864, 1.0153, 1.1088, 1.5064, 1.9549, 2.4073, 3.3130", \
" 1.0090, 1.0177, 1.0466, 1.1401, 1.5377, 1.9862, 2.4386, 3.3443", \
" 1.0558, 1.0645, 1.0934, 1.1869, 1.5845, 2.0330, 2.4854, 3.3911", \
" 1.1170, 1.1257, 1.1546, 1.2481, 1.6457, 2.0942, 2.5466, 3.4523", \
" 1.1849, 1.1936, 1.2225, 1.3160, 1.7136, 2.1621, 2.6145, 3.5202" \
);
}
} /* End of rising_edge arc of pin W2BEGb[0] wrt pin UserCLK */
/* Start of rising_edge arc of pin W2BEGb[0] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_247 ){
values(\
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484" \
);
}
fall_transition (lut_timing_247 ){
values(\
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795" \
);
}
cell_rise (lut_timing_247 ){
values(\
" 0.7634, 0.7748, 0.8220, 1.0198, 1.9270, 2.9737, 4.0216, 6.1116", \
" 0.7690, 0.7804, 0.8276, 1.0254, 1.9326, 2.9793, 4.0272, 6.1172", \
" 0.7776, 0.7890, 0.8362, 1.0340, 1.9412, 2.9879, 4.0358, 6.1258", \
" 0.7951, 0.8065, 0.8537, 1.0515, 1.9587, 3.0054, 4.0533, 6.1433", \
" 0.8266, 0.8380, 0.8852, 1.0830, 1.9902, 3.0369, 4.0848, 6.1748", \
" 0.8753, 0.8867, 0.9339, 1.1317, 2.0389, 3.0856, 4.1335, 6.2235", \
" 0.9174, 0.9288, 0.9760, 1.1738, 2.0810, 3.1277, 4.1756, 6.2656", \
" 1.0177, 1.0291, 1.0763, 1.2741, 2.1813, 3.2280, 4.2759, 6.3659" \
);
}
cell_fall (lut_timing_247 ){
values(\
" 0.9471, 0.9558, 0.9852, 1.0781, 1.4757, 1.9242, 2.3766, 3.2824", \
" 0.9528, 0.9615, 0.9909, 1.0838, 1.4814, 1.9299, 2.3823, 3.2881", \
" 0.9615, 0.9702, 0.9996, 1.0925, 1.4901, 1.9386, 2.3910, 3.2968", \
" 0.9790, 0.9877, 1.0171, 1.1100, 1.5076, 1.9561, 2.4085, 3.3143", \
" 1.0103, 1.0190, 1.0484, 1.1413, 1.5389, 1.9874, 2.4398, 3.3456", \
" 1.0570, 1.0657, 1.0951, 1.1880, 1.5856, 2.0341, 2.4865, 3.3923", \
" 1.0966, 1.1053, 1.1347, 1.2276, 1.6252, 2.0737, 2.5261, 3.4319", \
" 1.1861, 1.1948, 1.2242, 1.3171, 1.7147, 2.1632, 2.6156, 3.5214" \
);
}
} /* End of rising_edge arc of pin W2BEGb[0] wrt pin UserCLK */
/* Start of combinational arc of pin W2BEGb[0] wrt pin OPA_I0 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPA_I0 ";
rise_transition (lut_timing_248 ){
values(\
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0921, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484" \
);
}
fall_transition (lut_timing_248 ){
values(\
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0509, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795" \
);
}
cell_rise (lut_timing_248 ){
values(\
" 0.3430, 0.3542, 0.4009, 0.5994, 1.5065, 2.5532, 3.6012, 5.6912", \
" 0.3430, 0.3542, 0.4009, 0.5994, 1.5065, 2.5532, 3.6012, 5.6912", \
" 0.3430, 0.3542, 0.4009, 0.5994, 1.5065, 2.5532, 3.6012, 5.6912", \
" 0.3430, 0.3542, 0.4009, 0.5994, 1.5065, 2.5532, 3.6012, 5.6912", \
" 0.3430, 0.3542, 0.4009, 0.5994, 1.5065, 2.5532, 3.6012, 5.6912", \
" 0.3430, 0.3542, 0.4009, 0.5994, 1.5065, 2.5532, 3.6012, 5.6912", \
" 0.3430, 0.3542, 0.4009, 0.5994, 1.5065, 2.5532, 3.6012, 5.6912", \
" 0.3430, 0.3542, 0.4009, 0.5994, 1.5065, 2.5532, 3.6012, 5.6912" \
);
}
cell_fall (lut_timing_248 ){
values(\
" 0.5155, 0.5242, 0.5531, 0.6466, 1.0442, 1.4927, 1.9451, 2.8508", \
" 0.5155, 0.5242, 0.5531, 0.6466, 1.0442, 1.4927, 1.9451, 2.8508", \
" 0.5155, 0.5242, 0.5531, 0.6466, 1.0442, 1.4927, 1.9451, 2.8508", \
" 0.5155, 0.5242, 0.5531, 0.6466, 1.0442, 1.4927, 1.9451, 2.8508", \
" 0.5155, 0.5242, 0.5531, 0.6466, 1.0442, 1.4927, 1.9451, 2.8508", \
" 0.5155, 0.5242, 0.5531, 0.6466, 1.0442, 1.4927, 1.9451, 2.8508", \
" 0.5155, 0.5242, 0.5531, 0.6466, 1.0442, 1.4927, 1.9451, 2.8508", \
" 0.5155, 0.5242, 0.5531, 0.6466, 1.0442, 1.4927, 1.9451, 2.8508" \
);
}
} /* End of combinational arc of pin W2BEGb[0] wrt pin OPA_I0 */
/* Start of combinational arc of pin W2BEGb[0] wrt pin OPA_I0 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPA_I0 ";
rise_transition (lut_timing_249 ){
values(\
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484", \
" 0.0244, 0.0352, 0.0922, 0.3730, 1.6841, 3.2018, 4.7195, 7.7484" \
);
}
fall_transition (lut_timing_249 ){
values(\
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795", \
" 0.0204, 0.0263, 0.0510, 0.1626, 0.7113, 1.3500, 1.9906, 3.2795" \
);
}
cell_rise (lut_timing_249 ){
values(\
" 0.3437, 0.3551, 0.4023, 0.6001, 1.5073, 2.5540, 3.6019, 5.6919", \
" 0.3437, 0.3551, 0.4023, 0.6001, 1.5073, 2.5540, 3.6019, 5.6919", \
" 0.3437, 0.3551, 0.4023, 0.6001, 1.5073, 2.5540, 3.6019, 5.6919", \
" 0.3437, 0.3551, 0.4023, 0.6001, 1.5073, 2.5540, 3.6019, 5.6919", \
" 0.3437, 0.3551, 0.4023, 0.6001, 1.5073, 2.5540, 3.6019, 5.6919", \
" 0.3437, 0.3551, 0.4023, 0.6001, 1.5073, 2.5540, 3.6019, 5.6919", \
" 0.3437, 0.3551, 0.4023, 0.6001, 1.5073, 2.5540, 3.6019, 5.6919", \
" 0.3437, 0.3551, 0.4023, 0.6001, 1.5073, 2.5540, 3.6019, 5.6919" \
);
}
cell_fall (lut_timing_249 ){
values(\
" 0.5168, 0.5255, 0.5549, 0.6478, 1.0454, 1.4939, 1.9463, 2.8521", \
" 0.5168, 0.5255, 0.5549, 0.6478, 1.0454, 1.4939, 1.9463, 2.8521", \
" 0.5168, 0.5255, 0.5549, 0.6478, 1.0454, 1.4939, 1.9463, 2.8521", \
" 0.5168, 0.5255, 0.5549, 0.6478, 1.0454, 1.4939, 1.9463, 2.8521", \
" 0.5168, 0.5255, 0.5549, 0.6478, 1.0454, 1.4939, 1.9463, 2.8521", \
" 0.5168, 0.5255, 0.5549, 0.6478, 1.0454, 1.4939, 1.9463, 2.8521", \
" 0.5168, 0.5255, 0.5549, 0.6478, 1.0454, 1.4939, 1.9463, 2.8521", \
" 0.5168, 0.5255, 0.5549, 0.6478, 1.0454, 1.4939, 1.9463, 2.8521" \
);
}
} /* End of combinational arc of pin W2BEGb[0] wrt pin OPA_I0 */
} /* End of pin W2BEGb[0] */
}
type (bus7){
base_type : array ;
data_type : bit ;
bit_width : 8;
bit_from : 7;
bit_to : 0;
downto : true ;
}
bus (W2BEG ){
bus_type : bus7 ;
/* Start of pin W2BEG[7] */
pin (W2BEG[7] ) {
direction : output ;
capacitance : 0.0076;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W2BEG[7] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_250 ){
values(\
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059" \
);
}
fall_transition (lut_timing_250 ){
values(\
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346" \
);
}
cell_rise (lut_timing_250 ){
values(\
" 0.7415, 0.7460, 0.7926, 0.9919, 1.8993, 2.9460, 3.9949, 6.0891", \
" 0.7471, 0.7516, 0.7982, 0.9975, 1.9049, 2.9516, 4.0005, 6.0947", \
" 0.7569, 0.7614, 0.8080, 1.0073, 1.9147, 2.9614, 4.0103, 6.1045", \
" 0.7731, 0.7776, 0.8242, 1.0235, 1.9309, 2.9776, 4.0265, 6.1207", \
" 0.8047, 0.8092, 0.8558, 1.0551, 1.9625, 3.0092, 4.0581, 6.1523", \
" 0.8534, 0.8579, 0.9045, 1.1038, 2.0112, 3.0579, 4.1068, 6.2010", \
" 0.9189, 0.9234, 0.9700, 1.1693, 2.0767, 3.1234, 4.1723, 6.2665", \
" 0.9958, 1.0003, 1.0469, 1.2462, 2.1536, 3.2003, 4.2492, 6.3434" \
);
}
cell_fall (lut_timing_250 ){
values(\
" 0.9283, 0.9317, 0.9603, 1.0553, 1.4559, 1.9045, 2.3569, 3.2636", \
" 0.9339, 0.9373, 0.9659, 1.0609, 1.4615, 1.9101, 2.3625, 3.2692", \
" 0.9437, 0.9471, 0.9757, 1.0707, 1.4713, 1.9199, 2.3723, 3.2790", \
" 0.9601, 0.9635, 0.9921, 1.0871, 1.4877, 1.9363, 2.3887, 3.2954", \
" 0.9913, 0.9947, 1.0233, 1.1183, 1.5189, 1.9675, 2.4199, 3.3266", \
" 1.0381, 1.0415, 1.0701, 1.1651, 1.5657, 2.0143, 2.4667, 3.3734", \
" 1.0994, 1.1028, 1.1314, 1.2264, 1.6270, 2.0756, 2.5280, 3.4347", \
" 1.1672, 1.1706, 1.1992, 1.2942, 1.6948, 2.1434, 2.5958, 3.5025" \
);
}
} /* End of rising_edge arc of pin W2BEG[7] wrt pin UserCLK */
/* Start of rising_edge arc of pin W2BEG[7] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_251 ){
values(\
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059" \
);
}
fall_transition (lut_timing_251 ){
values(\
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347" \
);
}
cell_rise (lut_timing_251 ){
values(\
" 0.7421, 0.7467, 0.7931, 0.9924, 1.8998, 2.9466, 3.9955, 6.0895", \
" 0.7477, 0.7523, 0.7987, 0.9980, 1.9054, 2.9522, 4.0011, 6.0951", \
" 0.7563, 0.7609, 0.8073, 1.0066, 1.9140, 2.9608, 4.0097, 6.1037", \
" 0.7737, 0.7783, 0.8247, 1.0240, 1.9314, 2.9782, 4.0271, 6.1211", \
" 0.8053, 0.8099, 0.8563, 1.0556, 1.9630, 3.0098, 4.0587, 6.1527", \
" 0.8540, 0.8586, 0.9050, 1.1043, 2.0117, 3.0585, 4.1074, 6.2014", \
" 0.8961, 0.9007, 0.9471, 1.1464, 2.0538, 3.1006, 4.1495, 6.2435", \
" 0.9965, 1.0011, 1.0475, 1.2468, 2.1542, 3.2010, 4.2499, 6.3439" \
);
}
cell_fall (lut_timing_251 ){
values(\
" 0.9294, 0.9328, 0.9612, 1.0562, 1.4568, 1.9055, 2.3578, 3.2645", \
" 0.9350, 0.9384, 0.9668, 1.0618, 1.4624, 1.9111, 2.3634, 3.2701", \
" 0.9437, 0.9471, 0.9755, 1.0705, 1.4711, 1.9198, 2.3721, 3.2788", \
" 0.9612, 0.9646, 0.9930, 1.0880, 1.4886, 1.9373, 2.3896, 3.2963", \
" 0.9925, 0.9959, 1.0243, 1.1193, 1.5199, 1.9686, 2.4209, 3.3276", \
" 1.0393, 1.0427, 1.0711, 1.1661, 1.5667, 2.0154, 2.4677, 3.3744", \
" 1.0788, 1.0822, 1.1106, 1.2056, 1.6062, 2.0549, 2.5072, 3.4139", \
" 1.1683, 1.1717, 1.2001, 1.2951, 1.6957, 2.1444, 2.5967, 3.5034" \
);
}
} /* End of rising_edge arc of pin W2BEG[7] wrt pin UserCLK */
/* Start of combinational arc of pin W2BEG[7] wrt pin OPB_I3 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPB_I3 ";
rise_transition (lut_timing_252 ){
values(\
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0346, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059" \
);
}
fall_transition (lut_timing_252 ){
values(\
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3346" \
);
}
cell_rise (lut_timing_252 ){
values(\
" 0.3306, 0.3351, 0.3817, 0.5810, 1.4884, 2.5351, 3.5840, 5.6782", \
" 0.3306, 0.3351, 0.3817, 0.5810, 1.4884, 2.5351, 3.5840, 5.6782", \
" 0.3306, 0.3351, 0.3817, 0.5810, 1.4884, 2.5351, 3.5840, 5.6782", \
" 0.3306, 0.3351, 0.3817, 0.5810, 1.4884, 2.5351, 3.5840, 5.6782", \
" 0.3306, 0.3351, 0.3817, 0.5810, 1.4884, 2.5351, 3.5840, 5.6782", \
" 0.3306, 0.3351, 0.3817, 0.5810, 1.4884, 2.5351, 3.5840, 5.6782", \
" 0.3306, 0.3351, 0.3817, 0.5810, 1.4884, 2.5351, 3.5840, 5.6782", \
" 0.3306, 0.3351, 0.3817, 0.5810, 1.4884, 2.5351, 3.5840, 5.6782" \
);
}
cell_fall (lut_timing_252 ){
values(\
" 0.5054, 0.5088, 0.5374, 0.6324, 1.0330, 1.4816, 1.9340, 2.8407", \
" 0.5054, 0.5088, 0.5374, 0.6324, 1.0330, 1.4816, 1.9340, 2.8407", \
" 0.5054, 0.5088, 0.5374, 0.6324, 1.0330, 1.4816, 1.9340, 2.8407", \
" 0.5054, 0.5088, 0.5374, 0.6324, 1.0330, 1.4816, 1.9340, 2.8407", \
" 0.5054, 0.5088, 0.5374, 0.6324, 1.0330, 1.4816, 1.9340, 2.8407", \
" 0.5054, 0.5088, 0.5374, 0.6324, 1.0330, 1.4816, 1.9340, 2.8407", \
" 0.5054, 0.5088, 0.5374, 0.6324, 1.0330, 1.4816, 1.9340, 2.8407", \
" 0.5054, 0.5088, 0.5374, 0.6324, 1.0330, 1.4816, 1.9340, 2.8407" \
);
}
} /* End of combinational arc of pin W2BEG[7] wrt pin OPB_I3 */
/* Start of combinational arc of pin W2BEG[7] wrt pin OPB_I3 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPB_I3 ";
rise_transition (lut_timing_253 ){
values(\
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059", \
" 0.0301, 0.0347, 0.0923, 0.3751, 1.6961, 3.2253, 4.7541, 7.8059" \
);
}
fall_transition (lut_timing_253 ){
values(\
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347", \
" 0.0238, 0.0262, 0.0514, 0.1643, 0.7215, 1.3726, 2.0251, 3.3347" \
);
}
cell_rise (lut_timing_253 ){
values(\
" 0.3314, 0.3360, 0.3824, 0.5817, 1.4891, 2.5359, 3.5848, 5.6788", \
" 0.3314, 0.3360, 0.3824, 0.5817, 1.4891, 2.5359, 3.5848, 5.6788", \
" 0.3314, 0.3360, 0.3824, 0.5817, 1.4891, 2.5359, 3.5848, 5.6788", \
" 0.3314, 0.3360, 0.3824, 0.5817, 1.4891, 2.5359, 3.5848, 5.6788", \
" 0.3314, 0.3360, 0.3824, 0.5817, 1.4891, 2.5359, 3.5848, 5.6788", \
" 0.3314, 0.3360, 0.3824, 0.5817, 1.4891, 2.5359, 3.5848, 5.6788", \
" 0.3314, 0.3360, 0.3824, 0.5817, 1.4891, 2.5359, 3.5848, 5.6788", \
" 0.3314, 0.3360, 0.3824, 0.5817, 1.4891, 2.5359, 3.5848, 5.6788" \
);
}
cell_fall (lut_timing_253 ){
values(\
" 0.5067, 0.5101, 0.5385, 0.6335, 1.0341, 1.4828, 1.9351, 2.8418", \
" 0.5067, 0.5101, 0.5385, 0.6335, 1.0341, 1.4828, 1.9351, 2.8418", \
" 0.5067, 0.5101, 0.5385, 0.6335, 1.0341, 1.4828, 1.9351, 2.8418", \
" 0.5067, 0.5101, 0.5385, 0.6335, 1.0341, 1.4828, 1.9351, 2.8418", \
" 0.5067, 0.5101, 0.5385, 0.6335, 1.0341, 1.4828, 1.9351, 2.8418", \
" 0.5067, 0.5101, 0.5385, 0.6335, 1.0341, 1.4828, 1.9351, 2.8418", \
" 0.5067, 0.5101, 0.5385, 0.6335, 1.0341, 1.4828, 1.9351, 2.8418", \
" 0.5067, 0.5101, 0.5385, 0.6335, 1.0341, 1.4828, 1.9351, 2.8418" \
);
}
} /* End of combinational arc of pin W2BEG[7] wrt pin OPB_I3 */
} /* End of pin W2BEG[7] */
/* Start of pin W2BEG[6] */
pin (W2BEG[6] ) {
direction : output ;
capacitance : 0.0033;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin W2BEG[6] wrt pin E2MID[1] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E2MID[1] ";
rise_transition (lut_timing_254 ){
values(\
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754" \
);
}
fall_transition (lut_timing_254 ){
values(\
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070" \
);
}
cell_rise (lut_timing_254 ){
values(\
" 0.0664, 0.0772, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070", \
" 0.0664, 0.0772, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070", \
" 0.0664, 0.0772, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070", \
" 0.0664, 0.0772, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070", \
" 0.0664, 0.0772, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070", \
" 0.0664, 0.0772, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070", \
" 0.0664, 0.0772, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070", \
" 0.0664, 0.0772, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070" \
);
}
cell_fall (lut_timing_254 ){
values(\
" 0.0944, 0.1030, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336", \
" 0.0944, 0.1030, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336", \
" 0.0944, 0.1030, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336", \
" 0.0944, 0.1030, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336", \
" 0.0944, 0.1030, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336", \
" 0.0944, 0.1030, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336", \
" 0.0944, 0.1030, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336", \
" 0.0944, 0.1030, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336" \
);
}
} /* End of combinational arc of pin W2BEG[6] wrt pin E2MID[1] */
/* Start of combinational arc of pin W2BEG[6] wrt pin E2MID[1] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E2MID[1] ";
rise_transition (lut_timing_255 ){
values(\
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6963, 3.2247, 4.7478, 7.7754" \
);
}
fall_transition (lut_timing_255 ){
values(\
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3674, 2.0149, 3.3070" \
);
}
cell_rise (lut_timing_255 ){
values(\
" 0.0665, 0.0773, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070", \
" 0.0665, 0.0773, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070", \
" 0.0665, 0.0773, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070", \
" 0.0665, 0.0773, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070", \
" 0.0665, 0.0773, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070", \
" 0.0665, 0.0773, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070", \
" 0.0665, 0.0773, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070", \
" 0.0665, 0.0773, 0.1232, 0.3215, 1.2314, 2.2807, 3.3275, 5.4070" \
);
}
cell_fall (lut_timing_255 ){
values(\
" 0.0944, 0.1032, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336", \
" 0.0944, 0.1032, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336", \
" 0.0944, 0.1032, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336", \
" 0.0944, 0.1032, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336", \
" 0.0944, 0.1032, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336", \
" 0.0944, 0.1032, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336", \
" 0.0944, 0.1032, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336", \
" 0.0944, 0.1032, 0.1317, 0.2261, 0.6265, 1.0761, 1.5290, 2.4336" \
);
}
} /* End of combinational arc of pin W2BEG[6] wrt pin E2MID[1] */
} /* End of pin W2BEG[6] */
/* Start of pin W2BEG[5] */
pin (W2BEG[5] ) {
direction : output ;
capacitance : 0.0031;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin W2BEG[5] wrt pin E2MID[2] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E2MID[2] ";
rise_transition (lut_timing_256 ){
values(\
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418", \
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418", \
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418", \
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418", \
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418", \
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418", \
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418", \
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418" \
);
}
fall_transition (lut_timing_256 ){
values(\
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750", \
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750", \
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750", \
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750", \
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750", \
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750", \
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750", \
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750" \
);
}
cell_rise (lut_timing_256 ){
values(\
" 0.0658, 0.0768, 0.1228, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041", \
" 0.0658, 0.0768, 0.1228, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041", \
" 0.0658, 0.0768, 0.1228, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041", \
" 0.0658, 0.0768, 0.1228, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041", \
" 0.0658, 0.0768, 0.1228, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041", \
" 0.0658, 0.0768, 0.1228, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041", \
" 0.0658, 0.0768, 0.1228, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041", \
" 0.0658, 0.0768, 0.1228, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041" \
);
}
cell_fall (lut_timing_256 ){
values(\
" 0.0938, 0.1026, 0.1318, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297", \
" 0.0938, 0.1026, 0.1318, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297", \
" 0.0938, 0.1026, 0.1318, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297", \
" 0.0938, 0.1026, 0.1318, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297", \
" 0.0938, 0.1026, 0.1318, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297", \
" 0.0938, 0.1026, 0.1318, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297", \
" 0.0938, 0.1026, 0.1318, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297", \
" 0.0938, 0.1026, 0.1318, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297" \
);
}
} /* End of combinational arc of pin W2BEG[5] wrt pin E2MID[2] */
/* Start of combinational arc of pin W2BEG[5] wrt pin E2MID[2] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E2MID[2] ";
rise_transition (lut_timing_257 ){
values(\
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418", \
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418", \
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418", \
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418", \
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418", \
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418", \
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418", \
" 0.0213, 0.0326, 0.0912, 0.3736, 1.6893, 3.2114, 4.7277, 7.7418" \
);
}
fall_transition (lut_timing_257 ){
values(\
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750", \
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750", \
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750", \
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750", \
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750", \
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750", \
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750", \
" 0.0198, 0.0258, 0.0508, 0.1627, 0.7143, 1.3551, 1.9952, 3.2750" \
);
}
cell_rise (lut_timing_257 ){
values(\
" 0.0658, 0.0769, 0.1234, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041", \
" 0.0658, 0.0769, 0.1234, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041", \
" 0.0658, 0.0769, 0.1234, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041", \
" 0.0658, 0.0769, 0.1234, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041", \
" 0.0658, 0.0769, 0.1234, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041", \
" 0.0658, 0.0769, 0.1234, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041", \
" 0.0658, 0.0769, 0.1234, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041", \
" 0.0658, 0.0769, 0.1234, 0.3206, 1.2302, 2.2793, 3.3258, 5.4041" \
);
}
cell_fall (lut_timing_257 ){
values(\
" 0.0938, 0.1028, 0.1325, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297", \
" 0.0938, 0.1028, 0.1325, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297", \
" 0.0938, 0.1028, 0.1325, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297", \
" 0.0938, 0.1028, 0.1325, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297", \
" 0.0938, 0.1028, 0.1325, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297", \
" 0.0938, 0.1028, 0.1325, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297", \
" 0.0938, 0.1028, 0.1325, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297", \
" 0.0938, 0.1028, 0.1325, 0.2249, 0.6237, 1.0731, 1.5260, 2.4297" \
);
}
} /* End of combinational arc of pin W2BEG[5] wrt pin E2MID[2] */
} /* End of pin W2BEG[5] */
/* Start of pin W2BEG[4] */
pin (W2BEG[4] ) {
direction : output ;
capacitance : 0.0047;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W2BEG[4] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_258 ){
values(\
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718", \
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718", \
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718", \
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718", \
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718", \
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718", \
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718", \
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718" \
);
}
fall_transition (lut_timing_258 ){
values(\
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017" \
);
}
cell_rise (lut_timing_258 ){
values(\
" 0.7358, 0.7444, 0.7910, 0.9897, 1.8964, 2.9431, 3.9918, 6.0861", \
" 0.7414, 0.7500, 0.7966, 0.9953, 1.9020, 2.9487, 3.9974, 6.0917", \
" 0.7511, 0.7597, 0.8063, 1.0050, 1.9117, 2.9584, 4.0071, 6.1014", \
" 0.7674, 0.7760, 0.8226, 1.0213, 1.9280, 2.9747, 4.0234, 6.1177", \
" 0.7990, 0.8076, 0.8542, 1.0529, 1.9596, 3.0063, 4.0550, 6.1493", \
" 0.8476, 0.8562, 0.9028, 1.1015, 2.0082, 3.0549, 4.1036, 6.1979", \
" 0.9133, 0.9219, 0.9685, 1.1672, 2.0739, 3.1206, 4.1693, 6.2636", \
" 0.9902, 0.9988, 1.0454, 1.2441, 2.1508, 3.1975, 4.2462, 6.3405" \
);
}
cell_fall (lut_timing_258 ){
values(\
" 0.9233, 0.9300, 0.9585, 1.0528, 1.4519, 1.9003, 2.3526, 3.2586", \
" 0.9291, 0.9358, 0.9643, 1.0586, 1.4577, 1.9061, 2.3584, 3.2644", \
" 0.9389, 0.9456, 0.9741, 1.0684, 1.4675, 1.9159, 2.3682, 3.2742", \
" 0.9553, 0.9620, 0.9905, 1.0848, 1.4839, 1.9323, 2.3846, 3.2906", \
" 0.9866, 0.9933, 1.0218, 1.1161, 1.5152, 1.9636, 2.4159, 3.3219", \
" 1.0332, 1.0399, 1.0684, 1.1627, 1.5618, 2.0102, 2.4625, 3.3685", \
" 1.0946, 1.1013, 1.1298, 1.2241, 1.6232, 2.0716, 2.5239, 3.4299", \
" 1.1624, 1.1691, 1.1976, 1.2919, 1.6910, 2.1394, 2.5917, 3.4977" \
);
}
} /* End of rising_edge arc of pin W2BEG[4] wrt pin UserCLK */
/* Start of rising_edge arc of pin W2BEG[4] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_259 ){
values(\
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718", \
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718", \
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718", \
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718", \
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718", \
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718", \
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718", \
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718" \
);
}
fall_transition (lut_timing_259 ){
values(\
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017" \
);
}
cell_rise (lut_timing_259 ){
values(\
" 0.7364, 0.7452, 0.7916, 0.9903, 1.8969, 2.9437, 3.9924, 6.0866", \
" 0.7419, 0.7507, 0.7971, 0.9958, 1.9024, 2.9492, 3.9979, 6.0921", \
" 0.7506, 0.7594, 0.8058, 1.0045, 1.9111, 2.9579, 4.0066, 6.1008", \
" 0.7680, 0.7768, 0.8232, 1.0219, 1.9285, 2.9753, 4.0240, 6.1182", \
" 0.7996, 0.8084, 0.8548, 1.0535, 1.9601, 3.0069, 4.0556, 6.1498", \
" 0.8483, 0.8571, 0.9035, 1.1022, 2.0088, 3.0556, 4.1043, 6.1985", \
" 0.8903, 0.8991, 0.9455, 1.1442, 2.0508, 3.0976, 4.1463, 6.2405", \
" 0.9907, 0.9995, 1.0459, 1.2446, 2.1512, 3.1980, 4.2467, 6.3409" \
);
}
cell_fall (lut_timing_259 ){
values(\
" 0.9244, 0.9312, 0.9595, 1.0539, 1.4529, 1.9014, 2.3537, 3.2597", \
" 0.9301, 0.9369, 0.9652, 1.0596, 1.4586, 1.9071, 2.3594, 3.2654", \
" 0.9387, 0.9455, 0.9738, 1.0682, 1.4672, 1.9157, 2.3680, 3.2740", \
" 0.9563, 0.9631, 0.9914, 1.0858, 1.4848, 1.9333, 2.3856, 3.2916", \
" 0.9876, 0.9944, 1.0227, 1.1171, 1.5161, 1.9646, 2.4169, 3.3229", \
" 1.0343, 1.0411, 1.0694, 1.1638, 1.5628, 2.0113, 2.4636, 3.3696", \
" 1.0739, 1.0807, 1.1090, 1.2034, 1.6024, 2.0509, 2.5032, 3.4092", \
" 1.1634, 1.1702, 1.1985, 1.2929, 1.6919, 2.1404, 2.5927, 3.4987" \
);
}
} /* End of rising_edge arc of pin W2BEG[4] wrt pin UserCLK */
/* Start of combinational arc of pin W2BEG[4] wrt pin OPB_I2 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPB_I2 ";
rise_transition (lut_timing_260 ){
values(\
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718", \
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718", \
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718", \
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718", \
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718", \
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718", \
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718", \
" 0.0260, 0.0346, 0.0922, 0.3739, 1.6888, 3.2114, 4.7334, 7.7718" \
);
}
fall_transition (lut_timing_260 ){
values(\
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017" \
);
}
cell_rise (lut_timing_260 ){
values(\
" 0.3244, 0.3330, 0.3796, 0.5783, 1.4850, 2.5317, 3.5804, 5.6747", \
" 0.3244, 0.3330, 0.3796, 0.5783, 1.4850, 2.5317, 3.5804, 5.6747", \
" 0.3244, 0.3330, 0.3796, 0.5783, 1.4850, 2.5317, 3.5804, 5.6747", \
" 0.3244, 0.3330, 0.3796, 0.5783, 1.4850, 2.5317, 3.5804, 5.6747", \
" 0.3244, 0.3330, 0.3796, 0.5783, 1.4850, 2.5317, 3.5804, 5.6747", \
" 0.3244, 0.3330, 0.3796, 0.5783, 1.4850, 2.5317, 3.5804, 5.6747", \
" 0.3244, 0.3330, 0.3796, 0.5783, 1.4850, 2.5317, 3.5804, 5.6747", \
" 0.3244, 0.3330, 0.3796, 0.5783, 1.4850, 2.5317, 3.5804, 5.6747" \
);
}
cell_fall (lut_timing_260 ){
values(\
" 0.5004, 0.5071, 0.5356, 0.6299, 1.0290, 1.4774, 1.9297, 2.8357", \
" 0.5004, 0.5071, 0.5356, 0.6299, 1.0290, 1.4774, 1.9297, 2.8357", \
" 0.5004, 0.5071, 0.5356, 0.6299, 1.0290, 1.4774, 1.9297, 2.8357", \
" 0.5004, 0.5071, 0.5356, 0.6299, 1.0290, 1.4774, 1.9297, 2.8357", \
" 0.5004, 0.5071, 0.5356, 0.6299, 1.0290, 1.4774, 1.9297, 2.8357", \
" 0.5004, 0.5071, 0.5356, 0.6299, 1.0290, 1.4774, 1.9297, 2.8357", \
" 0.5004, 0.5071, 0.5356, 0.6299, 1.0290, 1.4774, 1.9297, 2.8357", \
" 0.5004, 0.5071, 0.5356, 0.6299, 1.0290, 1.4774, 1.9297, 2.8357" \
);
}
} /* End of combinational arc of pin W2BEG[4] wrt pin OPB_I2 */
/* Start of combinational arc of pin W2BEG[4] wrt pin OPB_I2 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPB_I2 ";
rise_transition (lut_timing_261 ){
values(\
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718", \
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718", \
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718", \
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718", \
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718", \
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718", \
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718", \
" 0.0260, 0.0346, 0.0923, 0.3739, 1.6890, 3.2114, 4.7335, 7.7718" \
);
}
fall_transition (lut_timing_261 ){
values(\
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017", \
" 0.0215, 0.0262, 0.0513, 0.1632, 0.7155, 1.3593, 2.0047, 3.3017" \
);
}
cell_rise (lut_timing_261 ){
values(\
" 0.3251, 0.3339, 0.3803, 0.5790, 1.4856, 2.5324, 3.5811, 5.6753", \
" 0.3251, 0.3339, 0.3803, 0.5790, 1.4856, 2.5324, 3.5811, 5.6753", \
" 0.3251, 0.3339, 0.3803, 0.5790, 1.4856, 2.5324, 3.5811, 5.6753", \
" 0.3251, 0.3339, 0.3803, 0.5790, 1.4856, 2.5324, 3.5811, 5.6753", \
" 0.3251, 0.3339, 0.3803, 0.5790, 1.4856, 2.5324, 3.5811, 5.6753", \
" 0.3251, 0.3339, 0.3803, 0.5790, 1.4856, 2.5324, 3.5811, 5.6753", \
" 0.3251, 0.3339, 0.3803, 0.5790, 1.4856, 2.5324, 3.5811, 5.6753", \
" 0.3251, 0.3339, 0.3803, 0.5790, 1.4856, 2.5324, 3.5811, 5.6753" \
);
}
cell_fall (lut_timing_261 ){
values(\
" 0.5016, 0.5084, 0.5367, 0.6311, 1.0301, 1.4786, 1.9309, 2.8369", \
" 0.5016, 0.5084, 0.5367, 0.6311, 1.0301, 1.4786, 1.9309, 2.8369", \
" 0.5016, 0.5084, 0.5367, 0.6311, 1.0301, 1.4786, 1.9309, 2.8369", \
" 0.5016, 0.5084, 0.5367, 0.6311, 1.0301, 1.4786, 1.9309, 2.8369", \
" 0.5016, 0.5084, 0.5367, 0.6311, 1.0301, 1.4786, 1.9309, 2.8369", \
" 0.5016, 0.5084, 0.5367, 0.6311, 1.0301, 1.4786, 1.9309, 2.8369", \
" 0.5016, 0.5084, 0.5367, 0.6311, 1.0301, 1.4786, 1.9309, 2.8369", \
" 0.5016, 0.5084, 0.5367, 0.6311, 1.0301, 1.4786, 1.9309, 2.8369" \
);
}
} /* End of combinational arc of pin W2BEG[4] wrt pin OPB_I2 */
} /* End of pin W2BEG[4] */
/* Start of pin W2BEG[3] */
pin (W2BEG[3] ) {
direction : output ;
capacitance : 0.0072;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W2BEG[3] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_262 ){
values(\
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384", \
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384", \
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384", \
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384", \
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384", \
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384", \
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384", \
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384" \
);
}
fall_transition (lut_timing_262 ){
values(\
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677" \
);
}
cell_rise (lut_timing_262 ){
values(\
" 0.8456, 0.8506, 0.8972, 1.0969, 2.0045, 3.0514, 4.1006, 6.1962", \
" 0.8511, 0.8561, 0.9027, 1.1024, 2.0100, 3.0569, 4.1061, 6.2017", \
" 0.8609, 0.8659, 0.9125, 1.1122, 2.0198, 3.0667, 4.1159, 6.2115", \
" 0.8772, 0.8822, 0.9288, 1.1285, 2.0361, 3.0830, 4.1322, 6.2278", \
" 0.9088, 0.9138, 0.9604, 1.1601, 2.0677, 3.1146, 4.1638, 6.2594", \
" 0.9575, 0.9625, 1.0091, 1.2088, 2.1164, 3.1633, 4.2125, 6.3081", \
" 1.0230, 1.0280, 1.0746, 1.2743, 2.1819, 3.2288, 4.2780, 6.3736", \
" 1.0999, 1.1049, 1.1515, 1.3512, 2.2588, 3.3057, 4.3549, 6.4505" \
);
}
cell_fall (lut_timing_262 ){
values(\
" 1.0521, 1.0559, 1.0850, 1.1800, 1.5820, 2.0311, 2.4837, 3.3907", \
" 1.0578, 1.0616, 1.0907, 1.1857, 1.5877, 2.0368, 2.4894, 3.3964", \
" 1.0675, 1.0713, 1.1004, 1.1954, 1.5974, 2.0465, 2.4991, 3.4061", \
" 1.0840, 1.0878, 1.1169, 1.2119, 1.6139, 2.0630, 2.5156, 3.4226", \
" 1.1153, 1.1191, 1.1482, 1.2432, 1.6452, 2.0943, 2.5469, 3.4539", \
" 1.1620, 1.1658, 1.1949, 1.2899, 1.6919, 2.1410, 2.5936, 3.5006", \
" 1.2233, 1.2271, 1.2562, 1.3512, 1.7532, 2.2023, 2.6549, 3.5619", \
" 1.2910, 1.2948, 1.3239, 1.4189, 1.8209, 2.2700, 2.7226, 3.6296" \
);
}
} /* End of rising_edge arc of pin W2BEG[3] wrt pin UserCLK */
/* Start of rising_edge arc of pin W2BEG[3] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_263 ){
values(\
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385", \
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385", \
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385", \
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385", \
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385", \
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385", \
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385", \
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385" \
);
}
fall_transition (lut_timing_263 ){
values(\
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678" \
);
}
cell_rise (lut_timing_263 ){
values(\
" 0.8462, 0.8514, 0.8977, 1.0974, 2.0051, 3.0520, 4.1011, 6.1966", \
" 0.8517, 0.8569, 0.9032, 1.1029, 2.0106, 3.0575, 4.1066, 6.2021", \
" 0.8604, 0.8656, 0.9119, 1.1116, 2.0193, 3.0662, 4.1153, 6.2108", \
" 0.8778, 0.8830, 0.9293, 1.1290, 2.0367, 3.0836, 4.1327, 6.2282", \
" 0.9094, 0.9146, 0.9609, 1.1606, 2.0683, 3.1152, 4.1643, 6.2598", \
" 0.9581, 0.9633, 1.0096, 1.2093, 2.1170, 3.1639, 4.2130, 6.3085", \
" 1.0001, 1.0053, 1.0516, 1.2513, 2.1590, 3.2059, 4.2550, 6.3505", \
" 1.1006, 1.1058, 1.1521, 1.3518, 2.2595, 3.3064, 4.3555, 6.4510" \
);
}
cell_fall (lut_timing_263 ){
values(\
" 1.0531, 1.0570, 1.0858, 1.1809, 1.5829, 2.0320, 2.4845, 3.3916", \
" 1.0588, 1.0627, 1.0915, 1.1866, 1.5886, 2.0377, 2.4902, 3.3973", \
" 1.0675, 1.0714, 1.1002, 1.1953, 1.5973, 2.0464, 2.4989, 3.4060", \
" 1.0850, 1.0889, 1.1177, 1.2128, 1.6148, 2.0639, 2.5164, 3.4235", \
" 1.1162, 1.1201, 1.1489, 1.2440, 1.6460, 2.0951, 2.5476, 3.4547", \
" 1.1630, 1.1669, 1.1957, 1.2908, 1.6928, 2.1419, 2.5944, 3.5015", \
" 1.2025, 1.2064, 1.2352, 1.3303, 1.7323, 2.1814, 2.6339, 3.5410", \
" 1.2921, 1.2960, 1.3248, 1.4199, 1.8219, 2.2710, 2.7235, 3.6306" \
);
}
} /* End of rising_edge arc of pin W2BEG[3] wrt pin UserCLK */
/* Start of combinational arc of pin W2BEG[3] wrt pin OPB_I1 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPB_I1 ";
rise_transition (lut_timing_264 ){
values(\
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384", \
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384", \
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384", \
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384", \
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384", \
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384", \
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384", \
" 0.0293, 0.0344, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8384" \
);
}
fall_transition (lut_timing_264 ){
values(\
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3677" \
);
}
cell_rise (lut_timing_264 ){
values(\
" 0.3213, 0.3263, 0.3729, 0.5726, 1.4802, 2.5271, 3.5763, 5.6719", \
" 0.3213, 0.3263, 0.3729, 0.5726, 1.4802, 2.5271, 3.5763, 5.6719", \
" 0.3213, 0.3263, 0.3729, 0.5726, 1.4802, 2.5271, 3.5763, 5.6719", \
" 0.3213, 0.3263, 0.3729, 0.5726, 1.4802, 2.5271, 3.5763, 5.6719", \
" 0.3213, 0.3263, 0.3729, 0.5726, 1.4802, 2.5271, 3.5763, 5.6719", \
" 0.3213, 0.3263, 0.3729, 0.5726, 1.4802, 2.5271, 3.5763, 5.6719", \
" 0.3213, 0.3263, 0.3729, 0.5726, 1.4802, 2.5271, 3.5763, 5.6719", \
" 0.3213, 0.3263, 0.3729, 0.5726, 1.4802, 2.5271, 3.5763, 5.6719" \
);
}
cell_fall (lut_timing_264 ){
values(\
" 0.4978, 0.5016, 0.5307, 0.6257, 1.0277, 1.4768, 1.9294, 2.8364", \
" 0.4978, 0.5016, 0.5307, 0.6257, 1.0277, 1.4768, 1.9294, 2.8364", \
" 0.4978, 0.5016, 0.5307, 0.6257, 1.0277, 1.4768, 1.9294, 2.8364", \
" 0.4978, 0.5016, 0.5307, 0.6257, 1.0277, 1.4768, 1.9294, 2.8364", \
" 0.4978, 0.5016, 0.5307, 0.6257, 1.0277, 1.4768, 1.9294, 2.8364", \
" 0.4978, 0.5016, 0.5307, 0.6257, 1.0277, 1.4768, 1.9294, 2.8364", \
" 0.4978, 0.5016, 0.5307, 0.6257, 1.0277, 1.4768, 1.9294, 2.8364", \
" 0.4978, 0.5016, 0.5307, 0.6257, 1.0277, 1.4768, 1.9294, 2.8364" \
);
}
} /* End of combinational arc of pin W2BEG[3] wrt pin OPB_I1 */
/* Start of combinational arc of pin W2BEG[3] wrt pin OPB_I1 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPB_I1 ";
rise_transition (lut_timing_265 ){
values(\
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385", \
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385", \
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385", \
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385", \
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385", \
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385", \
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385", \
" 0.0293, 0.0345, 0.0923, 0.3763, 1.7029, 3.2385, 4.7737, 7.8385" \
);
}
fall_transition (lut_timing_265 ){
values(\
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678", \
" 0.0234, 0.0261, 0.0515, 0.1653, 0.7281, 1.3851, 2.0443, 3.3678" \
);
}
cell_rise (lut_timing_265 ){
values(\
" 0.3219, 0.3271, 0.3734, 0.5731, 1.4808, 2.5277, 3.5768, 5.6723", \
" 0.3219, 0.3271, 0.3734, 0.5731, 1.4808, 2.5277, 3.5768, 5.6723", \
" 0.3219, 0.3271, 0.3734, 0.5731, 1.4808, 2.5277, 3.5768, 5.6723", \
" 0.3219, 0.3271, 0.3734, 0.5731, 1.4808, 2.5277, 3.5768, 5.6723", \
" 0.3219, 0.3271, 0.3734, 0.5731, 1.4808, 2.5277, 3.5768, 5.6723", \
" 0.3219, 0.3271, 0.3734, 0.5731, 1.4808, 2.5277, 3.5768, 5.6723", \
" 0.3219, 0.3271, 0.3734, 0.5731, 1.4808, 2.5277, 3.5768, 5.6723", \
" 0.3219, 0.3271, 0.3734, 0.5731, 1.4808, 2.5277, 3.5768, 5.6723" \
);
}
cell_fall (lut_timing_265 ){
values(\
" 0.4988, 0.5027, 0.5315, 0.6266, 1.0286, 1.4777, 1.9302, 2.8373", \
" 0.4988, 0.5027, 0.5315, 0.6266, 1.0286, 1.4777, 1.9302, 2.8373", \
" 0.4988, 0.5027, 0.5315, 0.6266, 1.0286, 1.4777, 1.9302, 2.8373", \
" 0.4988, 0.5027, 0.5315, 0.6266, 1.0286, 1.4777, 1.9302, 2.8373", \
" 0.4988, 0.5027, 0.5315, 0.6266, 1.0286, 1.4777, 1.9302, 2.8373", \
" 0.4988, 0.5027, 0.5315, 0.6266, 1.0286, 1.4777, 1.9302, 2.8373", \
" 0.4988, 0.5027, 0.5315, 0.6266, 1.0286, 1.4777, 1.9302, 2.8373", \
" 0.4988, 0.5027, 0.5315, 0.6266, 1.0286, 1.4777, 1.9302, 2.8373" \
);
}
} /* End of combinational arc of pin W2BEG[3] wrt pin OPB_I1 */
} /* End of pin W2BEG[3] */
/* Start of pin W2BEG[2] */
pin (W2BEG[2] ) {
direction : output ;
capacitance : 0.0033;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin W2BEG[2] wrt pin E2MID[5] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E2MID[5] ";
rise_transition (lut_timing_266 ){
values(\
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768" \
);
}
fall_transition (lut_timing_266 ){
values(\
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084" \
);
}
cell_rise (lut_timing_266 ){
values(\
" 0.0665, 0.0773, 0.1233, 0.3216, 1.2315, 2.2809, 3.3276, 5.4072", \
" 0.0665, 0.0773, 0.1233, 0.3216, 1.2315, 2.2809, 3.3276, 5.4072", \
" 0.0665, 0.0773, 0.1233, 0.3216, 1.2315, 2.2809, 3.3276, 5.4072", \
" 0.0665, 0.0773, 0.1233, 0.3216, 1.2315, 2.2809, 3.3276, 5.4072", \
" 0.0665, 0.0773, 0.1233, 0.3216, 1.2315, 2.2809, 3.3276, 5.4072", \
" 0.0665, 0.0773, 0.1233, 0.3216, 1.2315, 2.2809, 3.3276, 5.4072", \
" 0.0665, 0.0773, 0.1233, 0.3216, 1.2315, 2.2809, 3.3276, 5.4072", \
" 0.0665, 0.0773, 0.1233, 0.3216, 1.2315, 2.2809, 3.3276, 5.4072" \
);
}
cell_fall (lut_timing_266 ){
values(\
" 0.0945, 0.1031, 0.1318, 0.2262, 0.6268, 1.0763, 1.5292, 2.4338", \
" 0.0945, 0.1031, 0.1318, 0.2262, 0.6268, 1.0763, 1.5292, 2.4338", \
" 0.0945, 0.1031, 0.1318, 0.2262, 0.6268, 1.0763, 1.5292, 2.4338", \
" 0.0945, 0.1031, 0.1318, 0.2262, 0.6268, 1.0763, 1.5292, 2.4338", \
" 0.0945, 0.1031, 0.1318, 0.2262, 0.6268, 1.0763, 1.5292, 2.4338", \
" 0.0945, 0.1031, 0.1318, 0.2262, 0.6268, 1.0763, 1.5292, 2.4338", \
" 0.0945, 0.1031, 0.1318, 0.2262, 0.6268, 1.0763, 1.5292, 2.4338", \
" 0.0945, 0.1031, 0.1318, 0.2262, 0.6268, 1.0763, 1.5292, 2.4338" \
);
}
} /* End of combinational arc of pin W2BEG[2] wrt pin E2MID[5] */
/* Start of combinational arc of pin W2BEG[2] wrt pin E2MID[5] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E2MID[5] ";
rise_transition (lut_timing_267 ){
values(\
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768", \
" 0.0216, 0.0326, 0.0914, 0.3748, 1.6967, 3.2253, 4.7487, 7.7768" \
);
}
fall_transition (lut_timing_267 ){
values(\
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084", \
" 0.0200, 0.0258, 0.0512, 0.1637, 0.7204, 1.3680, 2.0158, 3.3084" \
);
}
cell_rise (lut_timing_267 ){
values(\
" 0.0670, 0.0778, 0.1237, 0.3220, 1.2319, 2.2813, 3.3280, 5.4076", \
" 0.0670, 0.0778, 0.1237, 0.3220, 1.2319, 2.2813, 3.3280, 5.4076", \
" 0.0670, 0.0778, 0.1237, 0.3220, 1.2319, 2.2813, 3.3280, 5.4076", \
" 0.0670, 0.0778, 0.1237, 0.3220, 1.2319, 2.2813, 3.3280, 5.4076", \
" 0.0670, 0.0778, 0.1237, 0.3220, 1.2319, 2.2813, 3.3280, 5.4076", \
" 0.0670, 0.0778, 0.1237, 0.3220, 1.2319, 2.2813, 3.3280, 5.4076", \
" 0.0670, 0.0778, 0.1237, 0.3220, 1.2319, 2.2813, 3.3280, 5.4076", \
" 0.0670, 0.0778, 0.1237, 0.3220, 1.2319, 2.2813, 3.3280, 5.4076" \
);
}
cell_fall (lut_timing_267 ){
values(\
" 0.0949, 0.1037, 0.1322, 0.2266, 0.6272, 1.0767, 1.5296, 2.4342", \
" 0.0949, 0.1037, 0.1322, 0.2266, 0.6272, 1.0767, 1.5296, 2.4342", \
" 0.0949, 0.1037, 0.1322, 0.2266, 0.6272, 1.0767, 1.5296, 2.4342", \
" 0.0949, 0.1037, 0.1322, 0.2266, 0.6272, 1.0767, 1.5296, 2.4342", \
" 0.0949, 0.1037, 0.1322, 0.2266, 0.6272, 1.0767, 1.5296, 2.4342", \
" 0.0949, 0.1037, 0.1322, 0.2266, 0.6272, 1.0767, 1.5296, 2.4342", \
" 0.0949, 0.1037, 0.1322, 0.2266, 0.6272, 1.0767, 1.5296, 2.4342", \
" 0.0949, 0.1037, 0.1322, 0.2266, 0.6272, 1.0767, 1.5296, 2.4342" \
);
}
} /* End of combinational arc of pin W2BEG[2] wrt pin E2MID[5] */
} /* End of pin W2BEG[2] */
/* Start of pin W2BEG[1] */
pin (W2BEG[1] ) {
direction : output ;
capacitance : 0.0027;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin W2BEG[1] wrt pin E2MID[6] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E2MID[6] ";
rise_transition (lut_timing_268 ){
values(\
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360", \
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360", \
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360", \
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360", \
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360", \
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360", \
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360", \
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360" \
);
}
fall_transition (lut_timing_268 ){
values(\
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695", \
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695", \
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695", \
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695", \
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695", \
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695", \
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695", \
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695" \
);
}
cell_rise (lut_timing_268 ){
values(\
" 0.0656, 0.0772, 0.1231, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040", \
" 0.0656, 0.0772, 0.1231, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040", \
" 0.0656, 0.0772, 0.1231, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040", \
" 0.0656, 0.0772, 0.1231, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040", \
" 0.0656, 0.0772, 0.1231, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040", \
" 0.0656, 0.0772, 0.1231, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040", \
" 0.0656, 0.0772, 0.1231, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040", \
" 0.0656, 0.0772, 0.1231, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040" \
);
}
cell_fall (lut_timing_268 ){
values(\
" 0.0937, 0.1030, 0.1322, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295", \
" 0.0937, 0.1030, 0.1322, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295", \
" 0.0937, 0.1030, 0.1322, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295", \
" 0.0937, 0.1030, 0.1322, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295", \
" 0.0937, 0.1030, 0.1322, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295", \
" 0.0937, 0.1030, 0.1322, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295", \
" 0.0937, 0.1030, 0.1322, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295", \
" 0.0937, 0.1030, 0.1322, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295" \
);
}
} /* End of combinational arc of pin W2BEG[1] wrt pin E2MID[6] */
/* Start of combinational arc of pin W2BEG[1] wrt pin E2MID[6] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E2MID[6] ";
rise_transition (lut_timing_269 ){
values(\
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360", \
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360", \
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360", \
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360", \
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360", \
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360", \
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360", \
" 0.0208, 0.0326, 0.0912, 0.3734, 1.6881, 3.2090, 4.7243, 7.7360" \
);
}
fall_transition (lut_timing_269 ){
values(\
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695", \
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695", \
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695", \
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695", \
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695", \
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695", \
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695", \
" 0.0195, 0.0258, 0.0508, 0.1625, 0.7133, 1.3529, 1.9919, 3.2695" \
);
}
cell_rise (lut_timing_269 ){
values(\
" 0.0657, 0.0773, 0.1237, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040", \
" 0.0657, 0.0773, 0.1237, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040", \
" 0.0657, 0.0773, 0.1237, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040", \
" 0.0657, 0.0773, 0.1237, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040", \
" 0.0657, 0.0773, 0.1237, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040", \
" 0.0657, 0.0773, 0.1237, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040", \
" 0.0657, 0.0773, 0.1237, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040", \
" 0.0657, 0.0773, 0.1237, 0.3209, 1.2304, 2.2797, 3.3259, 5.4040" \
);
}
cell_fall (lut_timing_269 ){
values(\
" 0.0937, 0.1031, 0.1328, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295", \
" 0.0937, 0.1031, 0.1328, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295", \
" 0.0937, 0.1031, 0.1328, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295", \
" 0.0937, 0.1031, 0.1328, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295", \
" 0.0937, 0.1031, 0.1328, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295", \
" 0.0937, 0.1031, 0.1328, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295", \
" 0.0937, 0.1031, 0.1328, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295", \
" 0.0937, 0.1031, 0.1328, 0.2253, 0.6235, 1.0730, 1.5257, 2.4295" \
);
}
} /* End of combinational arc of pin W2BEG[1] wrt pin E2MID[6] */
} /* End of pin W2BEG[1] */
/* Start of pin W2BEG[0] */
pin (W2BEG[0] ) {
direction : output ;
capacitance : 0.0058;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of rising_edge arc of pin W2BEG[0] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
min_delay_arc : "true" ;
related_pin :" UserCLK ";
rise_transition (lut_timing_270 ){
values(\
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935" \
);
}
fall_transition (lut_timing_270 ){
values(\
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227" \
);
}
cell_rise (lut_timing_270 ){
values(\
" 0.8239, 0.8308, 0.8774, 1.0764, 1.9835, 3.0302, 4.0791, 6.1737", \
" 0.8295, 0.8364, 0.8830, 1.0820, 1.9891, 3.0358, 4.0847, 6.1793", \
" 0.8393, 0.8462, 0.8928, 1.0918, 1.9989, 3.0456, 4.0945, 6.1891", \
" 0.8556, 0.8625, 0.9091, 1.1081, 2.0152, 3.0619, 4.1108, 6.2054", \
" 0.8871, 0.8940, 0.9406, 1.1396, 2.0467, 3.0934, 4.1423, 6.2369", \
" 0.9358, 0.9427, 0.9893, 1.1883, 2.0954, 3.1421, 4.1910, 6.2856", \
" 1.0014, 1.0083, 1.0549, 1.2539, 2.1610, 3.2077, 4.2566, 6.3512", \
" 1.0783, 1.0852, 1.1318, 1.3308, 2.2379, 3.2846, 4.3335, 6.4281" \
);
}
cell_fall (lut_timing_270 ){
values(\
" 1.0373, 1.0426, 1.0712, 1.1660, 1.5660, 2.0145, 2.4671, 3.3733", \
" 1.0430, 1.0483, 1.0769, 1.1717, 1.5717, 2.0202, 2.4728, 3.3790", \
" 1.0528, 1.0581, 1.0867, 1.1815, 1.5815, 2.0300, 2.4826, 3.3888", \
" 1.0692, 1.0745, 1.1031, 1.1979, 1.5979, 2.0464, 2.4990, 3.4052", \
" 1.1005, 1.1058, 1.1344, 1.2292, 1.6292, 2.0777, 2.5303, 3.4365", \
" 1.1472, 1.1525, 1.1811, 1.2759, 1.6759, 2.1244, 2.5770, 3.4832", \
" 1.2085, 1.2138, 1.2424, 1.3372, 1.7372, 2.1857, 2.6383, 3.5445", \
" 1.2762, 1.2815, 1.3101, 1.4049, 1.8049, 2.2534, 2.7060, 3.6122" \
);
}
} /* End of rising_edge arc of pin W2BEG[0] wrt pin UserCLK */
/* Start of rising_edge arc of pin W2BEG[0] wrt pin UserCLK */
timing() {
timing_type : rising_edge ;
timing_sense : non_unate ;
related_pin :" UserCLK ";
rise_transition (lut_timing_271 ){
values(\
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935" \
);
}
fall_transition (lut_timing_271 ){
values(\
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227" \
);
}
cell_rise (lut_timing_271 ){
values(\
" 0.8245, 0.8315, 0.8779, 1.0770, 1.9841, 3.0307, 4.0797, 6.1741", \
" 0.8300, 0.8370, 0.8834, 1.0825, 1.9896, 3.0362, 4.0852, 6.1796", \
" 0.8387, 0.8457, 0.8921, 1.0912, 1.9983, 3.0449, 4.0939, 6.1883", \
" 0.8561, 0.8631, 0.9095, 1.1086, 2.0157, 3.0623, 4.1113, 6.2057", \
" 0.8876, 0.8946, 0.9410, 1.1401, 2.0472, 3.0938, 4.1428, 6.2372", \
" 0.9364, 0.9434, 0.9898, 1.1889, 2.0960, 3.1426, 4.1916, 6.2860", \
" 0.9784, 0.9854, 1.0318, 1.2309, 2.1380, 3.1846, 4.2336, 6.3280", \
" 1.0789, 1.0859, 1.1323, 1.3314, 2.2385, 3.2851, 4.3341, 6.4285" \
);
}
cell_fall (lut_timing_271 ){
values(\
" 1.0383, 1.0437, 1.0720, 1.1668, 1.5669, 2.0153, 2.4680, 3.3742", \
" 1.0440, 1.0494, 1.0777, 1.1725, 1.5726, 2.0210, 2.4737, 3.3799", \
" 1.0526, 1.0580, 1.0863, 1.1811, 1.5812, 2.0296, 2.4823, 3.3885", \
" 1.0702, 1.0756, 1.1039, 1.1987, 1.5988, 2.0472, 2.4999, 3.4061", \
" 1.1015, 1.1069, 1.1352, 1.2300, 1.6301, 2.0785, 2.5312, 3.4374", \
" 1.1483, 1.1537, 1.1820, 1.2768, 1.6769, 2.1253, 2.5780, 3.4842", \
" 1.1878, 1.1932, 1.2215, 1.3163, 1.7164, 2.1648, 2.6175, 3.5237", \
" 1.2773, 1.2827, 1.3110, 1.4058, 1.8059, 2.2543, 2.7070, 3.6132" \
);
}
} /* End of rising_edge arc of pin W2BEG[0] wrt pin UserCLK */
/* Start of combinational arc of pin W2BEG[0] wrt pin OPB_I0 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" OPB_I0 ";
rise_transition (lut_timing_272 ){
values(\
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0345, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935" \
);
}
fall_transition (lut_timing_272 ){
values(\
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3679, 2.0178, 3.3227" \
);
}
cell_rise (lut_timing_272 ){
values(\
" 0.3242, 0.3311, 0.3777, 0.5767, 1.4838, 2.5305, 3.5794, 5.6740", \
" 0.3242, 0.3311, 0.3777, 0.5767, 1.4838, 2.5305, 3.5794, 5.6740", \
" 0.3242, 0.3311, 0.3777, 0.5767, 1.4838, 2.5305, 3.5794, 5.6740", \
" 0.3242, 0.3311, 0.3777, 0.5767, 1.4838, 2.5305, 3.5794, 5.6740", \
" 0.3242, 0.3311, 0.3777, 0.5767, 1.4838, 2.5305, 3.5794, 5.6740", \
" 0.3242, 0.3311, 0.3777, 0.5767, 1.4838, 2.5305, 3.5794, 5.6740", \
" 0.3242, 0.3311, 0.3777, 0.5767, 1.4838, 2.5305, 3.5794, 5.6740", \
" 0.3242, 0.3311, 0.3777, 0.5767, 1.4838, 2.5305, 3.5794, 5.6740" \
);
}
cell_fall (lut_timing_272 ){
values(\
" 0.5002, 0.5055, 0.5341, 0.6289, 1.0289, 1.4774, 1.9300, 2.8362", \
" 0.5002, 0.5055, 0.5341, 0.6289, 1.0289, 1.4774, 1.9300, 2.8362", \
" 0.5002, 0.5055, 0.5341, 0.6289, 1.0289, 1.4774, 1.9300, 2.8362", \
" 0.5002, 0.5055, 0.5341, 0.6289, 1.0289, 1.4774, 1.9300, 2.8362", \
" 0.5002, 0.5055, 0.5341, 0.6289, 1.0289, 1.4774, 1.9300, 2.8362", \
" 0.5002, 0.5055, 0.5341, 0.6289, 1.0289, 1.4774, 1.9300, 2.8362", \
" 0.5002, 0.5055, 0.5341, 0.6289, 1.0289, 1.4774, 1.9300, 2.8362", \
" 0.5002, 0.5055, 0.5341, 0.6289, 1.0289, 1.4774, 1.9300, 2.8362" \
);
}
} /* End of combinational arc of pin W2BEG[0] wrt pin OPB_I0 */
/* Start of combinational arc of pin W2BEG[0] wrt pin OPB_I0 */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" OPB_I0 ";
rise_transition (lut_timing_273 ){
values(\
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935", \
" 0.0276, 0.0346, 0.0923, 0.3747, 1.6932, 3.2203, 4.7466, 7.7935" \
);
}
fall_transition (lut_timing_273 ){
values(\
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227", \
" 0.0224, 0.0262, 0.0514, 0.1640, 0.7194, 1.3678, 2.0178, 3.3227" \
);
}
cell_rise (lut_timing_273 ){
values(\
" 0.3248, 0.3318, 0.3782, 0.5773, 1.4844, 2.5310, 3.5800, 5.6744", \
" 0.3248, 0.3318, 0.3782, 0.5773, 1.4844, 2.5310, 3.5800, 5.6744", \
" 0.3248, 0.3318, 0.3782, 0.5773, 1.4844, 2.5310, 3.5800, 5.6744", \
" 0.3248, 0.3318, 0.3782, 0.5773, 1.4844, 2.5310, 3.5800, 5.6744", \
" 0.3248, 0.3318, 0.3782, 0.5773, 1.4844, 2.5310, 3.5800, 5.6744", \
" 0.3248, 0.3318, 0.3782, 0.5773, 1.4844, 2.5310, 3.5800, 5.6744", \
" 0.3248, 0.3318, 0.3782, 0.5773, 1.4844, 2.5310, 3.5800, 5.6744", \
" 0.3248, 0.3318, 0.3782, 0.5773, 1.4844, 2.5310, 3.5800, 5.6744" \
);
}
cell_fall (lut_timing_273 ){
values(\
" 0.5013, 0.5067, 0.5350, 0.6298, 1.0299, 1.4783, 1.9310, 2.8372", \
" 0.5013, 0.5067, 0.5350, 0.6298, 1.0299, 1.4783, 1.9310, 2.8372", \
" 0.5013, 0.5067, 0.5350, 0.6298, 1.0299, 1.4783, 1.9310, 2.8372", \
" 0.5013, 0.5067, 0.5350, 0.6298, 1.0299, 1.4783, 1.9310, 2.8372", \
" 0.5013, 0.5067, 0.5350, 0.6298, 1.0299, 1.4783, 1.9310, 2.8372", \
" 0.5013, 0.5067, 0.5350, 0.6298, 1.0299, 1.4783, 1.9310, 2.8372", \
" 0.5013, 0.5067, 0.5350, 0.6298, 1.0299, 1.4783, 1.9310, 2.8372", \
" 0.5013, 0.5067, 0.5350, 0.6298, 1.0299, 1.4783, 1.9310, 2.8372" \
);
}
} /* End of combinational arc of pin W2BEG[0] wrt pin OPB_I0 */
} /* End of pin W2BEG[0] */
}
type (bus8){
base_type : array ;
data_type : bit ;
bit_width : 4;
bit_from : 3;
bit_to : 0;
downto : true ;
}
bus (W1BEG ){
bus_type : bus8 ;
/* Start of pin W1BEG[3] */
pin (W1BEG[3] ) {
direction : output ;
capacitance : 0.0014;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin W1BEG[3] wrt pin E1END[0] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E1END[0] ";
rise_transition (lut_timing_274 ){
values(\
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139" \
);
}
fall_transition (lut_timing_274 ){
values(\
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485" \
);
}
cell_rise (lut_timing_274 ){
values(\
" 0.0635, 0.0650, 0.0772, 0.1230, 0.3204, 1.2297, 3.3250, 5.4023", \
" 0.0635, 0.0650, 0.0772, 0.1230, 0.3204, 1.2297, 3.3250, 5.4023", \
" 0.0635, 0.0650, 0.0772, 0.1230, 0.3204, 1.2297, 3.3250, 5.4023", \
" 0.0635, 0.0650, 0.0772, 0.1230, 0.3204, 1.2297, 3.3250, 5.4023", \
" 0.0635, 0.0650, 0.0772, 0.1230, 0.3204, 1.2297, 3.3250, 5.4023", \
" 0.0635, 0.0650, 0.0772, 0.1230, 0.3204, 1.2297, 3.3250, 5.4023", \
" 0.0635, 0.0650, 0.0772, 0.1230, 0.3204, 1.2297, 3.3250, 5.4023", \
" 0.0635, 0.0650, 0.0772, 0.1230, 0.3204, 1.2297, 3.3250, 5.4023" \
);
}
cell_fall (lut_timing_274 ){
values(\
" 0.0918, 0.0931, 0.1030, 0.1320, 0.2246, 0.6213, 1.5234, 2.4266", \
" 0.0918, 0.0931, 0.1030, 0.1320, 0.2246, 0.6213, 1.5234, 2.4266", \
" 0.0918, 0.0931, 0.1030, 0.1320, 0.2246, 0.6213, 1.5234, 2.4266", \
" 0.0918, 0.0931, 0.1030, 0.1320, 0.2246, 0.6213, 1.5234, 2.4266", \
" 0.0918, 0.0931, 0.1030, 0.1320, 0.2246, 0.6213, 1.5234, 2.4266", \
" 0.0918, 0.0931, 0.1030, 0.1320, 0.2246, 0.6213, 1.5234, 2.4266", \
" 0.0918, 0.0931, 0.1030, 0.1320, 0.2246, 0.6213, 1.5234, 2.4266", \
" 0.0918, 0.0931, 0.1030, 0.1320, 0.2246, 0.6213, 1.5234, 2.4266" \
);
}
} /* End of combinational arc of pin W1BEG[3] wrt pin E1END[0] */
/* Start of combinational arc of pin W1BEG[3] wrt pin E1END[0] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E1END[0] ";
rise_transition (lut_timing_275 ){
values(\
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139", \
" 0.0188, 0.0201, 0.0326, 0.0912, 0.3724, 1.6835, 4.7111, 7.7139" \
);
}
fall_transition (lut_timing_275 ){
values(\
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485", \
" 0.0184, 0.0192, 0.0258, 0.0508, 0.1619, 0.7091, 1.9790, 3.2485" \
);
}
cell_rise (lut_timing_275 ){
values(\
" 0.0635, 0.0650, 0.0773, 0.1234, 0.3204, 1.2297, 3.3250, 5.4023", \
" 0.0635, 0.0650, 0.0773, 0.1234, 0.3204, 1.2297, 3.3250, 5.4023", \
" 0.0635, 0.0650, 0.0773, 0.1234, 0.3204, 1.2297, 3.3250, 5.4023", \
" 0.0635, 0.0650, 0.0773, 0.1234, 0.3204, 1.2297, 3.3250, 5.4023", \
" 0.0635, 0.0650, 0.0773, 0.1234, 0.3204, 1.2297, 3.3250, 5.4023", \
" 0.0635, 0.0650, 0.0773, 0.1234, 0.3204, 1.2297, 3.3250, 5.4023", \
" 0.0635, 0.0650, 0.0773, 0.1234, 0.3204, 1.2297, 3.3250, 5.4023", \
" 0.0635, 0.0650, 0.0773, 0.1234, 0.3204, 1.2297, 3.3250, 5.4023" \
);
}
cell_fall (lut_timing_275 ){
values(\
" 0.0918, 0.0932, 0.1031, 0.1325, 0.2246, 0.6213, 1.5234, 2.4266", \
" 0.0918, 0.0932, 0.1031, 0.1325, 0.2246, 0.6213, 1.5234, 2.4266", \
" 0.0918, 0.0932, 0.1031, 0.1325, 0.2246, 0.6213, 1.5234, 2.4266", \
" 0.0918, 0.0932, 0.1031, 0.1325, 0.2246, 0.6213, 1.5234, 2.4266", \
" 0.0918, 0.0932, 0.1031, 0.1325, 0.2246, 0.6213, 1.5234, 2.4266", \
" 0.0918, 0.0932, 0.1031, 0.1325, 0.2246, 0.6213, 1.5234, 2.4266", \
" 0.0918, 0.0932, 0.1031, 0.1325, 0.2246, 0.6213, 1.5234, 2.4266", \
" 0.0918, 0.0932, 0.1031, 0.1325, 0.2246, 0.6213, 1.5234, 2.4266" \
);
}
} /* End of combinational arc of pin W1BEG[3] wrt pin E1END[0] */
} /* End of pin W1BEG[3] */
/* Start of pin W1BEG[2] */
pin (W1BEG[2] ) {
direction : output ;
capacitance : 0.0032;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin W1BEG[2] wrt pin E1END[1] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E1END[1] ";
rise_transition (lut_timing_276 ){
values(\
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440" \
);
}
fall_transition (lut_timing_276 ){
values(\
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771" \
);
}
cell_rise (lut_timing_276 ){
values(\
" 0.0663, 0.0772, 0.1232, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047", \
" 0.0663, 0.0772, 0.1232, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047", \
" 0.0663, 0.0772, 0.1232, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047", \
" 0.0663, 0.0772, 0.1232, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047", \
" 0.0663, 0.0772, 0.1232, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047", \
" 0.0663, 0.0772, 0.1232, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047", \
" 0.0663, 0.0772, 0.1232, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047", \
" 0.0663, 0.0772, 0.1232, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047" \
);
}
cell_fall (lut_timing_276 ){
values(\
" 0.0943, 0.1030, 0.1322, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0943, 0.1030, 0.1322, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0943, 0.1030, 0.1322, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0943, 0.1030, 0.1322, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0943, 0.1030, 0.1322, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0943, 0.1030, 0.1322, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0943, 0.1030, 0.1322, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0943, 0.1030, 0.1322, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304" \
);
}
} /* End of combinational arc of pin W1BEG[2] wrt pin E1END[1] */
/* Start of combinational arc of pin W1BEG[2] wrt pin E1END[1] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E1END[1] ";
rise_transition (lut_timing_277 ){
values(\
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440", \
" 0.0214, 0.0326, 0.0912, 0.3736, 1.6898, 3.2122, 4.7290, 7.7440" \
);
}
fall_transition (lut_timing_277 ){
values(\
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771", \
" 0.0199, 0.0258, 0.0508, 0.1627, 0.7147, 1.3559, 1.9964, 3.2771" \
);
}
cell_rise (lut_timing_277 ){
values(\
" 0.0664, 0.0773, 0.1238, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047", \
" 0.0664, 0.0773, 0.1238, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047", \
" 0.0664, 0.0773, 0.1238, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047", \
" 0.0664, 0.0773, 0.1238, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047", \
" 0.0664, 0.0773, 0.1238, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047", \
" 0.0664, 0.0773, 0.1238, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047", \
" 0.0664, 0.0773, 0.1238, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047", \
" 0.0664, 0.0773, 0.1238, 0.3211, 1.2306, 2.2798, 3.3263, 5.4047" \
);
}
cell_fall (lut_timing_277 ){
values(\
" 0.0943, 0.1032, 0.1329, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0943, 0.1032, 0.1329, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0943, 0.1032, 0.1329, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0943, 0.1032, 0.1329, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0943, 0.1032, 0.1329, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0943, 0.1032, 0.1329, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0943, 0.1032, 0.1329, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0943, 0.1032, 0.1329, 0.2255, 0.6243, 1.0738, 1.5265, 2.4304" \
);
}
} /* End of combinational arc of pin W1BEG[2] wrt pin E1END[1] */
} /* End of pin W1BEG[2] */
/* Start of pin W1BEG[1] */
pin (W1BEG[1] ) {
direction : output ;
capacitance : 0.0023;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin W1BEG[1] wrt pin E1END[2] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E1END[2] ";
rise_transition (lut_timing_278 ){
values(\
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278", \
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278", \
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278", \
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278", \
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278", \
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278", \
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278", \
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278" \
);
}
fall_transition (lut_timing_278 ){
values(\
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616", \
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616", \
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616", \
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616", \
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616", \
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616", \
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616", \
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616" \
);
}
cell_rise (lut_timing_278 ){
values(\
" 0.0649, 0.0772, 0.1231, 0.3206, 1.2301, 2.2793, 3.3255, 5.4034", \
" 0.0649, 0.0772, 0.1231, 0.3206, 1.2301, 2.2793, 3.3255, 5.4034", \
" 0.0649, 0.0772, 0.1231, 0.3206, 1.2301, 2.2793, 3.3255, 5.4034", \
" 0.0649, 0.0772, 0.1231, 0.3206, 1.2301, 2.2793, 3.3255, 5.4034", \
" 0.0649, 0.0772, 0.1231, 0.3206, 1.2301, 2.2793, 3.3255, 5.4034", \
" 0.0649, 0.0772, 0.1231, 0.3206, 1.2301, 2.2793, 3.3255, 5.4034", \
" 0.0649, 0.0772, 0.1231, 0.3206, 1.2301, 2.2793, 3.3255, 5.4034", \
" 0.0649, 0.0772, 0.1231, 0.3206, 1.2301, 2.2793, 3.3255, 5.4034" \
);
}
cell_fall (lut_timing_278 ){
values(\
" 0.0931, 0.1030, 0.1321, 0.2250, 0.6228, 1.0724, 1.5248, 2.4284", \
" 0.0931, 0.1030, 0.1321, 0.2250, 0.6228, 1.0724, 1.5248, 2.4284", \
" 0.0931, 0.1030, 0.1321, 0.2250, 0.6228, 1.0724, 1.5248, 2.4284", \
" 0.0931, 0.1030, 0.1321, 0.2250, 0.6228, 1.0724, 1.5248, 2.4284", \
" 0.0931, 0.1030, 0.1321, 0.2250, 0.6228, 1.0724, 1.5248, 2.4284", \
" 0.0931, 0.1030, 0.1321, 0.2250, 0.6228, 1.0724, 1.5248, 2.4284", \
" 0.0931, 0.1030, 0.1321, 0.2250, 0.6228, 1.0724, 1.5248, 2.4284", \
" 0.0931, 0.1030, 0.1321, 0.2250, 0.6228, 1.0724, 1.5248, 2.4284" \
);
}
} /* End of combinational arc of pin W1BEG[1] wrt pin E1END[2] */
/* Start of combinational arc of pin W1BEG[1] wrt pin E1END[2] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E1END[2] ";
rise_transition (lut_timing_279 ){
values(\
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278", \
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278", \
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278", \
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278", \
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278", \
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278", \
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278", \
" 0.0201, 0.0326, 0.0912, 0.3728, 1.6864, 3.2057, 4.7194, 7.7278" \
);
}
fall_transition (lut_timing_279 ){
values(\
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616", \
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616", \
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616", \
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616", \
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616", \
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616", \
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616", \
" 0.0191, 0.0258, 0.0508, 0.1623, 0.7118, 1.3497, 1.9869, 3.2616" \
);
}
cell_rise (lut_timing_279 ){
values(\
" 0.0648, 0.0772, 0.1235, 0.3205, 1.2300, 2.2792, 3.3254, 5.4033", \
" 0.0648, 0.0772, 0.1235, 0.3205, 1.2300, 2.2792, 3.3254, 5.4033", \
" 0.0648, 0.0772, 0.1235, 0.3205, 1.2300, 2.2792, 3.3254, 5.4033", \
" 0.0648, 0.0772, 0.1235, 0.3205, 1.2300, 2.2792, 3.3254, 5.4033", \
" 0.0648, 0.0772, 0.1235, 0.3205, 1.2300, 2.2792, 3.3254, 5.4033", \
" 0.0648, 0.0772, 0.1235, 0.3205, 1.2300, 2.2792, 3.3254, 5.4033", \
" 0.0648, 0.0772, 0.1235, 0.3205, 1.2300, 2.2792, 3.3254, 5.4033", \
" 0.0648, 0.0772, 0.1235, 0.3205, 1.2300, 2.2792, 3.3254, 5.4033" \
);
}
cell_fall (lut_timing_279 ){
values(\
" 0.0930, 0.1030, 0.1326, 0.2249, 0.6227, 1.0723, 1.5247, 2.4283", \
" 0.0930, 0.1030, 0.1326, 0.2249, 0.6227, 1.0723, 1.5247, 2.4283", \
" 0.0930, 0.1030, 0.1326, 0.2249, 0.6227, 1.0723, 1.5247, 2.4283", \
" 0.0930, 0.1030, 0.1326, 0.2249, 0.6227, 1.0723, 1.5247, 2.4283", \
" 0.0930, 0.1030, 0.1326, 0.2249, 0.6227, 1.0723, 1.5247, 2.4283", \
" 0.0930, 0.1030, 0.1326, 0.2249, 0.6227, 1.0723, 1.5247, 2.4283", \
" 0.0930, 0.1030, 0.1326, 0.2249, 0.6227, 1.0723, 1.5247, 2.4283", \
" 0.0930, 0.1030, 0.1326, 0.2249, 0.6227, 1.0723, 1.5247, 2.4283" \
);
}
} /* End of combinational arc of pin W1BEG[1] wrt pin E1END[2] */
} /* End of pin W1BEG[1] */
/* Start of pin W1BEG[0] */
pin (W1BEG[0] ) {
direction : output ;
capacitance : 0.0033;
max_transition : 7.6522;
max_capacitance : 5.0000;
/* Start of combinational arc of pin W1BEG[0] wrt pin E1END[3] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
min_delay_arc : "true" ;
related_pin :" E1END[3] ";
rise_transition (lut_timing_280 ){
values(\
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452" \
);
}
fall_transition (lut_timing_280 ){
values(\
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782" \
);
}
cell_rise (lut_timing_280 ){
values(\
" 0.0663, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0663, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0663, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0663, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0663, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0663, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0663, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046", \
" 0.0663, 0.0770, 0.1230, 0.3209, 1.2305, 2.2796, 3.3262, 5.4046" \
);
}
cell_fall (lut_timing_280 ){
values(\
" 0.0943, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0943, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0943, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0943, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0943, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0943, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0943, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303", \
" 0.0943, 0.1028, 0.1320, 0.2253, 0.6242, 1.0737, 1.5264, 2.4303" \
);
}
} /* End of combinational arc of pin W1BEG[0] wrt pin E1END[3] */
/* Start of combinational arc of pin W1BEG[0] wrt pin E1END[3] */
timing() {
timing_type : combinational ;
timing_sense : positive_unate ;
related_pin :" E1END[3] ";
rise_transition (lut_timing_281 ){
values(\
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452", \
" 0.0216, 0.0326, 0.0912, 0.3737, 1.6900, 3.2127, 4.7298, 7.7452" \
);
}
fall_transition (lut_timing_281 ){
values(\
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782", \
" 0.0200, 0.0258, 0.0508, 0.1627, 0.7149, 1.3564, 1.9972, 3.2782" \
);
}
cell_rise (lut_timing_281 ){
values(\
" 0.0665, 0.0772, 0.1237, 0.3210, 1.2306, 2.2797, 3.3263, 5.4047", \
" 0.0665, 0.0772, 0.1237, 0.3210, 1.2306, 2.2797, 3.3263, 5.4047", \
" 0.0665, 0.0772, 0.1237, 0.3210, 1.2306, 2.2797, 3.3263, 5.4047", \
" 0.0665, 0.0772, 0.1237, 0.3210, 1.2306, 2.2797, 3.3263, 5.4047", \
" 0.0665, 0.0772, 0.1237, 0.3210, 1.2306, 2.2797, 3.3263, 5.4047", \
" 0.0665, 0.0772, 0.1237, 0.3210, 1.2306, 2.2797, 3.3263, 5.4047", \
" 0.0665, 0.0772, 0.1237, 0.3210, 1.2306, 2.2797, 3.3263, 5.4047", \
" 0.0665, 0.0772, 0.1237, 0.3210, 1.2306, 2.2797, 3.3263, 5.4047" \
);
}
cell_fall (lut_timing_281 ){
values(\
" 0.0944, 0.1031, 0.1328, 0.2254, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0944, 0.1031, 0.1328, 0.2254, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0944, 0.1031, 0.1328, 0.2254, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0944, 0.1031, 0.1328, 0.2254, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0944, 0.1031, 0.1328, 0.2254, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0944, 0.1031, 0.1328, 0.2254, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0944, 0.1031, 0.1328, 0.2254, 0.6243, 1.0738, 1.5265, 2.4304", \
" 0.0944, 0.1031, 0.1328, 0.2254, 0.6243, 1.0738, 1.5265, 2.4304" \
);
}
} /* End of combinational arc of pin W1BEG[0] wrt pin E1END[3] */
} /* End of pin W1BEG[0] */
}
type (bus9){
base_type : array ;
data_type : bit ;
bit_width : 12;
bit_from : 11;
bit_to : 0;
downto : true ;
}
bus (E6END ){
bus_type : bus9 ;
/* Start of pin E6END[11] */
pin (E6END[11] ) {
direction : input ;
capacitance : 0.0105;
max_transition : 1.5000;
/* Start of setup_rising arc of pin E6END[11] wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_282 ){
values(\
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466" \
);
}
fall_constraint (lut_timing_282 ){
values(\
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994" \
);
}
} /* End of setup_rising arc of pin E6END[11] wrt pin UserCLK */
/* Start of hold_rising arc of pin E6END[11] wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487" \
);
}
} /* End of hold_rising arc of pin E6END[11] wrt pin UserCLK */
} /* End of pin E6END[11] */
/* Start of pin E6END[10] */
pin (E6END[10] ) {
direction : input ;
capacitance : 0.0085;
max_transition : 1.5000;
/* Start of setup_rising arc of pin E6END[10] wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_157 ){
values(\
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466" \
);
}
fall_constraint (lut_timing_157 ){
values(\
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994" \
);
}
} /* End of setup_rising arc of pin E6END[10] wrt pin UserCLK */
/* Start of hold_rising arc of pin E6END[10] wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487" \
);
}
} /* End of hold_rising arc of pin E6END[10] wrt pin UserCLK */
} /* End of pin E6END[10] */
/* Start of pin E6END[9] */
pin (E6END[9] ) {
direction : input ;
capacitance : 0.0090;
max_transition : 1.5000;
/* Start of setup_rising arc of pin E6END[9] wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_155 ){
values(\
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466" \
);
}
fall_constraint (lut_timing_155 ){
values(\
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994" \
);
}
} /* End of setup_rising arc of pin E6END[9] wrt pin UserCLK */
/* Start of hold_rising arc of pin E6END[9] wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487" \
);
}
} /* End of hold_rising arc of pin E6END[9] wrt pin UserCLK */
} /* End of pin E6END[9] */
/* Start of pin E6END[8] */
pin (E6END[8] ) {
direction : input ;
capacitance : 0.0096;
max_transition : 1.5000;
/* Start of setup_rising arc of pin E6END[8] wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_283 ){
values(\
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466" \
);
}
fall_constraint (lut_timing_283 ){
values(\
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994" \
);
}
} /* End of setup_rising arc of pin E6END[8] wrt pin UserCLK */
/* Start of hold_rising arc of pin E6END[8] wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487" \
);
}
} /* End of hold_rising arc of pin E6END[8] wrt pin UserCLK */
} /* End of pin E6END[8] */
/* Start of pin E6END[7] */
pin (E6END[7] ) {
direction : input ;
capacitance : 0.0111;
max_transition : 1.5000;
/* Start of setup_rising arc of pin E6END[7] wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_284 ){
values(\
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466" \
);
}
fall_constraint (lut_timing_284 ){
values(\
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994" \
);
}
} /* End of setup_rising arc of pin E6END[7] wrt pin UserCLK */
/* Start of hold_rising arc of pin E6END[7] wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486" \
);
}
} /* End of hold_rising arc of pin E6END[7] wrt pin UserCLK */
} /* End of pin E6END[7] */
/* Start of pin E6END[6] */
pin (E6END[6] ) {
direction : input ;
capacitance : 0.0104;
max_transition : 1.5000;
/* Start of setup_rising arc of pin E6END[6] wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_282 ){
values(\
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466" \
);
}
fall_constraint (lut_timing_282 ){
values(\
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994" \
);
}
} /* End of setup_rising arc of pin E6END[6] wrt pin UserCLK */
/* Start of hold_rising arc of pin E6END[6] wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487" \
);
}
} /* End of hold_rising arc of pin E6END[6] wrt pin UserCLK */
} /* End of pin E6END[6] */
/* Start of pin E6END[5] */
pin (E6END[5] ) {
direction : input ;
capacitance : 0.0120;
max_transition : 1.5000;
/* Start of setup_rising arc of pin E6END[5] wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_285 ){
values(\
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466", \
" 0.0553, 0.0523, 0.0484, 0.0421, 0.0285, 0.0012, -0.0244, -0.0466" \
);
}
fall_constraint (lut_timing_285 ){
values(\
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994", \
" 0.1045, 0.0998, 0.0936, 0.0834, 0.0616, 0.0179, -0.0332, -0.0994" \
);
}
} /* End of setup_rising arc of pin E6END[5] wrt pin UserCLK */
/* Start of hold_rising arc of pin E6END[5] wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486" \
);
}
} /* End of hold_rising arc of pin E6END[5] wrt pin UserCLK */
} /* End of pin E6END[5] */
/* Start of pin E6END[4] */
pin (E6END[4] ) {
direction : input ;
capacitance : 0.0132;
max_transition : 1.5000;
/* Start of setup_rising arc of pin E6END[4] wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_286 ){
values(\
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465", \
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465", \
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465", \
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465", \
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465", \
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465", \
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465", \
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465" \
);
}
fall_constraint (lut_timing_286 ){
values(\
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993", \
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993", \
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993", \
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993", \
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993", \
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993", \
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993", \
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993" \
);
}
} /* End of setup_rising arc of pin E6END[4] wrt pin UserCLK */
/* Start of hold_rising arc of pin E6END[4] wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486" \
);
}
} /* End of hold_rising arc of pin E6END[4] wrt pin UserCLK */
} /* End of pin E6END[4] */
/* Start of pin E6END[3] */
pin (E6END[3] ) {
direction : input ;
capacitance : 0.0140;
max_transition : 1.5000;
/* Start of setup_rising arc of pin E6END[3] wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_287 ){
values(\
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464" \
);
}
fall_constraint (lut_timing_287 ){
values(\
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992" \
);
}
} /* End of setup_rising arc of pin E6END[3] wrt pin UserCLK */
/* Start of hold_rising arc of pin E6END[3] wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486" \
);
}
} /* End of hold_rising arc of pin E6END[3] wrt pin UserCLK */
} /* End of pin E6END[3] */
/* Start of pin E6END[2] */
pin (E6END[2] ) {
direction : input ;
capacitance : 0.0117;
max_transition : 1.5000;
/* Start of setup_rising arc of pin E6END[2] wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_288 ){
values(\
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465", \
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465", \
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465", \
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465", \
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465", \
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465", \
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465", \
" 0.0554, 0.0524, 0.0485, 0.0422, 0.0286, 0.0013, -0.0243, -0.0465" \
);
}
fall_constraint (lut_timing_288 ){
values(\
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993", \
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993", \
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993", \
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993", \
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993", \
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993", \
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993", \
" 0.1046, 0.0999, 0.0937, 0.0835, 0.0617, 0.0180, -0.0331, -0.0993" \
);
}
} /* End of setup_rising arc of pin E6END[2] wrt pin UserCLK */
/* Start of hold_rising arc of pin E6END[2] wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486" \
);
}
} /* End of hold_rising arc of pin E6END[2] wrt pin UserCLK */
} /* End of pin E6END[2] */
/* Start of pin E6END[1] */
pin (E6END[1] ) {
direction : input ;
capacitance : 0.0140;
max_transition : 1.5000;
/* Start of setup_rising arc of pin E6END[1] wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_287 ){
values(\
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464" \
);
}
fall_constraint (lut_timing_287 ){
values(\
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992" \
);
}
} /* End of setup_rising arc of pin E6END[1] wrt pin UserCLK */
/* Start of hold_rising arc of pin E6END[1] wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_156 ){
values(\
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592", \
" -0.0281, -0.0254, -0.0223, -0.0162, -0.0040, 0.0205, 0.0391, 0.0592" \
);
}
fall_constraint (lut_timing_156 ){
values(\
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486", \
" -0.0383, -0.0337, -0.0285, -0.0180, 0.0028, 0.0447, 0.0801, 0.1486" \
);
}
} /* End of hold_rising arc of pin E6END[1] wrt pin UserCLK */
} /* End of pin E6END[1] */
/* Start of pin E6END[0] */
pin (E6END[0] ) {
direction : input ;
capacitance : 0.0152;
max_transition : 1.5000;
/* Start of setup_rising arc of pin E6END[0] wrt pin UserCLK */
timing() {
timing_type : setup_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_289 ){
values(\
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464", \
" 0.0555, 0.0525, 0.0486, 0.0423, 0.0287, 0.0014, -0.0242, -0.0464" \
);
}
fall_constraint (lut_timing_289 ){
values(\
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992", \
" 0.1047, 0.1000, 0.0938, 0.0836, 0.0618, 0.0181, -0.0330, -0.0992" \
);
}
} /* End of setup_rising arc of pin E6END[0] wrt pin UserCLK */
/* Start of hold_rising arc of pin E6END[0] wrt pin UserCLK */
timing() {
timing_type : hold_rising ;
related_pin :" UserCLK ";
rise_constraint (lut_timing_290 ){
values(\
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593", \
" -0.0280, -0.0253, -0.0222, -0.0161, -0.0039, 0.0206, 0.0392, 0.0593" \
);
}
fall_constraint (lut_timing_290 ){
values(\
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487", \
" -0.0382, -0.0336, -0.0284, -0.0179, 0.0029, 0.0448, 0.0802, 0.1487" \
);
}
} /* End of hold_rising arc of pin E6END[0] wrt pin UserCLK */
} /* End of pin E6END[0] */
}
type (bus10){
base_type : array ;
data_type : bit ;
bit_width : 16;
bit_from : 15;
bit_to : 0;
downto : true ;
}
bus (EE4END ){
bus_type : bus10 ;
/* Start of pin EE4END[15] */
pin (EE4END[15] ) {
direction : input ;
capacitance : 0.0258;
max_transition : 1.5000;
} /* End of pin EE4END[15] */
/* Start of pin EE4END[14] */
pin (EE4END[14] ) {
direction : input ;
capacitance : 0.0298;
max_transition : 1.5000;
} /* End of pin EE4END[14] */
/* Start of pin EE4END[13] */
pin (EE4END[13] ) {
direction : input ;
capacitance : 0.0300;
max_transition : 1.5000;
} /* End of pin EE4END[13] */
/* Start of pin EE4END[12] */
pin (EE4END[12] ) {
direction : input ;
capacitance : 0.0237;
max_transition : 1.5000;
} /* End of pin EE4END[12] */
/* Start of pin EE4END[11] */
pin (EE4END[11] ) {
direction : input ;
capacitance : 0.0249;
max_transition : 1.5000;
} /* End of pin EE4END[11] */
/* Start of pin EE4END[10] */
pin (EE4END[10] ) {
direction : input ;
capacitance : 0.0232;
max_transition : 1.5000;
} /* End of pin EE4END[10] */
/* Start of pin EE4END[9] */
pin (EE4END[9] ) {
direction : input ;
capacitance : 0.0245;
max_transition : 1.5000;
} /* End of pin EE4END[9] */
/* Start of pin EE4END[8] */
pin (EE4END[8] ) {
direction : input ;
capacitance : 0.0216;
max_transition : 1.5000;
} /* End of pin EE4END[8] */
/* Start of pin EE4END[7] */
pin (EE4END[7] ) {
direction : input ;
capacitance : 0.0217;
max_transition : 1.5000;
} /* End of pin EE4END[7] */
/* Start of pin EE4END[6] */
pin (EE4END[6] ) {
direction : input ;
capacitance : 0.0200;
max_transition : 1.5000;
} /* End of pin EE4END[6] */
/* Start of pin EE4END[5] */
pin (EE4END[5] ) {
direction : input ;
capacitance : 0.0198;
max_transition : 1.5000;
} /* End of pin EE4END[5] */
/* Start of pin EE4END[4] */
pin (EE4END[4] ) {
direction : input ;
capacitance : 0.0196;
max_transition : 1.5000;
} /* End of pin EE4END[4] */
/* Start of pin EE4END[3] */
pin (EE4END[3] ) {
direction : input ;
capacitance : 0.0149;
max_transition : 1.5000;
} /* End of pin EE4END[3] */
/* Start of pin EE4END[2] */
pin (EE4END[2] ) {
direction : input ;
capacitance : 0.0157;
max_transition : 1.5000;
} /* End of pin EE4END[2] */
/* Start of pin EE4END[1] */
pin (EE4END[1] ) {
direction : input ;
capacitance : 0.0151;
max_transition : 1.5000;
} /* End of pin EE4END[1] */
/* Start of pin EE4END[0] */
pin (EE4END[0] ) {
direction : input ;
capacitance : 0.0148;
max_transition : 1.5000;
} /* End of pin EE4END[0] */
}
type (bus11){
base_type : array ;
data_type : bit ;
bit_width : 8;
bit_from : 7;
bit_to : 0;
downto : true ;
}
bus (E2END ){
bus_type : bus11 ;
/* Start of pin E2END[7] */
pin (E2END[7] ) {
direction : input ;
capacitance : 0.0020;
max_transition : 1.5000;
} /* End of pin E2END[7] */
/* Start of pin E2END[6] */
pin (E2END[6] ) {
direction : input ;
capacitance : 0.0229;
max_transition : 1.5000;
} /* End of pin E2END[6] */
/* Start of pin E2END[5] */
pin (E2END[5] ) {
direction : input ;
capacitance : 0.0220;
max_transition : 1.5000;
} /* End of pin E2END[5] */
/* Start of pin E2END[4] */
pin (E2END[4] ) {
direction : input ;
capacitance : 0.0024;
max_transition : 1.5000;
} /* End of pin E2END[4] */
/* Start of pin E2END[3] */
pin (E2END[3] ) {
direction : input ;
capacitance : 0.0019;
max_transition : 1.5000;
} /* End of pin E2END[3] */
/* Start of pin E2END[2] */
pin (E2END[2] ) {
direction : input ;
capacitance : 0.0212;
max_transition : 1.5000;
} /* End of pin E2END[2] */
/* Start of pin E2END[1] */
pin (E2END[1] ) {
direction : input ;
capacitance : 0.0217;
max_transition : 1.5000;
} /* End of pin E2END[1] */
/* Start of pin E2END[0] */
pin (E2END[0] ) {
direction : input ;
capacitance : 0.0022;
max_transition : 1.5000;
} /* End of pin E2END[0] */
}
type (bus12){
base_type : array ;
data_type : bit ;
bit_width : 8;
bit_from : 7;
bit_to : 0;
downto : true ;
}
bus (E2MID ){
bus_type : bus12 ;
/* Start of pin E2MID[7] */
pin (E2MID[7] ) {
direction : input ;
capacitance : 0.0019;
max_transition : 1.5000;
} /* End of pin E2MID[7] */
/* Start of pin E2MID[6] */
pin (E2MID[6] ) {
direction : input ;
capacitance : 0.0260;
max_transition : 1.5000;
} /* End of pin E2MID[6] */
/* Start of pin E2MID[5] */
pin (E2MID[5] ) {
direction : input ;
capacitance : 0.0241;
max_transition : 1.5000;
} /* End of pin E2MID[5] */
/* Start of pin E2MID[4] */
pin (E2MID[4] ) {
direction : input ;
capacitance : 0.0019;
max_transition : 1.5000;
} /* End of pin E2MID[4] */
/* Start of pin E2MID[3] */
pin (E2MID[3] ) {
direction : input ;
capacitance : 0.0020;
max_transition : 1.5000;
} /* End of pin E2MID[3] */
/* Start of pin E2MID[2] */
pin (E2MID[2] ) {
direction : input ;
capacitance : 0.0210;
max_transition : 1.5000;
} /* End of pin E2MID[2] */
/* Start of pin E2MID[1] */
pin (E2MID[1] ) {
direction : input ;
capacitance : 0.0207;
max_transition : 1.5000;
} /* End of pin E2MID[1] */
/* Start of pin E2MID[0] */
pin (E2MID[0] ) {
direction : input ;
capacitance : 0.0017;
max_transition : 1.5000;
} /* End of pin E2MID[0] */
}
type (bus13){
base_type : array ;
data_type : bit ;
bit_width : 4;
bit_from : 3;
bit_to : 0;
downto : true ;
}
bus (E1END ){
bus_type : bus13 ;
/* Start of pin E1END[3] */
pin (E1END[3] ) {
direction : input ;
capacitance : 0.0251;
max_transition : 1.5000;
} /* End of pin E1END[3] */
/* Start of pin E1END[2] */
pin (E1END[2] ) {
direction : input ;
capacitance : 0.0245;
max_transition : 1.5000;
} /* End of pin E1END[2] */
/* Start of pin E1END[1] */
pin (E1END[1] ) {
direction : input ;
capacitance : 0.0213;
max_transition : 1.5000;
} /* End of pin E1END[1] */
/* Start of pin E1END[0] */
pin (E1END[0] ) {
direction : input ;
capacitance : 0.0248;
max_transition : 1.5000;
} /* End of pin E1END[0] */
}
} /* End of Design E_CPU_IO */
} /* End of Library */