blob: 60e54df19d2c0470e7f7d0eb9f832438ad7d5456 [file] [log] [blame]
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO braille_driver_controller
CLASS BLOCK ;
FOREIGN braille_driver_controller ;
ORIGIN 0.000 0.000 ;
SIZE 1000.000 BY 900.000 ;
PIN io_in[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 24.520 1000.000 25.120 ;
END
END io_in[0]
PIN io_in[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 839.130 896.000 839.410 900.000 ;
END
END io_in[10]
PIN io_in[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 800.950 896.000 801.230 900.000 ;
END
END io_in[11]
PIN io_in[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 762.310 896.000 762.590 900.000 ;
END
END io_in[12]
PIN io_in[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 723.670 896.000 723.950 900.000 ;
END
END io_in[13]
PIN io_in[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 685.490 896.000 685.770 900.000 ;
END
END io_in[14]
PIN io_in[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 646.850 896.000 647.130 900.000 ;
END
END io_in[15]
PIN io_in[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 608.210 896.000 608.490 900.000 ;
END
END io_in[16]
PIN io_in[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 570.030 896.000 570.310 900.000 ;
END
END io_in[17]
PIN io_in[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 531.390 896.000 531.670 900.000 ;
END
END io_in[18]
PIN io_in[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 493.210 896.000 493.490 900.000 ;
END
END io_in[19]
PIN io_in[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 174.120 1000.000 174.720 ;
END
END io_in[1]
PIN io_in[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 454.570 896.000 454.850 900.000 ;
END
END io_in[20]
PIN io_in[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 415.930 896.000 416.210 900.000 ;
END
END io_in[21]
PIN io_in[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 377.750 896.000 378.030 900.000 ;
END
END io_in[22]
PIN io_in[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 339.110 896.000 339.390 900.000 ;
END
END io_in[23]
PIN io_in[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 300.930 896.000 301.210 900.000 ;
END
END io_in[24]
PIN io_in[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 262.290 896.000 262.570 900.000 ;
END
END io_in[25]
PIN io_in[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 223.650 896.000 223.930 900.000 ;
END
END io_in[26]
PIN io_in[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 185.470 896.000 185.750 900.000 ;
END
END io_in[27]
PIN io_in[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 146.830 896.000 147.110 900.000 ;
END
END io_in[28]
PIN io_in[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 108.190 896.000 108.470 900.000 ;
END
END io_in[29]
PIN io_in[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 324.400 1000.000 325.000 ;
END
END io_in[2]
PIN io_in[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 70.010 896.000 70.290 900.000 ;
END
END io_in[30]
PIN io_in[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 31.370 896.000 31.650 900.000 ;
END
END io_in[31]
PIN io_in[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 774.560 4.000 775.160 ;
END
END io_in[32]
PIN io_in[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 624.280 4.000 624.880 ;
END
END io_in[33]
PIN io_in[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 474.680 4.000 475.280 ;
END
END io_in[34]
PIN io_in[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 324.400 4.000 325.000 ;
END
END io_in[35]
PIN io_in[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 174.120 4.000 174.720 ;
END
END io_in[36]
PIN io_in[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 24.520 4.000 25.120 ;
END
END io_in[37]
PIN io_in[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 474.680 1000.000 475.280 ;
END
END io_in[3]
PIN io_in[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 624.280 1000.000 624.880 ;
END
END io_in[4]
PIN io_in[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 774.560 1000.000 775.160 ;
END
END io_in[5]
PIN io_in[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 993.230 896.000 993.510 900.000 ;
END
END io_in[6]
PIN io_in[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 954.590 896.000 954.870 900.000 ;
END
END io_in[7]
PIN io_in[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 915.950 896.000 916.230 900.000 ;
END
END io_in[8]
PIN io_in[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 877.770 896.000 878.050 900.000 ;
END
END io_in[9]
PIN io_oeb[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 124.480 1000.000 125.080 ;
END
END io_oeb[0]
PIN io_oeb[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 813.370 896.000 813.650 900.000 ;
END
END io_oeb[10]
PIN io_oeb[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 775.190 896.000 775.470 900.000 ;
END
END io_oeb[11]
PIN io_oeb[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 736.550 896.000 736.830 900.000 ;
END
END io_oeb[12]
PIN io_oeb[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 698.370 896.000 698.650 900.000 ;
END
END io_oeb[13]
PIN io_oeb[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 659.730 896.000 660.010 900.000 ;
END
END io_oeb[14]
PIN io_oeb[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 621.090 896.000 621.370 900.000 ;
END
END io_oeb[15]
PIN io_oeb[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 582.910 896.000 583.190 900.000 ;
END
END io_oeb[16]
PIN io_oeb[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 544.270 896.000 544.550 900.000 ;
END
END io_oeb[17]
PIN io_oeb[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 506.090 896.000 506.370 900.000 ;
END
END io_oeb[18]
PIN io_oeb[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 467.450 896.000 467.730 900.000 ;
END
END io_oeb[19]
PIN io_oeb[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 274.080 1000.000 274.680 ;
END
END io_oeb[1]
PIN io_oeb[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 428.810 896.000 429.090 900.000 ;
END
END io_oeb[20]
PIN io_oeb[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 390.630 896.000 390.910 900.000 ;
END
END io_oeb[21]
PIN io_oeb[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 351.990 896.000 352.270 900.000 ;
END
END io_oeb[22]
PIN io_oeb[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 313.350 896.000 313.630 900.000 ;
END
END io_oeb[23]
PIN io_oeb[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 275.170 896.000 275.450 900.000 ;
END
END io_oeb[24]
PIN io_oeb[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 236.530 896.000 236.810 900.000 ;
END
END io_oeb[25]
PIN io_oeb[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 198.350 896.000 198.630 900.000 ;
END
END io_oeb[26]
PIN io_oeb[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 159.710 896.000 159.990 900.000 ;
END
END io_oeb[27]
PIN io_oeb[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 121.070 896.000 121.350 900.000 ;
END
END io_oeb[28]
PIN io_oeb[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 82.890 896.000 83.170 900.000 ;
END
END io_oeb[29]
PIN io_oeb[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 424.360 1000.000 424.960 ;
END
END io_oeb[2]
PIN io_oeb[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 44.250 896.000 44.530 900.000 ;
END
END io_oeb[30]
PIN io_oeb[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 6.070 896.000 6.350 900.000 ;
END
END io_oeb[31]
PIN io_oeb[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 874.520 4.000 875.120 ;
END
END io_oeb[32]
PIN io_oeb[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 724.240 4.000 724.840 ;
END
END io_oeb[33]
PIN io_oeb[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 574.640 4.000 575.240 ;
END
END io_oeb[34]
PIN io_oeb[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 424.360 4.000 424.960 ;
END
END io_oeb[35]
PIN io_oeb[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 274.080 4.000 274.680 ;
END
END io_oeb[36]
PIN io_oeb[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 124.480 4.000 125.080 ;
END
END io_oeb[37]
PIN io_oeb[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 574.640 1000.000 575.240 ;
END
END io_oeb[3]
PIN io_oeb[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 724.240 1000.000 724.840 ;
END
END io_oeb[4]
PIN io_oeb[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 874.520 1000.000 875.120 ;
END
END io_oeb[5]
PIN io_oeb[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 967.470 896.000 967.750 900.000 ;
END
END io_oeb[6]
PIN io_oeb[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 928.830 896.000 929.110 900.000 ;
END
END io_oeb[7]
PIN io_oeb[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 890.650 896.000 890.930 900.000 ;
END
END io_oeb[8]
PIN io_oeb[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 852.010 896.000 852.290 900.000 ;
END
END io_oeb[9]
PIN io_out[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 74.160 1000.000 74.760 ;
END
END io_out[0]
PIN io_out[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 826.250 896.000 826.530 900.000 ;
END
END io_out[10]
PIN io_out[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 788.070 896.000 788.350 900.000 ;
END
END io_out[11]
PIN io_out[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 749.430 896.000 749.710 900.000 ;
END
END io_out[12]
PIN io_out[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 710.790 896.000 711.070 900.000 ;
END
END io_out[13]
PIN io_out[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 672.610 896.000 672.890 900.000 ;
END
END io_out[14]
PIN io_out[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 633.970 896.000 634.250 900.000 ;
END
END io_out[15]
PIN io_out[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 595.790 896.000 596.070 900.000 ;
END
END io_out[16]
PIN io_out[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 557.150 896.000 557.430 900.000 ;
END
END io_out[17]
PIN io_out[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 518.510 896.000 518.790 900.000 ;
END
END io_out[18]
PIN io_out[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 480.330 896.000 480.610 900.000 ;
END
END io_out[19]
PIN io_out[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 224.440 1000.000 225.040 ;
END
END io_out[1]
PIN io_out[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 441.690 896.000 441.970 900.000 ;
END
END io_out[20]
PIN io_out[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 403.510 896.000 403.790 900.000 ;
END
END io_out[21]
PIN io_out[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 364.870 896.000 365.150 900.000 ;
END
END io_out[22]
PIN io_out[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 326.230 896.000 326.510 900.000 ;
END
END io_out[23]
PIN io_out[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 288.050 896.000 288.330 900.000 ;
END
END io_out[24]
PIN io_out[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 249.410 896.000 249.690 900.000 ;
END
END io_out[25]
PIN io_out[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 210.770 896.000 211.050 900.000 ;
END
END io_out[26]
PIN io_out[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 172.590 896.000 172.870 900.000 ;
END
END io_out[27]
PIN io_out[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 133.950 896.000 134.230 900.000 ;
END
END io_out[28]
PIN io_out[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 95.770 896.000 96.050 900.000 ;
END
END io_out[29]
PIN io_out[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 374.040 1000.000 374.640 ;
END
END io_out[2]
PIN io_out[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 57.130 896.000 57.410 900.000 ;
END
END io_out[30]
PIN io_out[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 18.490 896.000 18.770 900.000 ;
END
END io_out[31]
PIN io_out[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 824.200 4.000 824.800 ;
END
END io_out[32]
PIN io_out[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 674.600 4.000 675.200 ;
END
END io_out[33]
PIN io_out[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 524.320 4.000 524.920 ;
END
END io_out[34]
PIN io_out[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 374.040 4.000 374.640 ;
END
END io_out[35]
PIN io_out[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 224.440 4.000 225.040 ;
END
END io_out[36]
PIN io_out[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 74.160 4.000 74.760 ;
END
END io_out[37]
PIN io_out[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 524.320 1000.000 524.920 ;
END
END io_out[3]
PIN io_out[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 674.600 1000.000 675.200 ;
END
END io_out[4]
PIN io_out[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 996.000 824.200 1000.000 824.800 ;
END
END io_out[5]
PIN io_out[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 980.350 896.000 980.630 900.000 ;
END
END io_out[6]
PIN io_out[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 941.710 896.000 941.990 900.000 ;
END
END io_out[7]
PIN io_out[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 903.530 896.000 903.810 900.000 ;
END
END io_out[8]
PIN io_out[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 864.890 896.000 865.170 900.000 ;
END
END io_out[9]
PIN irq[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 993.230 0.000 993.510 4.000 ;
END
END irq[0]
PIN irq[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 995.530 0.000 995.810 4.000 ;
END
END irq[1]
PIN irq[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 998.290 0.000 998.570 4.000 ;
END
END irq[2]
PIN la_data_in[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 160.630 0.000 160.910 4.000 ;
END
END la_data_in[0]
PIN la_data_in[100]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 773.810 0.000 774.090 4.000 ;
END
END la_data_in[100]
PIN la_data_in[101]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 781.630 0.000 781.910 4.000 ;
END
END la_data_in[101]
PIN la_data_in[102]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 789.450 0.000 789.730 4.000 ;
END
END la_data_in[102]
PIN la_data_in[103]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 797.270 0.000 797.550 4.000 ;
END
END la_data_in[103]
PIN la_data_in[104]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 805.090 0.000 805.370 4.000 ;
END
END la_data_in[104]
PIN la_data_in[105]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 812.450 0.000 812.730 4.000 ;
END
END la_data_in[105]
PIN la_data_in[106]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 820.270 0.000 820.550 4.000 ;
END
END la_data_in[106]
PIN la_data_in[107]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 828.090 0.000 828.370 4.000 ;
END
END la_data_in[107]
PIN la_data_in[108]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 835.910 0.000 836.190 4.000 ;
END
END la_data_in[108]
PIN la_data_in[109]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 843.730 0.000 844.010 4.000 ;
END
END la_data_in[109]
PIN la_data_in[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 75.530 0.000 75.810 4.000 ;
END
END la_data_in[10]
PIN la_data_in[110]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 851.550 0.000 851.830 4.000 ;
END
END la_data_in[110]
PIN la_data_in[111]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 858.910 0.000 859.190 4.000 ;
END
END la_data_in[111]
PIN la_data_in[112]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 866.730 0.000 867.010 4.000 ;
END
END la_data_in[112]
PIN la_data_in[113]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 874.550 0.000 874.830 4.000 ;
END
END la_data_in[113]
PIN la_data_in[114]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 882.370 0.000 882.650 4.000 ;
END
END la_data_in[114]
PIN la_data_in[115]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 890.190 0.000 890.470 4.000 ;
END
END la_data_in[115]
PIN la_data_in[116]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 897.550 0.000 897.830 4.000 ;
END
END la_data_in[116]
PIN la_data_in[117]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 905.370 0.000 905.650 4.000 ;
END
END la_data_in[117]
PIN la_data_in[118]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 913.190 0.000 913.470 4.000 ;
END
END la_data_in[118]
PIN la_data_in[119]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 921.010 0.000 921.290 4.000 ;
END
END la_data_in[119]
PIN la_data_in[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 83.350 0.000 83.630 4.000 ;
END
END la_data_in[11]
PIN la_data_in[120]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 928.830 0.000 929.110 4.000 ;
END
END la_data_in[120]
PIN la_data_in[121]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 936.190 0.000 936.470 4.000 ;
END
END la_data_in[121]
PIN la_data_in[122]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 944.010 0.000 944.290 4.000 ;
END
END la_data_in[122]
PIN la_data_in[123]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 951.830 0.000 952.110 4.000 ;
END
END la_data_in[123]
PIN la_data_in[124]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 959.650 0.000 959.930 4.000 ;
END
END la_data_in[124]
PIN la_data_in[125]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 967.470 0.000 967.750 4.000 ;
END
END la_data_in[125]
PIN la_data_in[126]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 974.830 0.000 975.110 4.000 ;
END
END la_data_in[126]
PIN la_data_in[127]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 982.650 0.000 982.930 4.000 ;
END
END la_data_in[127]
PIN la_data_in[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 91.170 0.000 91.450 4.000 ;
END
END la_data_in[12]
PIN la_data_in[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 98.530 0.000 98.810 4.000 ;
END
END la_data_in[13]
PIN la_data_in[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 106.350 0.000 106.630 4.000 ;
END
END la_data_in[14]
PIN la_data_in[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 114.170 0.000 114.450 4.000 ;
END
END la_data_in[15]
PIN la_data_in[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 121.990 0.000 122.270 4.000 ;
END
END la_data_in[16]
PIN la_data_in[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 129.810 0.000 130.090 4.000 ;
END
END la_data_in[17]
PIN la_data_in[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 137.170 0.000 137.450 4.000 ;
END
END la_data_in[18]
PIN la_data_in[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 144.990 0.000 145.270 4.000 ;
END
END la_data_in[19]
PIN la_data_in[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 6.070 0.000 6.350 4.000 ;
END
END la_data_in[1]
PIN la_data_in[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 152.810 0.000 153.090 4.000 ;
END
END la_data_in[20]
PIN la_data_in[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 162.930 0.000 163.210 4.000 ;
END
END la_data_in[21]
PIN la_data_in[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 170.750 0.000 171.030 4.000 ;
END
END la_data_in[22]
PIN la_data_in[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 178.570 0.000 178.850 4.000 ;
END
END la_data_in[23]
PIN la_data_in[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 186.390 0.000 186.670 4.000 ;
END
END la_data_in[24]
PIN la_data_in[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 194.210 0.000 194.490 4.000 ;
END
END la_data_in[25]
PIN la_data_in[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 202.030 0.000 202.310 4.000 ;
END
END la_data_in[26]
PIN la_data_in[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 209.390 0.000 209.670 4.000 ;
END
END la_data_in[27]
PIN la_data_in[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 217.210 0.000 217.490 4.000 ;
END
END la_data_in[28]
PIN la_data_in[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 225.030 0.000 225.310 4.000 ;
END
END la_data_in[29]
PIN la_data_in[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 13.890 0.000 14.170 4.000 ;
END
END la_data_in[2]
PIN la_data_in[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 232.850 0.000 233.130 4.000 ;
END
END la_data_in[30]
PIN la_data_in[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 240.670 0.000 240.950 4.000 ;
END
END la_data_in[31]
PIN la_data_in[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 248.030 0.000 248.310 4.000 ;
END
END la_data_in[32]
PIN la_data_in[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 255.850 0.000 256.130 4.000 ;
END
END la_data_in[33]
PIN la_data_in[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 263.670 0.000 263.950 4.000 ;
END
END la_data_in[34]
PIN la_data_in[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 271.490 0.000 271.770 4.000 ;
END
END la_data_in[35]
PIN la_data_in[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 279.310 0.000 279.590 4.000 ;
END
END la_data_in[36]
PIN la_data_in[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 286.670 0.000 286.950 4.000 ;
END
END la_data_in[37]
PIN la_data_in[38]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 294.490 0.000 294.770 4.000 ;
END
END la_data_in[38]
PIN la_data_in[39]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 302.310 0.000 302.590 4.000 ;
END
END la_data_in[39]
PIN la_data_in[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 21.250 0.000 21.530 4.000 ;
END
END la_data_in[3]
PIN la_data_in[40]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 310.130 0.000 310.410 4.000 ;
END
END la_data_in[40]
PIN la_data_in[41]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 317.950 0.000 318.230 4.000 ;
END
END la_data_in[41]
PIN la_data_in[42]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 325.310 0.000 325.590 4.000 ;
END
END la_data_in[42]
PIN la_data_in[43]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 333.130 0.000 333.410 4.000 ;
END
END la_data_in[43]
PIN la_data_in[44]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 340.950 0.000 341.230 4.000 ;
END
END la_data_in[44]
PIN la_data_in[45]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 348.770 0.000 349.050 4.000 ;
END
END la_data_in[45]
PIN la_data_in[46]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 356.590 0.000 356.870 4.000 ;
END
END la_data_in[46]
PIN la_data_in[47]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 364.410 0.000 364.690 4.000 ;
END
END la_data_in[47]
PIN la_data_in[48]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 371.770 0.000 372.050 4.000 ;
END
END la_data_in[48]
PIN la_data_in[49]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 379.590 0.000 379.870 4.000 ;
END
END la_data_in[49]
PIN la_data_in[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 29.070 0.000 29.350 4.000 ;
END
END la_data_in[4]
PIN la_data_in[50]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 387.410 0.000 387.690 4.000 ;
END
END la_data_in[50]
PIN la_data_in[51]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 395.230 0.000 395.510 4.000 ;
END
END la_data_in[51]
PIN la_data_in[52]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 403.050 0.000 403.330 4.000 ;
END
END la_data_in[52]
PIN la_data_in[53]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 410.410 0.000 410.690 4.000 ;
END
END la_data_in[53]
PIN la_data_in[54]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 418.230 0.000 418.510 4.000 ;
END
END la_data_in[54]
PIN la_data_in[55]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 426.050 0.000 426.330 4.000 ;
END
END la_data_in[55]
PIN la_data_in[56]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 433.870 0.000 434.150 4.000 ;
END
END la_data_in[56]
PIN la_data_in[57]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 441.690 0.000 441.970 4.000 ;
END
END la_data_in[57]
PIN la_data_in[58]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 449.050 0.000 449.330 4.000 ;
END
END la_data_in[58]
PIN la_data_in[59]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 456.870 0.000 457.150 4.000 ;
END
END la_data_in[59]
PIN la_data_in[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 36.890 0.000 37.170 4.000 ;
END
END la_data_in[5]
PIN la_data_in[60]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 464.690 0.000 464.970 4.000 ;
END
END la_data_in[60]
PIN la_data_in[61]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 472.510 0.000 472.790 4.000 ;
END
END la_data_in[61]
PIN la_data_in[62]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 480.330 0.000 480.610 4.000 ;
END
END la_data_in[62]
PIN la_data_in[63]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 487.690 0.000 487.970 4.000 ;
END
END la_data_in[63]
PIN la_data_in[64]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 495.510 0.000 495.790 4.000 ;
END
END la_data_in[64]
PIN la_data_in[65]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 503.330 0.000 503.610 4.000 ;
END
END la_data_in[65]
PIN la_data_in[66]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 511.150 0.000 511.430 4.000 ;
END
END la_data_in[66]
PIN la_data_in[67]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 518.970 0.000 519.250 4.000 ;
END
END la_data_in[67]
PIN la_data_in[68]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 526.790 0.000 527.070 4.000 ;
END
END la_data_in[68]
PIN la_data_in[69]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 534.150 0.000 534.430 4.000 ;
END
END la_data_in[69]
PIN la_data_in[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 44.710 0.000 44.990 4.000 ;
END
END la_data_in[6]
PIN la_data_in[70]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 541.970 0.000 542.250 4.000 ;
END
END la_data_in[70]
PIN la_data_in[71]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 549.790 0.000 550.070 4.000 ;
END
END la_data_in[71]
PIN la_data_in[72]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 557.610 0.000 557.890 4.000 ;
END
END la_data_in[72]
PIN la_data_in[73]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 565.430 0.000 565.710 4.000 ;
END
END la_data_in[73]
PIN la_data_in[74]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 572.790 0.000 573.070 4.000 ;
END
END la_data_in[74]
PIN la_data_in[75]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 580.610 0.000 580.890 4.000 ;
END
END la_data_in[75]
PIN la_data_in[76]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 588.430 0.000 588.710 4.000 ;
END
END la_data_in[76]
PIN la_data_in[77]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 596.250 0.000 596.530 4.000 ;
END
END la_data_in[77]
PIN la_data_in[78]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 604.070 0.000 604.350 4.000 ;
END
END la_data_in[78]
PIN la_data_in[79]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 611.430 0.000 611.710 4.000 ;
END
END la_data_in[79]
PIN la_data_in[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 52.530 0.000 52.810 4.000 ;
END
END la_data_in[7]
PIN la_data_in[80]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 619.250 0.000 619.530 4.000 ;
END
END la_data_in[80]
PIN la_data_in[81]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 627.070 0.000 627.350 4.000 ;
END
END la_data_in[81]
PIN la_data_in[82]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 634.890 0.000 635.170 4.000 ;
END
END la_data_in[82]
PIN la_data_in[83]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 642.710 0.000 642.990 4.000 ;
END
END la_data_in[83]
PIN la_data_in[84]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 650.070 0.000 650.350 4.000 ;
END
END la_data_in[84]
PIN la_data_in[85]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 657.890 0.000 658.170 4.000 ;
END
END la_data_in[85]
PIN la_data_in[86]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 665.710 0.000 665.990 4.000 ;
END
END la_data_in[86]
PIN la_data_in[87]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 673.530 0.000 673.810 4.000 ;
END
END la_data_in[87]
PIN la_data_in[88]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 681.350 0.000 681.630 4.000 ;
END
END la_data_in[88]
PIN la_data_in[89]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 689.170 0.000 689.450 4.000 ;
END
END la_data_in[89]
PIN la_data_in[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 59.890 0.000 60.170 4.000 ;
END
END la_data_in[8]
PIN la_data_in[90]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 696.530 0.000 696.810 4.000 ;
END
END la_data_in[90]
PIN la_data_in[91]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 704.350 0.000 704.630 4.000 ;
END
END la_data_in[91]
PIN la_data_in[92]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 712.170 0.000 712.450 4.000 ;
END
END la_data_in[92]
PIN la_data_in[93]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 719.990 0.000 720.270 4.000 ;
END
END la_data_in[93]
PIN la_data_in[94]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 727.810 0.000 728.090 4.000 ;
END
END la_data_in[94]
PIN la_data_in[95]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 735.170 0.000 735.450 4.000 ;
END
END la_data_in[95]
PIN la_data_in[96]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 742.990 0.000 743.270 4.000 ;
END
END la_data_in[96]
PIN la_data_in[97]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 750.810 0.000 751.090 4.000 ;
END
END la_data_in[97]
PIN la_data_in[98]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 758.630 0.000 758.910 4.000 ;
END
END la_data_in[98]
PIN la_data_in[99]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 766.450 0.000 766.730 4.000 ;
END
END la_data_in[99]
PIN la_data_in[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 67.710 0.000 67.990 4.000 ;
END
END la_data_in[9]
PIN la_data_out[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1.010 0.000 1.290 4.000 ;
END
END la_data_out[0]
PIN la_data_out[100]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 776.570 0.000 776.850 4.000 ;
END
END la_data_out[100]
PIN la_data_out[101]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 784.390 0.000 784.670 4.000 ;
END
END la_data_out[101]
PIN la_data_out[102]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 792.210 0.000 792.490 4.000 ;
END
END la_data_out[102]
PIN la_data_out[103]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 799.570 0.000 799.850 4.000 ;
END
END la_data_out[103]
PIN la_data_out[104]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 807.390 0.000 807.670 4.000 ;
END
END la_data_out[104]
PIN la_data_out[105]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 815.210 0.000 815.490 4.000 ;
END
END la_data_out[105]
PIN la_data_out[106]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 823.030 0.000 823.310 4.000 ;
END
END la_data_out[106]
PIN la_data_out[107]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 830.850 0.000 831.130 4.000 ;
END
END la_data_out[107]
PIN la_data_out[108]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 838.670 0.000 838.950 4.000 ;
END
END la_data_out[108]
PIN la_data_out[109]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 846.030 0.000 846.310 4.000 ;
END
END la_data_out[109]
PIN la_data_out[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 78.290 0.000 78.570 4.000 ;
END
END la_data_out[10]
PIN la_data_out[110]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 853.850 0.000 854.130 4.000 ;
END
END la_data_out[110]
PIN la_data_out[111]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 861.670 0.000 861.950 4.000 ;
END
END la_data_out[111]
PIN la_data_out[112]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 869.490 0.000 869.770 4.000 ;
END
END la_data_out[112]
PIN la_data_out[113]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 877.310 0.000 877.590 4.000 ;
END
END la_data_out[113]
PIN la_data_out[114]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 884.670 0.000 884.950 4.000 ;
END
END la_data_out[114]
PIN la_data_out[115]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 892.490 0.000 892.770 4.000 ;
END
END la_data_out[115]
PIN la_data_out[116]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 900.310 0.000 900.590 4.000 ;
END
END la_data_out[116]
PIN la_data_out[117]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 908.130 0.000 908.410 4.000 ;
END
END la_data_out[117]
PIN la_data_out[118]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 915.950 0.000 916.230 4.000 ;
END
END la_data_out[118]
PIN la_data_out[119]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 923.310 0.000 923.590 4.000 ;
END
END la_data_out[119]
PIN la_data_out[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 85.650 0.000 85.930 4.000 ;
END
END la_data_out[11]
PIN la_data_out[120]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 931.130 0.000 931.410 4.000 ;
END
END la_data_out[120]
PIN la_data_out[121]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 938.950 0.000 939.230 4.000 ;
END
END la_data_out[121]
PIN la_data_out[122]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 946.770 0.000 947.050 4.000 ;
END
END la_data_out[122]
PIN la_data_out[123]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 954.590 0.000 954.870 4.000 ;
END
END la_data_out[123]
PIN la_data_out[124]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 961.950 0.000 962.230 4.000 ;
END
END la_data_out[124]
PIN la_data_out[125]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 969.770 0.000 970.050 4.000 ;
END
END la_data_out[125]
PIN la_data_out[126]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 977.590 0.000 977.870 4.000 ;
END
END la_data_out[126]
PIN la_data_out[127]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 985.410 0.000 985.690 4.000 ;
END
END la_data_out[127]
PIN la_data_out[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 93.470 0.000 93.750 4.000 ;
END
END la_data_out[12]
PIN la_data_out[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 101.290 0.000 101.570 4.000 ;
END
END la_data_out[13]
PIN la_data_out[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 109.110 0.000 109.390 4.000 ;
END
END la_data_out[14]
PIN la_data_out[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 116.930 0.000 117.210 4.000 ;
END
END la_data_out[15]
PIN la_data_out[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 124.290 0.000 124.570 4.000 ;
END
END la_data_out[16]
PIN la_data_out[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 132.110 0.000 132.390 4.000 ;
END
END la_data_out[17]
PIN la_data_out[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 139.930 0.000 140.210 4.000 ;
END
END la_data_out[18]
PIN la_data_out[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 147.750 0.000 148.030 4.000 ;
END
END la_data_out[19]
PIN la_data_out[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 8.370 0.000 8.650 4.000 ;
END
END la_data_out[1]
PIN la_data_out[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 155.570 0.000 155.850 4.000 ;
END
END la_data_out[20]
PIN la_data_out[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 165.690 0.000 165.970 4.000 ;
END
END la_data_out[21]
PIN la_data_out[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 173.510 0.000 173.790 4.000 ;
END
END la_data_out[22]
PIN la_data_out[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 181.330 0.000 181.610 4.000 ;
END
END la_data_out[23]
PIN la_data_out[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 189.150 0.000 189.430 4.000 ;
END
END la_data_out[24]
PIN la_data_out[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 196.510 0.000 196.790 4.000 ;
END
END la_data_out[25]
PIN la_data_out[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 204.330 0.000 204.610 4.000 ;
END
END la_data_out[26]
PIN la_data_out[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 212.150 0.000 212.430 4.000 ;
END
END la_data_out[27]
PIN la_data_out[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 219.970 0.000 220.250 4.000 ;
END
END la_data_out[28]
PIN la_data_out[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 227.790 0.000 228.070 4.000 ;
END
END la_data_out[29]
PIN la_data_out[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 16.190 0.000 16.470 4.000 ;
END
END la_data_out[2]
PIN la_data_out[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 235.150 0.000 235.430 4.000 ;
END
END la_data_out[30]
PIN la_data_out[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 242.970 0.000 243.250 4.000 ;
END
END la_data_out[31]
PIN la_data_out[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 250.790 0.000 251.070 4.000 ;
END
END la_data_out[32]
PIN la_data_out[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 258.610 0.000 258.890 4.000 ;
END
END la_data_out[33]
PIN la_data_out[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 266.430 0.000 266.710 4.000 ;
END
END la_data_out[34]
PIN la_data_out[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 273.790 0.000 274.070 4.000 ;
END
END la_data_out[35]
PIN la_data_out[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 281.610 0.000 281.890 4.000 ;
END
END la_data_out[36]
PIN la_data_out[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 289.430 0.000 289.710 4.000 ;
END
END la_data_out[37]
PIN la_data_out[38]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 297.250 0.000 297.530 4.000 ;
END
END la_data_out[38]
PIN la_data_out[39]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 305.070 0.000 305.350 4.000 ;
END
END la_data_out[39]
PIN la_data_out[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 24.010 0.000 24.290 4.000 ;
END
END la_data_out[3]
PIN la_data_out[40]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 312.430 0.000 312.710 4.000 ;
END
END la_data_out[40]
PIN la_data_out[41]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 320.250 0.000 320.530 4.000 ;
END
END la_data_out[41]
PIN la_data_out[42]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 328.070 0.000 328.350 4.000 ;
END
END la_data_out[42]
PIN la_data_out[43]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 335.890 0.000 336.170 4.000 ;
END
END la_data_out[43]
PIN la_data_out[44]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 343.710 0.000 343.990 4.000 ;
END
END la_data_out[44]
PIN la_data_out[45]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 351.530 0.000 351.810 4.000 ;
END
END la_data_out[45]
PIN la_data_out[46]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 358.890 0.000 359.170 4.000 ;
END
END la_data_out[46]
PIN la_data_out[47]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 366.710 0.000 366.990 4.000 ;
END
END la_data_out[47]
PIN la_data_out[48]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 374.530 0.000 374.810 4.000 ;
END
END la_data_out[48]
PIN la_data_out[49]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 382.350 0.000 382.630 4.000 ;
END
END la_data_out[49]
PIN la_data_out[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 31.830 0.000 32.110 4.000 ;
END
END la_data_out[4]
PIN la_data_out[50]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 390.170 0.000 390.450 4.000 ;
END
END la_data_out[50]
PIN la_data_out[51]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 397.530 0.000 397.810 4.000 ;
END
END la_data_out[51]
PIN la_data_out[52]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 405.350 0.000 405.630 4.000 ;
END
END la_data_out[52]
PIN la_data_out[53]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 413.170 0.000 413.450 4.000 ;
END
END la_data_out[53]
PIN la_data_out[54]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 420.990 0.000 421.270 4.000 ;
END
END la_data_out[54]
PIN la_data_out[55]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 428.810 0.000 429.090 4.000 ;
END
END la_data_out[55]
PIN la_data_out[56]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 436.170 0.000 436.450 4.000 ;
END
END la_data_out[56]
PIN la_data_out[57]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 443.990 0.000 444.270 4.000 ;
END
END la_data_out[57]
PIN la_data_out[58]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 451.810 0.000 452.090 4.000 ;
END
END la_data_out[58]
PIN la_data_out[59]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 459.630 0.000 459.910 4.000 ;
END
END la_data_out[59]
PIN la_data_out[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 39.650 0.000 39.930 4.000 ;
END
END la_data_out[5]
PIN la_data_out[60]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 467.450 0.000 467.730 4.000 ;
END
END la_data_out[60]
PIN la_data_out[61]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 474.810 0.000 475.090 4.000 ;
END
END la_data_out[61]
PIN la_data_out[62]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 482.630 0.000 482.910 4.000 ;
END
END la_data_out[62]
PIN la_data_out[63]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 490.450 0.000 490.730 4.000 ;
END
END la_data_out[63]
PIN la_data_out[64]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 498.270 0.000 498.550 4.000 ;
END
END la_data_out[64]
PIN la_data_out[65]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 506.090 0.000 506.370 4.000 ;
END
END la_data_out[65]
PIN la_data_out[66]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 513.910 0.000 514.190 4.000 ;
END
END la_data_out[66]
PIN la_data_out[67]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 521.270 0.000 521.550 4.000 ;
END
END la_data_out[67]
PIN la_data_out[68]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 529.090 0.000 529.370 4.000 ;
END
END la_data_out[68]
PIN la_data_out[69]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 536.910 0.000 537.190 4.000 ;
END
END la_data_out[69]
PIN la_data_out[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 47.010 0.000 47.290 4.000 ;
END
END la_data_out[6]
PIN la_data_out[70]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 544.730 0.000 545.010 4.000 ;
END
END la_data_out[70]
PIN la_data_out[71]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 552.550 0.000 552.830 4.000 ;
END
END la_data_out[71]
PIN la_data_out[72]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 559.910 0.000 560.190 4.000 ;
END
END la_data_out[72]
PIN la_data_out[73]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 567.730 0.000 568.010 4.000 ;
END
END la_data_out[73]
PIN la_data_out[74]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 575.550 0.000 575.830 4.000 ;
END
END la_data_out[74]
PIN la_data_out[75]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 583.370 0.000 583.650 4.000 ;
END
END la_data_out[75]
PIN la_data_out[76]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 591.190 0.000 591.470 4.000 ;
END
END la_data_out[76]
PIN la_data_out[77]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 598.550 0.000 598.830 4.000 ;
END
END la_data_out[77]
PIN la_data_out[78]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 606.370 0.000 606.650 4.000 ;
END
END la_data_out[78]
PIN la_data_out[79]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 614.190 0.000 614.470 4.000 ;
END
END la_data_out[79]
PIN la_data_out[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 54.830 0.000 55.110 4.000 ;
END
END la_data_out[7]
PIN la_data_out[80]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 622.010 0.000 622.290 4.000 ;
END
END la_data_out[80]
PIN la_data_out[81]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 629.830 0.000 630.110 4.000 ;
END
END la_data_out[81]
PIN la_data_out[82]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 637.190 0.000 637.470 4.000 ;
END
END la_data_out[82]
PIN la_data_out[83]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 645.010 0.000 645.290 4.000 ;
END
END la_data_out[83]
PIN la_data_out[84]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 652.830 0.000 653.110 4.000 ;
END
END la_data_out[84]
PIN la_data_out[85]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 660.650 0.000 660.930 4.000 ;
END
END la_data_out[85]
PIN la_data_out[86]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 668.470 0.000 668.750 4.000 ;
END
END la_data_out[86]
PIN la_data_out[87]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 676.290 0.000 676.570 4.000 ;
END
END la_data_out[87]
PIN la_data_out[88]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 683.650 0.000 683.930 4.000 ;
END
END la_data_out[88]
PIN la_data_out[89]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 691.470 0.000 691.750 4.000 ;
END
END la_data_out[89]
PIN la_data_out[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 62.650 0.000 62.930 4.000 ;
END
END la_data_out[8]
PIN la_data_out[90]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 699.290 0.000 699.570 4.000 ;
END
END la_data_out[90]
PIN la_data_out[91]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 707.110 0.000 707.390 4.000 ;
END
END la_data_out[91]
PIN la_data_out[92]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 714.930 0.000 715.210 4.000 ;
END
END la_data_out[92]
PIN la_data_out[93]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 722.290 0.000 722.570 4.000 ;
END
END la_data_out[93]
PIN la_data_out[94]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 730.110 0.000 730.390 4.000 ;
END
END la_data_out[94]
PIN la_data_out[95]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 737.930 0.000 738.210 4.000 ;
END
END la_data_out[95]
PIN la_data_out[96]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 745.750 0.000 746.030 4.000 ;
END
END la_data_out[96]
PIN la_data_out[97]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 753.570 0.000 753.850 4.000 ;
END
END la_data_out[97]
PIN la_data_out[98]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 760.930 0.000 761.210 4.000 ;
END
END la_data_out[98]
PIN la_data_out[99]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 768.750 0.000 769.030 4.000 ;
END
END la_data_out[99]
PIN la_data_out[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 70.470 0.000 70.750 4.000 ;
END
END la_data_out[9]
PIN la_oenb[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 3.310 0.000 3.590 4.000 ;
END
END la_oenb[0]
PIN la_oenb[100]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 779.330 0.000 779.610 4.000 ;
END
END la_oenb[100]
PIN la_oenb[101]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 786.690 0.000 786.970 4.000 ;
END
END la_oenb[101]
PIN la_oenb[102]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 794.510 0.000 794.790 4.000 ;
END
END la_oenb[102]
PIN la_oenb[103]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 802.330 0.000 802.610 4.000 ;
END
END la_oenb[103]
PIN la_oenb[104]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 810.150 0.000 810.430 4.000 ;
END
END la_oenb[104]
PIN la_oenb[105]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 817.970 0.000 818.250 4.000 ;
END
END la_oenb[105]
PIN la_oenb[106]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 825.330 0.000 825.610 4.000 ;
END
END la_oenb[106]
PIN la_oenb[107]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 833.150 0.000 833.430 4.000 ;
END
END la_oenb[107]
PIN la_oenb[108]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 840.970 0.000 841.250 4.000 ;
END
END la_oenb[108]
PIN la_oenb[109]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 848.790 0.000 849.070 4.000 ;
END
END la_oenb[109]
PIN la_oenb[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 80.590 0.000 80.870 4.000 ;
END
END la_oenb[10]
PIN la_oenb[110]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 856.610 0.000 856.890 4.000 ;
END
END la_oenb[110]
PIN la_oenb[111]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 864.430 0.000 864.710 4.000 ;
END
END la_oenb[111]
PIN la_oenb[112]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 871.790 0.000 872.070 4.000 ;
END
END la_oenb[112]
PIN la_oenb[113]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 879.610 0.000 879.890 4.000 ;
END
END la_oenb[113]
PIN la_oenb[114]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 887.430 0.000 887.710 4.000 ;
END
END la_oenb[114]
PIN la_oenb[115]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 895.250 0.000 895.530 4.000 ;
END
END la_oenb[115]
PIN la_oenb[116]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 903.070 0.000 903.350 4.000 ;
END
END la_oenb[116]
PIN la_oenb[117]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 910.430 0.000 910.710 4.000 ;
END
END la_oenb[117]
PIN la_oenb[118]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 918.250 0.000 918.530 4.000 ;
END
END la_oenb[118]
PIN la_oenb[119]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 926.070 0.000 926.350 4.000 ;
END
END la_oenb[119]
PIN la_oenb[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 88.410 0.000 88.690 4.000 ;
END
END la_oenb[11]
PIN la_oenb[120]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 933.890 0.000 934.170 4.000 ;
END
END la_oenb[120]
PIN la_oenb[121]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 941.710 0.000 941.990 4.000 ;
END
END la_oenb[121]
PIN la_oenb[122]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 949.070 0.000 949.350 4.000 ;
END
END la_oenb[122]
PIN la_oenb[123]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 956.890 0.000 957.170 4.000 ;
END
END la_oenb[123]
PIN la_oenb[124]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 964.710 0.000 964.990 4.000 ;
END
END la_oenb[124]
PIN la_oenb[125]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 972.530 0.000 972.810 4.000 ;
END
END la_oenb[125]
PIN la_oenb[126]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 980.350 0.000 980.630 4.000 ;
END
END la_oenb[126]
PIN la_oenb[127]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 987.710 0.000 987.990 4.000 ;
END
END la_oenb[127]
PIN la_oenb[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 96.230 0.000 96.510 4.000 ;
END
END la_oenb[12]
PIN la_oenb[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 104.050 0.000 104.330 4.000 ;
END
END la_oenb[13]
PIN la_oenb[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 111.410 0.000 111.690 4.000 ;
END
END la_oenb[14]
PIN la_oenb[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 119.230 0.000 119.510 4.000 ;
END
END la_oenb[15]
PIN la_oenb[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 127.050 0.000 127.330 4.000 ;
END
END la_oenb[16]
PIN la_oenb[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 134.870 0.000 135.150 4.000 ;
END
END la_oenb[17]
PIN la_oenb[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 142.690 0.000 142.970 4.000 ;
END
END la_oenb[18]
PIN la_oenb[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 150.050 0.000 150.330 4.000 ;
END
END la_oenb[19]
PIN la_oenb[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 11.130 0.000 11.410 4.000 ;
END
END la_oenb[1]
PIN la_oenb[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 157.870 0.000 158.150 4.000 ;
END
END la_oenb[20]
PIN la_oenb[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 168.450 0.000 168.730 4.000 ;
END
END la_oenb[21]
PIN la_oenb[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 176.270 0.000 176.550 4.000 ;
END
END la_oenb[22]
PIN la_oenb[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 183.630 0.000 183.910 4.000 ;
END
END la_oenb[23]
PIN la_oenb[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 191.450 0.000 191.730 4.000 ;
END
END la_oenb[24]
PIN la_oenb[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 199.270 0.000 199.550 4.000 ;
END
END la_oenb[25]
PIN la_oenb[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 207.090 0.000 207.370 4.000 ;
END
END la_oenb[26]
PIN la_oenb[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 214.910 0.000 215.190 4.000 ;
END
END la_oenb[27]
PIN la_oenb[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 222.270 0.000 222.550 4.000 ;
END
END la_oenb[28]
PIN la_oenb[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 230.090 0.000 230.370 4.000 ;
END
END la_oenb[29]
PIN la_oenb[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 18.950 0.000 19.230 4.000 ;
END
END la_oenb[2]
PIN la_oenb[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 237.910 0.000 238.190 4.000 ;
END
END la_oenb[30]
PIN la_oenb[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 245.730 0.000 246.010 4.000 ;
END
END la_oenb[31]
PIN la_oenb[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 253.550 0.000 253.830 4.000 ;
END
END la_oenb[32]
PIN la_oenb[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 260.910 0.000 261.190 4.000 ;
END
END la_oenb[33]
PIN la_oenb[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 268.730 0.000 269.010 4.000 ;
END
END la_oenb[34]
PIN la_oenb[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 276.550 0.000 276.830 4.000 ;
END
END la_oenb[35]
PIN la_oenb[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 284.370 0.000 284.650 4.000 ;
END
END la_oenb[36]
PIN la_oenb[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 292.190 0.000 292.470 4.000 ;
END
END la_oenb[37]
PIN la_oenb[38]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 299.550 0.000 299.830 4.000 ;
END
END la_oenb[38]
PIN la_oenb[39]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 307.370 0.000 307.650 4.000 ;
END
END la_oenb[39]
PIN la_oenb[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 26.770 0.000 27.050 4.000 ;
END
END la_oenb[3]
PIN la_oenb[40]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 315.190 0.000 315.470 4.000 ;
END
END la_oenb[40]
PIN la_oenb[41]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 323.010 0.000 323.290 4.000 ;
END
END la_oenb[41]
PIN la_oenb[42]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 330.830 0.000 331.110 4.000 ;
END
END la_oenb[42]
PIN la_oenb[43]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 338.650 0.000 338.930 4.000 ;
END
END la_oenb[43]
PIN la_oenb[44]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 346.010 0.000 346.290 4.000 ;
END
END la_oenb[44]
PIN la_oenb[45]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 353.830 0.000 354.110 4.000 ;
END
END la_oenb[45]
PIN la_oenb[46]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 361.650 0.000 361.930 4.000 ;
END
END la_oenb[46]
PIN la_oenb[47]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 369.470 0.000 369.750 4.000 ;
END
END la_oenb[47]
PIN la_oenb[48]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 377.290 0.000 377.570 4.000 ;
END
END la_oenb[48]
PIN la_oenb[49]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 384.650 0.000 384.930 4.000 ;
END
END la_oenb[49]
PIN la_oenb[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 34.130 0.000 34.410 4.000 ;
END
END la_oenb[4]
PIN la_oenb[50]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 392.470 0.000 392.750 4.000 ;
END
END la_oenb[50]
PIN la_oenb[51]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 400.290 0.000 400.570 4.000 ;
END
END la_oenb[51]
PIN la_oenb[52]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 408.110 0.000 408.390 4.000 ;
END
END la_oenb[52]
PIN la_oenb[53]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 415.930 0.000 416.210 4.000 ;
END
END la_oenb[53]
PIN la_oenb[54]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 423.290 0.000 423.570 4.000 ;
END
END la_oenb[54]
PIN la_oenb[55]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 431.110 0.000 431.390 4.000 ;
END
END la_oenb[55]
PIN la_oenb[56]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 438.930 0.000 439.210 4.000 ;
END
END la_oenb[56]
PIN la_oenb[57]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 446.750 0.000 447.030 4.000 ;
END
END la_oenb[57]
PIN la_oenb[58]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 454.570 0.000 454.850 4.000 ;
END
END la_oenb[58]
PIN la_oenb[59]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 461.930 0.000 462.210 4.000 ;
END
END la_oenb[59]
PIN la_oenb[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 41.950 0.000 42.230 4.000 ;
END
END la_oenb[5]
PIN la_oenb[60]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 469.750 0.000 470.030 4.000 ;
END
END la_oenb[60]
PIN la_oenb[61]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 477.570 0.000 477.850 4.000 ;
END
END la_oenb[61]
PIN la_oenb[62]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 485.390 0.000 485.670 4.000 ;
END
END la_oenb[62]
PIN la_oenb[63]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 493.210 0.000 493.490 4.000 ;
END
END la_oenb[63]
PIN la_oenb[64]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 501.030 0.000 501.310 4.000 ;
END
END la_oenb[64]
PIN la_oenb[65]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 508.390 0.000 508.670 4.000 ;
END
END la_oenb[65]
PIN la_oenb[66]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 516.210 0.000 516.490 4.000 ;
END
END la_oenb[66]
PIN la_oenb[67]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 524.030 0.000 524.310 4.000 ;
END
END la_oenb[67]
PIN la_oenb[68]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 531.850 0.000 532.130 4.000 ;
END
END la_oenb[68]
PIN la_oenb[69]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 539.670 0.000 539.950 4.000 ;
END
END la_oenb[69]
PIN la_oenb[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 49.770 0.000 50.050 4.000 ;
END
END la_oenb[6]
PIN la_oenb[70]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 547.030 0.000 547.310 4.000 ;
END
END la_oenb[70]
PIN la_oenb[71]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 554.850 0.000 555.130 4.000 ;
END
END la_oenb[71]
PIN la_oenb[72]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 562.670 0.000 562.950 4.000 ;
END
END la_oenb[72]
PIN la_oenb[73]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 570.490 0.000 570.770 4.000 ;
END
END la_oenb[73]
PIN la_oenb[74]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 578.310 0.000 578.590 4.000 ;
END
END la_oenb[74]
PIN la_oenb[75]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 585.670 0.000 585.950 4.000 ;
END
END la_oenb[75]
PIN la_oenb[76]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 593.490 0.000 593.770 4.000 ;
END
END la_oenb[76]
PIN la_oenb[77]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 601.310 0.000 601.590 4.000 ;
END
END la_oenb[77]
PIN la_oenb[78]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 609.130 0.000 609.410 4.000 ;
END
END la_oenb[78]
PIN la_oenb[79]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 616.950 0.000 617.230 4.000 ;
END
END la_oenb[79]
PIN la_oenb[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 57.590 0.000 57.870 4.000 ;
END
END la_oenb[7]
PIN la_oenb[80]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 624.310 0.000 624.590 4.000 ;
END
END la_oenb[80]
PIN la_oenb[81]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 632.130 0.000 632.410 4.000 ;
END
END la_oenb[81]
PIN la_oenb[82]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 639.950 0.000 640.230 4.000 ;
END
END la_oenb[82]
PIN la_oenb[83]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 647.770 0.000 648.050 4.000 ;
END
END la_oenb[83]
PIN la_oenb[84]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 655.590 0.000 655.870 4.000 ;
END
END la_oenb[84]
PIN la_oenb[85]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 662.950 0.000 663.230 4.000 ;
END
END la_oenb[85]
PIN la_oenb[86]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 670.770 0.000 671.050 4.000 ;
END
END la_oenb[86]
PIN la_oenb[87]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 678.590 0.000 678.870 4.000 ;
END
END la_oenb[87]
PIN la_oenb[88]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 686.410 0.000 686.690 4.000 ;
END
END la_oenb[88]
PIN la_oenb[89]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 694.230 0.000 694.510 4.000 ;
END
END la_oenb[89]
PIN la_oenb[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 65.410 0.000 65.690 4.000 ;
END
END la_oenb[8]
PIN la_oenb[90]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 702.050 0.000 702.330 4.000 ;
END
END la_oenb[90]
PIN la_oenb[91]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 709.410 0.000 709.690 4.000 ;
END
END la_oenb[91]
PIN la_oenb[92]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 717.230 0.000 717.510 4.000 ;
END
END la_oenb[92]
PIN la_oenb[93]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 725.050 0.000 725.330 4.000 ;
END
END la_oenb[93]
PIN la_oenb[94]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 732.870 0.000 733.150 4.000 ;
END
END la_oenb[94]
PIN la_oenb[95]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 740.690 0.000 740.970 4.000 ;
END
END la_oenb[95]
PIN la_oenb[96]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 748.050 0.000 748.330 4.000 ;
END
END la_oenb[96]
PIN la_oenb[97]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 755.870 0.000 756.150 4.000 ;
END
END la_oenb[97]
PIN la_oenb[98]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 763.690 0.000 763.970 4.000 ;
END
END la_oenb[98]
PIN la_oenb[99]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 771.510 0.000 771.790 4.000 ;
END
END la_oenb[99]
PIN la_oenb[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 72.770 0.000 73.050 4.000 ;
END
END la_oenb[9]
PIN user_clock2
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 990.470 0.000 990.750 4.000 ;
END
END user_clock2
PIN vccd1
DIRECTION INPUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 21.040 10.640 22.640 886.960 ;
END
PORT
LAYER met4 ;
RECT 174.640 10.640 176.240 886.960 ;
END
PORT
LAYER met4 ;
RECT 328.240 10.640 329.840 886.960 ;
END
PORT
LAYER met4 ;
RECT 481.840 10.640 483.440 886.960 ;
END
PORT
LAYER met4 ;
RECT 635.440 10.640 637.040 886.960 ;
END
PORT
LAYER met4 ;
RECT 789.040 10.640 790.640 886.960 ;
END
PORT
LAYER met4 ;
RECT 942.640 10.640 944.240 886.960 ;
END
END vccd1
PIN vssd1
DIRECTION INPUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 97.840 10.640 99.440 886.960 ;
END
PORT
LAYER met4 ;
RECT 251.440 10.640 253.040 886.960 ;
END
PORT
LAYER met4 ;
RECT 405.040 10.640 406.640 886.960 ;
END
PORT
LAYER met4 ;
RECT 558.640 10.640 560.240 886.960 ;
END
PORT
LAYER met4 ;
RECT 712.240 10.640 713.840 886.960 ;
END
PORT
LAYER met4 ;
RECT 865.840 10.640 867.440 886.960 ;
END
END vssd1
OBS
LAYER li1 ;
RECT 5.520 10.795 994.060 886.805 ;
LAYER met1 ;
RECT 0.990 5.480 998.590 886.960 ;
LAYER met2 ;
RECT 1.020 895.720 5.790 896.650 ;
RECT 6.630 895.720 18.210 896.650 ;
RECT 19.050 895.720 31.090 896.650 ;
RECT 31.930 895.720 43.970 896.650 ;
RECT 44.810 895.720 56.850 896.650 ;
RECT 57.690 895.720 69.730 896.650 ;
RECT 70.570 895.720 82.610 896.650 ;
RECT 83.450 895.720 95.490 896.650 ;
RECT 96.330 895.720 107.910 896.650 ;
RECT 108.750 895.720 120.790 896.650 ;
RECT 121.630 895.720 133.670 896.650 ;
RECT 134.510 895.720 146.550 896.650 ;
RECT 147.390 895.720 159.430 896.650 ;
RECT 160.270 895.720 172.310 896.650 ;
RECT 173.150 895.720 185.190 896.650 ;
RECT 186.030 895.720 198.070 896.650 ;
RECT 198.910 895.720 210.490 896.650 ;
RECT 211.330 895.720 223.370 896.650 ;
RECT 224.210 895.720 236.250 896.650 ;
RECT 237.090 895.720 249.130 896.650 ;
RECT 249.970 895.720 262.010 896.650 ;
RECT 262.850 895.720 274.890 896.650 ;
RECT 275.730 895.720 287.770 896.650 ;
RECT 288.610 895.720 300.650 896.650 ;
RECT 301.490 895.720 313.070 896.650 ;
RECT 313.910 895.720 325.950 896.650 ;
RECT 326.790 895.720 338.830 896.650 ;
RECT 339.670 895.720 351.710 896.650 ;
RECT 352.550 895.720 364.590 896.650 ;
RECT 365.430 895.720 377.470 896.650 ;
RECT 378.310 895.720 390.350 896.650 ;
RECT 391.190 895.720 403.230 896.650 ;
RECT 404.070 895.720 415.650 896.650 ;
RECT 416.490 895.720 428.530 896.650 ;
RECT 429.370 895.720 441.410 896.650 ;
RECT 442.250 895.720 454.290 896.650 ;
RECT 455.130 895.720 467.170 896.650 ;
RECT 468.010 895.720 480.050 896.650 ;
RECT 480.890 895.720 492.930 896.650 ;
RECT 493.770 895.720 505.810 896.650 ;
RECT 506.650 895.720 518.230 896.650 ;
RECT 519.070 895.720 531.110 896.650 ;
RECT 531.950 895.720 543.990 896.650 ;
RECT 544.830 895.720 556.870 896.650 ;
RECT 557.710 895.720 569.750 896.650 ;
RECT 570.590 895.720 582.630 896.650 ;
RECT 583.470 895.720 595.510 896.650 ;
RECT 596.350 895.720 607.930 896.650 ;
RECT 608.770 895.720 620.810 896.650 ;
RECT 621.650 895.720 633.690 896.650 ;
RECT 634.530 895.720 646.570 896.650 ;
RECT 647.410 895.720 659.450 896.650 ;
RECT 660.290 895.720 672.330 896.650 ;
RECT 673.170 895.720 685.210 896.650 ;
RECT 686.050 895.720 698.090 896.650 ;
RECT 698.930 895.720 710.510 896.650 ;
RECT 711.350 895.720 723.390 896.650 ;
RECT 724.230 895.720 736.270 896.650 ;
RECT 737.110 895.720 749.150 896.650 ;
RECT 749.990 895.720 762.030 896.650 ;
RECT 762.870 895.720 774.910 896.650 ;
RECT 775.750 895.720 787.790 896.650 ;
RECT 788.630 895.720 800.670 896.650 ;
RECT 801.510 895.720 813.090 896.650 ;
RECT 813.930 895.720 825.970 896.650 ;
RECT 826.810 895.720 838.850 896.650 ;
RECT 839.690 895.720 851.730 896.650 ;
RECT 852.570 895.720 864.610 896.650 ;
RECT 865.450 895.720 877.490 896.650 ;
RECT 878.330 895.720 890.370 896.650 ;
RECT 891.210 895.720 903.250 896.650 ;
RECT 904.090 895.720 915.670 896.650 ;
RECT 916.510 895.720 928.550 896.650 ;
RECT 929.390 895.720 941.430 896.650 ;
RECT 942.270 895.720 954.310 896.650 ;
RECT 955.150 895.720 967.190 896.650 ;
RECT 968.030 895.720 980.070 896.650 ;
RECT 980.910 895.720 992.950 896.650 ;
RECT 993.790 895.720 998.560 896.650 ;
RECT 1.020 4.280 998.560 895.720 ;
RECT 1.570 3.670 3.030 4.280 ;
RECT 3.870 3.670 5.790 4.280 ;
RECT 6.630 3.670 8.090 4.280 ;
RECT 8.930 3.670 10.850 4.280 ;
RECT 11.690 3.670 13.610 4.280 ;
RECT 14.450 3.670 15.910 4.280 ;
RECT 16.750 3.670 18.670 4.280 ;
RECT 19.510 3.670 20.970 4.280 ;
RECT 21.810 3.670 23.730 4.280 ;
RECT 24.570 3.670 26.490 4.280 ;
RECT 27.330 3.670 28.790 4.280 ;
RECT 29.630 3.670 31.550 4.280 ;
RECT 32.390 3.670 33.850 4.280 ;
RECT 34.690 3.670 36.610 4.280 ;
RECT 37.450 3.670 39.370 4.280 ;
RECT 40.210 3.670 41.670 4.280 ;
RECT 42.510 3.670 44.430 4.280 ;
RECT 45.270 3.670 46.730 4.280 ;
RECT 47.570 3.670 49.490 4.280 ;
RECT 50.330 3.670 52.250 4.280 ;
RECT 53.090 3.670 54.550 4.280 ;
RECT 55.390 3.670 57.310 4.280 ;
RECT 58.150 3.670 59.610 4.280 ;
RECT 60.450 3.670 62.370 4.280 ;
RECT 63.210 3.670 65.130 4.280 ;
RECT 65.970 3.670 67.430 4.280 ;
RECT 68.270 3.670 70.190 4.280 ;
RECT 71.030 3.670 72.490 4.280 ;
RECT 73.330 3.670 75.250 4.280 ;
RECT 76.090 3.670 78.010 4.280 ;
RECT 78.850 3.670 80.310 4.280 ;
RECT 81.150 3.670 83.070 4.280 ;
RECT 83.910 3.670 85.370 4.280 ;
RECT 86.210 3.670 88.130 4.280 ;
RECT 88.970 3.670 90.890 4.280 ;
RECT 91.730 3.670 93.190 4.280 ;
RECT 94.030 3.670 95.950 4.280 ;
RECT 96.790 3.670 98.250 4.280 ;
RECT 99.090 3.670 101.010 4.280 ;
RECT 101.850 3.670 103.770 4.280 ;
RECT 104.610 3.670 106.070 4.280 ;
RECT 106.910 3.670 108.830 4.280 ;
RECT 109.670 3.670 111.130 4.280 ;
RECT 111.970 3.670 113.890 4.280 ;
RECT 114.730 3.670 116.650 4.280 ;
RECT 117.490 3.670 118.950 4.280 ;
RECT 119.790 3.670 121.710 4.280 ;
RECT 122.550 3.670 124.010 4.280 ;
RECT 124.850 3.670 126.770 4.280 ;
RECT 127.610 3.670 129.530 4.280 ;
RECT 130.370 3.670 131.830 4.280 ;
RECT 132.670 3.670 134.590 4.280 ;
RECT 135.430 3.670 136.890 4.280 ;
RECT 137.730 3.670 139.650 4.280 ;
RECT 140.490 3.670 142.410 4.280 ;
RECT 143.250 3.670 144.710 4.280 ;
RECT 145.550 3.670 147.470 4.280 ;
RECT 148.310 3.670 149.770 4.280 ;
RECT 150.610 3.670 152.530 4.280 ;
RECT 153.370 3.670 155.290 4.280 ;
RECT 156.130 3.670 157.590 4.280 ;
RECT 158.430 3.670 160.350 4.280 ;
RECT 161.190 3.670 162.650 4.280 ;
RECT 163.490 3.670 165.410 4.280 ;
RECT 166.250 3.670 168.170 4.280 ;
RECT 169.010 3.670 170.470 4.280 ;
RECT 171.310 3.670 173.230 4.280 ;
RECT 174.070 3.670 175.990 4.280 ;
RECT 176.830 3.670 178.290 4.280 ;
RECT 179.130 3.670 181.050 4.280 ;
RECT 181.890 3.670 183.350 4.280 ;
RECT 184.190 3.670 186.110 4.280 ;
RECT 186.950 3.670 188.870 4.280 ;
RECT 189.710 3.670 191.170 4.280 ;
RECT 192.010 3.670 193.930 4.280 ;
RECT 194.770 3.670 196.230 4.280 ;
RECT 197.070 3.670 198.990 4.280 ;
RECT 199.830 3.670 201.750 4.280 ;
RECT 202.590 3.670 204.050 4.280 ;
RECT 204.890 3.670 206.810 4.280 ;
RECT 207.650 3.670 209.110 4.280 ;
RECT 209.950 3.670 211.870 4.280 ;
RECT 212.710 3.670 214.630 4.280 ;
RECT 215.470 3.670 216.930 4.280 ;
RECT 217.770 3.670 219.690 4.280 ;
RECT 220.530 3.670 221.990 4.280 ;
RECT 222.830 3.670 224.750 4.280 ;
RECT 225.590 3.670 227.510 4.280 ;
RECT 228.350 3.670 229.810 4.280 ;
RECT 230.650 3.670 232.570 4.280 ;
RECT 233.410 3.670 234.870 4.280 ;
RECT 235.710 3.670 237.630 4.280 ;
RECT 238.470 3.670 240.390 4.280 ;
RECT 241.230 3.670 242.690 4.280 ;
RECT 243.530 3.670 245.450 4.280 ;
RECT 246.290 3.670 247.750 4.280 ;
RECT 248.590 3.670 250.510 4.280 ;
RECT 251.350 3.670 253.270 4.280 ;
RECT 254.110 3.670 255.570 4.280 ;
RECT 256.410 3.670 258.330 4.280 ;
RECT 259.170 3.670 260.630 4.280 ;
RECT 261.470 3.670 263.390 4.280 ;
RECT 264.230 3.670 266.150 4.280 ;
RECT 266.990 3.670 268.450 4.280 ;
RECT 269.290 3.670 271.210 4.280 ;
RECT 272.050 3.670 273.510 4.280 ;
RECT 274.350 3.670 276.270 4.280 ;
RECT 277.110 3.670 279.030 4.280 ;
RECT 279.870 3.670 281.330 4.280 ;
RECT 282.170 3.670 284.090 4.280 ;
RECT 284.930 3.670 286.390 4.280 ;
RECT 287.230 3.670 289.150 4.280 ;
RECT 289.990 3.670 291.910 4.280 ;
RECT 292.750 3.670 294.210 4.280 ;
RECT 295.050 3.670 296.970 4.280 ;
RECT 297.810 3.670 299.270 4.280 ;
RECT 300.110 3.670 302.030 4.280 ;
RECT 302.870 3.670 304.790 4.280 ;
RECT 305.630 3.670 307.090 4.280 ;
RECT 307.930 3.670 309.850 4.280 ;
RECT 310.690 3.670 312.150 4.280 ;
RECT 312.990 3.670 314.910 4.280 ;
RECT 315.750 3.670 317.670 4.280 ;
RECT 318.510 3.670 319.970 4.280 ;
RECT 320.810 3.670 322.730 4.280 ;
RECT 323.570 3.670 325.030 4.280 ;
RECT 325.870 3.670 327.790 4.280 ;
RECT 328.630 3.670 330.550 4.280 ;
RECT 331.390 3.670 332.850 4.280 ;
RECT 333.690 3.670 335.610 4.280 ;
RECT 336.450 3.670 338.370 4.280 ;
RECT 339.210 3.670 340.670 4.280 ;
RECT 341.510 3.670 343.430 4.280 ;
RECT 344.270 3.670 345.730 4.280 ;
RECT 346.570 3.670 348.490 4.280 ;
RECT 349.330 3.670 351.250 4.280 ;
RECT 352.090 3.670 353.550 4.280 ;
RECT 354.390 3.670 356.310 4.280 ;
RECT 357.150 3.670 358.610 4.280 ;
RECT 359.450 3.670 361.370 4.280 ;
RECT 362.210 3.670 364.130 4.280 ;
RECT 364.970 3.670 366.430 4.280 ;
RECT 367.270 3.670 369.190 4.280 ;
RECT 370.030 3.670 371.490 4.280 ;
RECT 372.330 3.670 374.250 4.280 ;
RECT 375.090 3.670 377.010 4.280 ;
RECT 377.850 3.670 379.310 4.280 ;
RECT 380.150 3.670 382.070 4.280 ;
RECT 382.910 3.670 384.370 4.280 ;
RECT 385.210 3.670 387.130 4.280 ;
RECT 387.970 3.670 389.890 4.280 ;
RECT 390.730 3.670 392.190 4.280 ;
RECT 393.030 3.670 394.950 4.280 ;
RECT 395.790 3.670 397.250 4.280 ;
RECT 398.090 3.670 400.010 4.280 ;
RECT 400.850 3.670 402.770 4.280 ;
RECT 403.610 3.670 405.070 4.280 ;
RECT 405.910 3.670 407.830 4.280 ;
RECT 408.670 3.670 410.130 4.280 ;
RECT 410.970 3.670 412.890 4.280 ;
RECT 413.730 3.670 415.650 4.280 ;
RECT 416.490 3.670 417.950 4.280 ;
RECT 418.790 3.670 420.710 4.280 ;
RECT 421.550 3.670 423.010 4.280 ;
RECT 423.850 3.670 425.770 4.280 ;
RECT 426.610 3.670 428.530 4.280 ;
RECT 429.370 3.670 430.830 4.280 ;
RECT 431.670 3.670 433.590 4.280 ;
RECT 434.430 3.670 435.890 4.280 ;
RECT 436.730 3.670 438.650 4.280 ;
RECT 439.490 3.670 441.410 4.280 ;
RECT 442.250 3.670 443.710 4.280 ;
RECT 444.550 3.670 446.470 4.280 ;
RECT 447.310 3.670 448.770 4.280 ;
RECT 449.610 3.670 451.530 4.280 ;
RECT 452.370 3.670 454.290 4.280 ;
RECT 455.130 3.670 456.590 4.280 ;
RECT 457.430 3.670 459.350 4.280 ;
RECT 460.190 3.670 461.650 4.280 ;
RECT 462.490 3.670 464.410 4.280 ;
RECT 465.250 3.670 467.170 4.280 ;
RECT 468.010 3.670 469.470 4.280 ;
RECT 470.310 3.670 472.230 4.280 ;
RECT 473.070 3.670 474.530 4.280 ;
RECT 475.370 3.670 477.290 4.280 ;
RECT 478.130 3.670 480.050 4.280 ;
RECT 480.890 3.670 482.350 4.280 ;
RECT 483.190 3.670 485.110 4.280 ;
RECT 485.950 3.670 487.410 4.280 ;
RECT 488.250 3.670 490.170 4.280 ;
RECT 491.010 3.670 492.930 4.280 ;
RECT 493.770 3.670 495.230 4.280 ;
RECT 496.070 3.670 497.990 4.280 ;
RECT 498.830 3.670 500.750 4.280 ;
RECT 501.590 3.670 503.050 4.280 ;
RECT 503.890 3.670 505.810 4.280 ;
RECT 506.650 3.670 508.110 4.280 ;
RECT 508.950 3.670 510.870 4.280 ;
RECT 511.710 3.670 513.630 4.280 ;
RECT 514.470 3.670 515.930 4.280 ;
RECT 516.770 3.670 518.690 4.280 ;
RECT 519.530 3.670 520.990 4.280 ;
RECT 521.830 3.670 523.750 4.280 ;
RECT 524.590 3.670 526.510 4.280 ;
RECT 527.350 3.670 528.810 4.280 ;
RECT 529.650 3.670 531.570 4.280 ;
RECT 532.410 3.670 533.870 4.280 ;
RECT 534.710 3.670 536.630 4.280 ;
RECT 537.470 3.670 539.390 4.280 ;
RECT 540.230 3.670 541.690 4.280 ;
RECT 542.530 3.670 544.450 4.280 ;
RECT 545.290 3.670 546.750 4.280 ;
RECT 547.590 3.670 549.510 4.280 ;
RECT 550.350 3.670 552.270 4.280 ;
RECT 553.110 3.670 554.570 4.280 ;
RECT 555.410 3.670 557.330 4.280 ;
RECT 558.170 3.670 559.630 4.280 ;
RECT 560.470 3.670 562.390 4.280 ;
RECT 563.230 3.670 565.150 4.280 ;
RECT 565.990 3.670 567.450 4.280 ;
RECT 568.290 3.670 570.210 4.280 ;
RECT 571.050 3.670 572.510 4.280 ;
RECT 573.350 3.670 575.270 4.280 ;
RECT 576.110 3.670 578.030 4.280 ;
RECT 578.870 3.670 580.330 4.280 ;
RECT 581.170 3.670 583.090 4.280 ;
RECT 583.930 3.670 585.390 4.280 ;
RECT 586.230 3.670 588.150 4.280 ;
RECT 588.990 3.670 590.910 4.280 ;
RECT 591.750 3.670 593.210 4.280 ;
RECT 594.050 3.670 595.970 4.280 ;
RECT 596.810 3.670 598.270 4.280 ;
RECT 599.110 3.670 601.030 4.280 ;
RECT 601.870 3.670 603.790 4.280 ;
RECT 604.630 3.670 606.090 4.280 ;
RECT 606.930 3.670 608.850 4.280 ;
RECT 609.690 3.670 611.150 4.280 ;
RECT 611.990 3.670 613.910 4.280 ;
RECT 614.750 3.670 616.670 4.280 ;
RECT 617.510 3.670 618.970 4.280 ;
RECT 619.810 3.670 621.730 4.280 ;
RECT 622.570 3.670 624.030 4.280 ;
RECT 624.870 3.670 626.790 4.280 ;
RECT 627.630 3.670 629.550 4.280 ;
RECT 630.390 3.670 631.850 4.280 ;
RECT 632.690 3.670 634.610 4.280 ;
RECT 635.450 3.670 636.910 4.280 ;
RECT 637.750 3.670 639.670 4.280 ;
RECT 640.510 3.670 642.430 4.280 ;
RECT 643.270 3.670 644.730 4.280 ;
RECT 645.570 3.670 647.490 4.280 ;
RECT 648.330 3.670 649.790 4.280 ;
RECT 650.630 3.670 652.550 4.280 ;
RECT 653.390 3.670 655.310 4.280 ;
RECT 656.150 3.670 657.610 4.280 ;
RECT 658.450 3.670 660.370 4.280 ;
RECT 661.210 3.670 662.670 4.280 ;
RECT 663.510 3.670 665.430 4.280 ;
RECT 666.270 3.670 668.190 4.280 ;
RECT 669.030 3.670 670.490 4.280 ;
RECT 671.330 3.670 673.250 4.280 ;
RECT 674.090 3.670 676.010 4.280 ;
RECT 676.850 3.670 678.310 4.280 ;
RECT 679.150 3.670 681.070 4.280 ;
RECT 681.910 3.670 683.370 4.280 ;
RECT 684.210 3.670 686.130 4.280 ;
RECT 686.970 3.670 688.890 4.280 ;
RECT 689.730 3.670 691.190 4.280 ;
RECT 692.030 3.670 693.950 4.280 ;
RECT 694.790 3.670 696.250 4.280 ;
RECT 697.090 3.670 699.010 4.280 ;
RECT 699.850 3.670 701.770 4.280 ;
RECT 702.610 3.670 704.070 4.280 ;
RECT 704.910 3.670 706.830 4.280 ;
RECT 707.670 3.670 709.130 4.280 ;
RECT 709.970 3.670 711.890 4.280 ;
RECT 712.730 3.670 714.650 4.280 ;
RECT 715.490 3.670 716.950 4.280 ;
RECT 717.790 3.670 719.710 4.280 ;
RECT 720.550 3.670 722.010 4.280 ;
RECT 722.850 3.670 724.770 4.280 ;
RECT 725.610 3.670 727.530 4.280 ;
RECT 728.370 3.670 729.830 4.280 ;
RECT 730.670 3.670 732.590 4.280 ;
RECT 733.430 3.670 734.890 4.280 ;
RECT 735.730 3.670 737.650 4.280 ;
RECT 738.490 3.670 740.410 4.280 ;
RECT 741.250 3.670 742.710 4.280 ;
RECT 743.550 3.670 745.470 4.280 ;
RECT 746.310 3.670 747.770 4.280 ;
RECT 748.610 3.670 750.530 4.280 ;
RECT 751.370 3.670 753.290 4.280 ;
RECT 754.130 3.670 755.590 4.280 ;
RECT 756.430 3.670 758.350 4.280 ;
RECT 759.190 3.670 760.650 4.280 ;
RECT 761.490 3.670 763.410 4.280 ;
RECT 764.250 3.670 766.170 4.280 ;
RECT 767.010 3.670 768.470 4.280 ;
RECT 769.310 3.670 771.230 4.280 ;
RECT 772.070 3.670 773.530 4.280 ;
RECT 774.370 3.670 776.290 4.280 ;
RECT 777.130 3.670 779.050 4.280 ;
RECT 779.890 3.670 781.350 4.280 ;
RECT 782.190 3.670 784.110 4.280 ;
RECT 784.950 3.670 786.410 4.280 ;
RECT 787.250 3.670 789.170 4.280 ;
RECT 790.010 3.670 791.930 4.280 ;
RECT 792.770 3.670 794.230 4.280 ;
RECT 795.070 3.670 796.990 4.280 ;
RECT 797.830 3.670 799.290 4.280 ;
RECT 800.130 3.670 802.050 4.280 ;
RECT 802.890 3.670 804.810 4.280 ;
RECT 805.650 3.670 807.110 4.280 ;
RECT 807.950 3.670 809.870 4.280 ;
RECT 810.710 3.670 812.170 4.280 ;
RECT 813.010 3.670 814.930 4.280 ;
RECT 815.770 3.670 817.690 4.280 ;
RECT 818.530 3.670 819.990 4.280 ;
RECT 820.830 3.670 822.750 4.280 ;
RECT 823.590 3.670 825.050 4.280 ;
RECT 825.890 3.670 827.810 4.280 ;
RECT 828.650 3.670 830.570 4.280 ;
RECT 831.410 3.670 832.870 4.280 ;
RECT 833.710 3.670 835.630 4.280 ;
RECT 836.470 3.670 838.390 4.280 ;
RECT 839.230 3.670 840.690 4.280 ;
RECT 841.530 3.670 843.450 4.280 ;
RECT 844.290 3.670 845.750 4.280 ;
RECT 846.590 3.670 848.510 4.280 ;
RECT 849.350 3.670 851.270 4.280 ;
RECT 852.110 3.670 853.570 4.280 ;
RECT 854.410 3.670 856.330 4.280 ;
RECT 857.170 3.670 858.630 4.280 ;
RECT 859.470 3.670 861.390 4.280 ;
RECT 862.230 3.670 864.150 4.280 ;
RECT 864.990 3.670 866.450 4.280 ;
RECT 867.290 3.670 869.210 4.280 ;
RECT 870.050 3.670 871.510 4.280 ;
RECT 872.350 3.670 874.270 4.280 ;
RECT 875.110 3.670 877.030 4.280 ;
RECT 877.870 3.670 879.330 4.280 ;
RECT 880.170 3.670 882.090 4.280 ;
RECT 882.930 3.670 884.390 4.280 ;
RECT 885.230 3.670 887.150 4.280 ;
RECT 887.990 3.670 889.910 4.280 ;
RECT 890.750 3.670 892.210 4.280 ;
RECT 893.050 3.670 894.970 4.280 ;
RECT 895.810 3.670 897.270 4.280 ;
RECT 898.110 3.670 900.030 4.280 ;
RECT 900.870 3.670 902.790 4.280 ;
RECT 903.630 3.670 905.090 4.280 ;
RECT 905.930 3.670 907.850 4.280 ;
RECT 908.690 3.670 910.150 4.280 ;
RECT 910.990 3.670 912.910 4.280 ;
RECT 913.750 3.670 915.670 4.280 ;
RECT 916.510 3.670 917.970 4.280 ;
RECT 918.810 3.670 920.730 4.280 ;
RECT 921.570 3.670 923.030 4.280 ;
RECT 923.870 3.670 925.790 4.280 ;
RECT 926.630 3.670 928.550 4.280 ;
RECT 929.390 3.670 930.850 4.280 ;
RECT 931.690 3.670 933.610 4.280 ;
RECT 934.450 3.670 935.910 4.280 ;
RECT 936.750 3.670 938.670 4.280 ;
RECT 939.510 3.670 941.430 4.280 ;
RECT 942.270 3.670 943.730 4.280 ;
RECT 944.570 3.670 946.490 4.280 ;
RECT 947.330 3.670 948.790 4.280 ;
RECT 949.630 3.670 951.550 4.280 ;
RECT 952.390 3.670 954.310 4.280 ;
RECT 955.150 3.670 956.610 4.280 ;
RECT 957.450 3.670 959.370 4.280 ;
RECT 960.210 3.670 961.670 4.280 ;
RECT 962.510 3.670 964.430 4.280 ;
RECT 965.270 3.670 967.190 4.280 ;
RECT 968.030 3.670 969.490 4.280 ;
RECT 970.330 3.670 972.250 4.280 ;
RECT 973.090 3.670 974.550 4.280 ;
RECT 975.390 3.670 977.310 4.280 ;
RECT 978.150 3.670 980.070 4.280 ;
RECT 980.910 3.670 982.370 4.280 ;
RECT 983.210 3.670 985.130 4.280 ;
RECT 985.970 3.670 987.430 4.280 ;
RECT 988.270 3.670 990.190 4.280 ;
RECT 991.030 3.670 992.950 4.280 ;
RECT 993.790 3.670 995.250 4.280 ;
RECT 996.090 3.670 998.010 4.280 ;
LAYER met3 ;
RECT 4.000 875.520 996.000 886.885 ;
RECT 4.400 874.120 995.600 875.520 ;
RECT 4.000 825.200 996.000 874.120 ;
RECT 4.400 823.800 995.600 825.200 ;
RECT 4.000 775.560 996.000 823.800 ;
RECT 4.400 774.160 995.600 775.560 ;
RECT 4.000 725.240 996.000 774.160 ;
RECT 4.400 723.840 995.600 725.240 ;
RECT 4.000 675.600 996.000 723.840 ;
RECT 4.400 674.200 995.600 675.600 ;
RECT 4.000 625.280 996.000 674.200 ;
RECT 4.400 623.880 995.600 625.280 ;
RECT 4.000 575.640 996.000 623.880 ;
RECT 4.400 574.240 995.600 575.640 ;
RECT 4.000 525.320 996.000 574.240 ;
RECT 4.400 523.920 995.600 525.320 ;
RECT 4.000 475.680 996.000 523.920 ;
RECT 4.400 474.280 995.600 475.680 ;
RECT 4.000 425.360 996.000 474.280 ;
RECT 4.400 423.960 995.600 425.360 ;
RECT 4.000 375.040 996.000 423.960 ;
RECT 4.400 373.640 995.600 375.040 ;
RECT 4.000 325.400 996.000 373.640 ;
RECT 4.400 324.000 995.600 325.400 ;
RECT 4.000 275.080 996.000 324.000 ;
RECT 4.400 273.680 995.600 275.080 ;
RECT 4.000 225.440 996.000 273.680 ;
RECT 4.400 224.040 995.600 225.440 ;
RECT 4.000 175.120 996.000 224.040 ;
RECT 4.400 173.720 995.600 175.120 ;
RECT 4.000 125.480 996.000 173.720 ;
RECT 4.400 124.080 995.600 125.480 ;
RECT 4.000 75.160 996.000 124.080 ;
RECT 4.400 73.760 995.600 75.160 ;
RECT 4.000 25.520 996.000 73.760 ;
RECT 4.400 24.120 995.600 25.520 ;
RECT 4.000 10.715 996.000 24.120 ;
LAYER met4 ;
RECT 199.015 15.815 251.040 884.505 ;
RECT 253.440 15.815 327.840 884.505 ;
RECT 330.240 15.815 404.640 884.505 ;
RECT 407.040 15.815 436.705 884.505 ;
END
END braille_driver_controller
END LIBRARY