adding test files
diff --git a/verilog/dv/braille_driver_test0/braille_driver_test0_tb.v b/verilog/dv/braille_driver_test0/braille_driver_test0_tb.v
index 09af9f6..0c1c552 100644
--- a/verilog/dv/braille_driver_test0/braille_driver_test0_tb.v
+++ b/verilog/dv/braille_driver_test0/braille_driver_test0_tb.v
@@ -107,7 +107,7 @@
   	endtask
 
 	initial begin
-		$dumpfile("araille_driver_test0.vcd");
+		$dumpfile("baraille_driver_test0.vcd");
 		$dumpvars(0, braille_driver_test0_tb);
 
 		// Repeat cycles of 1000 clock edges as needed to complete testbench