Full top cell connection to wrapper upload, DRC fixed
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
index 1e32187..0550fdb 100644
--- a/gds/user_analog_project_wrapper.gds
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git a/mag/BUFFMIN_v1p1.mag b/mag/BUFFMIN_v1p1.mag
index f61e413..6c53e98 100644
--- a/mag/BUFFMIN_v1p1.mag
+++ b/mag/BUFFMIN_v1p1.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1640991954
+timestamp 1641609411
 << locali >>
 rect -15 20 10 45
 rect 430 20 455 45
@@ -8,11 +8,11 @@
 rect -15 220 15 310
 rect -15 65 15 155
 use invmin_magic_v1p1  invmin_magic_v1p1_1
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 370 0 1 70
 box -150 -75 85 265
 use invmin_magic_v1p1  invmin_magic_v1p1_0
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 135 0 1 70
 box -150 -75 85 265
 << labels >>
diff --git a/mag/CAPOSC_v1p1.mag b/mag/CAPOSC_v1p1.mag
index c1ba6aa..34245db 100644
--- a/mag/CAPOSC_v1p1.mag
+++ b/mag/CAPOSC_v1p1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
+timestamp 1641609411
 << metal2 >>
 rect 9938 4588 10022 4622
 rect 9938 4532 9952 4588
@@ -459,15 +459,15 @@
 rect 3472 4500 3506 4524
 rect 8526 4500 8936 5032
 use sky130_fd_pr__cap_mim_m3_2_4SGG6N  sky130_fd_pr__cap_mim_m3_2_4SGG6N_0
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 7323 0 1 2320
 box -2231 -2321 2253 2321
 use sky130_fd_pr__cap_mim_m3_1_9K4XRG  sky130_fd_pr__cap_mim_m3_1_9K4XRG_0
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 4560 0 1 2320
 box -456 -2320 456 2320
 use sky130_fd_pr__cap_mim_m3_2_7PBNAZ  sky130_fd_pr__cap_mim_m3_2_7PBNAZ_0
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 3739 0 1 2320
 box -671 -2321 693 2321
 << labels >>
diff --git a/mag/DFF_v3p1.mag b/mag/DFF_v3p1.mag
index adcfa2c..2eb22a7 100644
--- a/mag/DFF_v3p1.mag
+++ b/mag/DFF_v3p1.mag
@@ -1,95 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
-<< error_s >>
-rect -359 602 -295 636
-rect 241 602 305 636
-rect 641 602 705 636
-rect 841 602 905 636
-rect -621 356 -594 584
-rect -593 356 -566 556
-rect -513 371 -511 586
-rect -557 356 -541 371
-rect -513 356 -497 371
-rect -488 356 -461 556
-rect -460 356 -433 556
-rect -421 356 -394 556
-rect -393 356 -366 556
-rect -313 330 -311 586
-rect -21 556 -14 584
-rect -21 518 6 556
-rect 7 490 34 556
-rect 87 330 89 586
-rect 112 356 139 556
-rect 140 356 167 556
-rect 179 356 206 556
-rect 207 356 234 556
-rect 287 330 289 586
-rect 354 560 367 594
-rect 300 488 333 560
-rect 334 522 367 560
-rect 612 352 613 386
-rect 687 330 689 586
-rect 712 356 739 556
-rect 740 356 767 556
-rect 779 356 806 556
-rect 807 356 834 556
-rect 887 371 889 586
-rect 843 356 859 371
-rect 887 356 903 371
-rect 912 356 939 556
-rect 940 356 967 584
-rect -512 226 -511 251
-rect -557 211 -541 226
-rect -513 211 -497 226
-rect -513 0 -511 211
-rect -421 -1 -394 226
-rect -393 27 -366 225
-rect -313 5 -311 251
-rect -288 27 -261 225
-rect -260 26 -233 226
-rect -221 26 -194 226
-rect -193 27 -166 225
-rect -113 5 -111 251
-rect -22 226 34 254
-rect 57 252 58 282
-rect 88 252 89 282
-rect 257 252 258 282
-rect 288 252 289 282
-rect -88 27 -61 225
-rect -60 26 -33 226
-rect -22 198 6 226
-rect -21 26 6 198
-rect 7 26 34 226
-rect 87 0 89 252
-rect 112 26 139 226
-rect 140 26 167 226
-rect 179 26 206 226
-rect 207 26 234 226
-rect 287 0 289 252
-rect 312 226 368 254
-rect 312 26 339 226
-rect 340 198 368 226
-rect 340 26 367 198
-rect 379 26 406 226
-rect 407 27 434 225
-rect 487 5 489 251
-rect 512 27 539 225
-rect 540 26 567 226
-rect 579 26 606 226
-rect 607 27 634 225
-rect 687 5 689 251
-rect 888 226 889 256
-rect 712 27 739 225
-rect 740 -1 767 226
-rect 843 211 859 226
-rect 887 211 903 226
-rect 887 0 889 211
-rect -359 -45 -295 -11
-rect -159 -45 -95 -11
-rect 441 -45 505 -11
-rect 641 -45 705 -11
+timestamp 1641611256
 << nwell >>
 rect -636 294 982 904
 << pwell >>
@@ -421,62 +333,62 @@
 rect 632 -70 696 -64
 rect 882 -106 946 264
 rect 432 -166 946 -106
-use sky130_fd_pr__nfet_01v8_6H9P4D  sky130_fd_pr__nfet_01v8_6H9P4D_3
-timestamp 1640991954
-transform 1 0 873 0 1 126
-box -98 -126 98 126
-use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_5
-timestamp 1640991954
-transform 1 0 873 0 1 492
-box -108 -198 108 164
-use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_4
-timestamp 1640991954
-transform 1 0 673 0 1 492
-box -108 -198 108 164
-use sky130_fd_pr__nfet_01v8_59MFY5  sky130_fd_pr__nfet_01v8_59MFY5_3
-timestamp 1640991954
-transform 1 0 673 0 1 95
-box -98 -156 98 156
-use sky130_fd_pr__nfet_01v8_59MFY5  sky130_fd_pr__nfet_01v8_59MFY5_2
-timestamp 1640991954
-transform 1 0 473 0 1 95
-box -98 -156 98 156
-use sky130_fd_pr__nfet_01v8_6H9P4D  sky130_fd_pr__nfet_01v8_6H9P4D_2
-timestamp 1640991954
-transform 1 0 273 0 1 126
-box -98 -126 98 126
-use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_3
-timestamp 1640991954
-transform 1 0 273 0 1 492
-box -108 -198 108 164
-use sky130_fd_pr__nfet_01v8_6H9P4D  sky130_fd_pr__nfet_01v8_6H9P4D_1
-timestamp 1640991954
-transform 1 0 73 0 1 126
-box -98 -126 98 126
-use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_2
-timestamp 1640991954
-transform 1 0 73 0 1 492
-box -108 -198 108 164
-use sky130_fd_pr__nfet_01v8_59MFY5  sky130_fd_pr__nfet_01v8_59MFY5_1
-timestamp 1640991954
-transform 1 0 -127 0 1 95
-box -98 -156 98 156
-use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_0
-timestamp 1640991954
-transform 1 0 -327 0 1 492
-box -108 -198 108 164
 use sky130_fd_pr__nfet_01v8_6H9P4D  sky130_fd_pr__nfet_01v8_6H9P4D_0
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 -527 0 1 126
-box -98 -126 98 126
+box -99 -126 99 126
 use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_1
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 -527 0 1 492
-box -108 -198 108 164
+box -109 -198 109 164
+use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_0
+timestamp 1641609411
+transform 1 0 -327 0 1 492
+box -109 -198 109 164
 use sky130_fd_pr__nfet_01v8_59MFY5  sky130_fd_pr__nfet_01v8_59MFY5_0
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 -327 0 1 95
-box -98 -156 98 156
+box -99 -157 99 157
+use sky130_fd_pr__nfet_01v8_59MFY5  sky130_fd_pr__nfet_01v8_59MFY5_1
+timestamp 1641609411
+transform 1 0 -127 0 1 95
+box -99 -157 99 157
+use sky130_fd_pr__nfet_01v8_6H9P4D  sky130_fd_pr__nfet_01v8_6H9P4D_1
+timestamp 1641609411
+transform 1 0 73 0 1 126
+box -99 -126 99 126
+use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_2
+timestamp 1641609411
+transform 1 0 73 0 1 492
+box -109 -198 109 164
+use sky130_fd_pr__nfet_01v8_6H9P4D  sky130_fd_pr__nfet_01v8_6H9P4D_2
+timestamp 1641609411
+transform 1 0 273 0 1 126
+box -99 -126 99 126
+use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_3
+timestamp 1641609411
+transform 1 0 273 0 1 492
+box -109 -198 109 164
+use sky130_fd_pr__nfet_01v8_59MFY5  sky130_fd_pr__nfet_01v8_59MFY5_2
+timestamp 1641609411
+transform 1 0 473 0 1 95
+box -99 -157 99 157
+use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_4
+timestamp 1641609411
+transform 1 0 673 0 1 492
+box -109 -198 109 164
+use sky130_fd_pr__nfet_01v8_59MFY5  sky130_fd_pr__nfet_01v8_59MFY5_3
+timestamp 1641609411
+transform 1 0 673 0 1 95
+box -99 -157 99 157
+use sky130_fd_pr__nfet_01v8_6H9P4D  sky130_fd_pr__nfet_01v8_6H9P4D_3
+timestamp 1641609411
+transform 1 0 873 0 1 126
+box -99 -126 99 126
+use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_5
+timestamp 1641609411
+transform 1 0 873 0 1 492
+box -109 -198 109 164
 << labels >>
 flabel metal2 s 476 -40 476 -40 0 FreeSans 600 0 0 0 NDIFF
 port 1 nsew
diff --git a/mag/DFF_v4p1.mag b/mag/DFF_v4p1.mag
new file mode 100644
index 0000000..417bbf6
--- /dev/null
+++ b/mag/DFF_v4p1.mag
@@ -0,0 +1,502 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1641609411
+<< nwell >>
+rect -636 0 1036 626
+<< pwell >>
+rect -626 -644 1026 -432
+<< psubdiff >>
+rect -600 -521 1000 -458
+rect -600 -555 -531 -521
+rect -497 -555 -463 -521
+rect -429 -555 -395 -521
+rect -361 -555 -327 -521
+rect -293 -555 -259 -521
+rect -225 -555 -191 -521
+rect -157 -555 -123 -521
+rect -89 -555 -55 -521
+rect -21 -555 13 -521
+rect 47 -555 81 -521
+rect 115 -555 149 -521
+rect 183 -555 217 -521
+rect 251 -555 285 -521
+rect 319 -555 353 -521
+rect 387 -555 421 -521
+rect 455 -555 489 -521
+rect 523 -555 557 -521
+rect 591 -555 625 -521
+rect 659 -555 693 -521
+rect 727 -555 761 -521
+rect 795 -555 829 -521
+rect 863 -555 897 -521
+rect 931 -555 1000 -521
+rect -600 -618 1000 -555
+<< nsubdiff >>
+rect -600 525 1000 588
+rect -600 491 -531 525
+rect -497 491 -463 525
+rect -429 491 -395 525
+rect -361 491 -327 525
+rect -293 491 -259 525
+rect -225 491 -191 525
+rect -157 491 -123 525
+rect -89 491 -55 525
+rect -21 491 13 525
+rect 47 491 81 525
+rect 115 491 149 525
+rect 183 491 217 525
+rect 251 491 285 525
+rect 319 491 353 525
+rect 387 491 421 525
+rect 455 491 489 525
+rect 523 491 557 525
+rect 591 491 625 525
+rect 659 491 693 525
+rect 727 491 761 525
+rect 795 491 829 525
+rect 863 491 897 525
+rect 931 491 1000 525
+rect -600 428 1000 491
+<< psubdiffcont >>
+rect -531 -555 -497 -521
+rect -463 -555 -429 -521
+rect -395 -555 -361 -521
+rect -327 -555 -293 -521
+rect -259 -555 -225 -521
+rect -191 -555 -157 -521
+rect -123 -555 -89 -521
+rect -55 -555 -21 -521
+rect 13 -555 47 -521
+rect 81 -555 115 -521
+rect 149 -555 183 -521
+rect 217 -555 251 -521
+rect 285 -555 319 -521
+rect 353 -555 387 -521
+rect 421 -555 455 -521
+rect 489 -555 523 -521
+rect 557 -555 591 -521
+rect 625 -555 659 -521
+rect 693 -555 727 -521
+rect 761 -555 795 -521
+rect 829 -555 863 -521
+rect 897 -555 931 -521
+<< nsubdiffcont >>
+rect -531 491 -497 525
+rect -463 491 -429 525
+rect -395 491 -361 525
+rect -327 491 -293 525
+rect -259 491 -225 525
+rect -191 491 -157 525
+rect -123 491 -89 525
+rect -55 491 -21 525
+rect 13 491 47 525
+rect 81 491 115 525
+rect 149 491 183 525
+rect 217 491 251 525
+rect 285 491 319 525
+rect 353 491 387 525
+rect 421 491 455 525
+rect 489 491 523 525
+rect 557 491 591 525
+rect 625 491 659 525
+rect 693 491 727 525
+rect 761 491 795 525
+rect 829 491 863 525
+rect 897 491 931 525
+<< poly >>
+rect -506 -42 -476 36
+rect 94 -42 124 36
+rect 294 -42 324 36
+rect 894 -42 924 36
+<< locali >>
+rect -576 525 976 566
+rect -576 491 -537 525
+rect -497 491 -465 525
+rect -429 491 -395 525
+rect -359 491 -327 525
+rect -287 491 -259 525
+rect -215 491 -191 525
+rect -143 491 -123 525
+rect -71 491 -55 525
+rect 1 491 13 525
+rect 73 491 81 525
+rect 145 491 149 525
+rect 251 491 255 525
+rect 319 491 327 525
+rect 387 491 399 525
+rect 455 491 471 525
+rect 523 491 543 525
+rect 591 491 615 525
+rect 659 491 687 525
+rect 727 491 759 525
+rect 795 491 829 525
+rect 865 491 897 525
+rect 937 491 976 525
+rect -576 452 976 491
+rect 94 382 420 416
+rect -632 310 -458 344
+rect 94 342 128 382
+rect -632 14 -598 310
+rect 386 266 420 382
+rect 370 232 420 266
+rect 648 14 682 58
+rect -632 -20 682 14
+rect -576 -521 976 -482
+rect -576 -555 -537 -521
+rect -497 -555 -465 -521
+rect -429 -555 -395 -521
+rect -359 -555 -327 -521
+rect -287 -555 -259 -521
+rect -215 -555 -191 -521
+rect -143 -555 -123 -521
+rect -71 -555 -55 -521
+rect 1 -555 13 -521
+rect 73 -555 81 -521
+rect 145 -555 149 -521
+rect 251 -555 255 -521
+rect 319 -555 327 -521
+rect 387 -555 399 -521
+rect 455 -555 471 -521
+rect 523 -555 543 -521
+rect 591 -555 615 -521
+rect 659 -555 687 -521
+rect 727 -555 759 -521
+rect 795 -555 829 -521
+rect 865 -555 897 -521
+rect 937 -555 976 -521
+rect -576 -594 976 -555
+<< viali >>
+rect -537 491 -531 525
+rect -531 491 -503 525
+rect -465 491 -463 525
+rect -463 491 -431 525
+rect -393 491 -361 525
+rect -361 491 -359 525
+rect -321 491 -293 525
+rect -293 491 -287 525
+rect -249 491 -225 525
+rect -225 491 -215 525
+rect -177 491 -157 525
+rect -157 491 -143 525
+rect -105 491 -89 525
+rect -89 491 -71 525
+rect -33 491 -21 525
+rect -21 491 1 525
+rect 39 491 47 525
+rect 47 491 73 525
+rect 111 491 115 525
+rect 115 491 145 525
+rect 183 491 217 525
+rect 255 491 285 525
+rect 285 491 289 525
+rect 327 491 353 525
+rect 353 491 361 525
+rect 399 491 421 525
+rect 421 491 433 525
+rect 471 491 489 525
+rect 489 491 505 525
+rect 543 491 557 525
+rect 557 491 577 525
+rect 615 491 625 525
+rect 625 491 649 525
+rect 687 491 693 525
+rect 693 491 721 525
+rect 759 491 761 525
+rect 761 491 793 525
+rect 831 491 863 525
+rect 863 491 865 525
+rect 903 491 931 525
+rect 931 491 937 525
+rect -537 -555 -531 -521
+rect -531 -555 -503 -521
+rect -465 -555 -463 -521
+rect -463 -555 -431 -521
+rect -393 -555 -361 -521
+rect -361 -555 -359 -521
+rect -321 -555 -293 -521
+rect -293 -555 -287 -521
+rect -249 -555 -225 -521
+rect -225 -555 -215 -521
+rect -177 -555 -157 -521
+rect -157 -555 -143 -521
+rect -105 -555 -89 -521
+rect -89 -555 -71 -521
+rect -33 -555 -21 -521
+rect -21 -555 1 -521
+rect 39 -555 47 -521
+rect 47 -555 73 -521
+rect 111 -555 115 -521
+rect 115 -555 145 -521
+rect 183 -555 217 -521
+rect 255 -555 285 -521
+rect 285 -555 289 -521
+rect 327 -555 353 -521
+rect 353 -555 361 -521
+rect 399 -555 421 -521
+rect 421 -555 433 -521
+rect 471 -555 489 -521
+rect 489 -555 505 -521
+rect 543 -555 557 -521
+rect 557 -555 577 -521
+rect 615 -555 625 -521
+rect 625 -555 649 -521
+rect 687 -555 693 -521
+rect 693 -555 721 -521
+rect 759 -555 761 -521
+rect 761 -555 793 -521
+rect 831 -555 863 -521
+rect 863 -555 865 -521
+rect 903 -555 931 -521
+rect 931 -555 937 -521
+<< metal1 >>
+rect -592 536 1010 566
+rect -592 525 183 536
+rect 235 525 1010 536
+rect -592 491 -537 525
+rect -503 491 -465 525
+rect -431 491 -393 525
+rect -359 491 -321 525
+rect -287 491 -249 525
+rect -215 491 -177 525
+rect -143 491 -105 525
+rect -71 491 -33 525
+rect 1 491 39 525
+rect 73 491 111 525
+rect 145 491 183 525
+rect 235 491 255 525
+rect 289 491 327 525
+rect 361 491 399 525
+rect 433 491 471 525
+rect 505 491 543 525
+rect 577 491 615 525
+rect 649 491 687 525
+rect 721 491 759 525
+rect 793 491 831 525
+rect 865 491 903 525
+rect 937 491 1010 525
+rect -592 484 183 491
+rect 235 484 1010 491
+rect -592 452 1010 484
+rect -592 62 -558 452
+rect -8 378 326 412
+rect -524 292 -458 360
+rect -326 352 -256 362
+rect -326 300 -317 352
+rect -265 300 -256 352
+rect -326 290 -256 300
+rect -8 262 26 378
+rect 292 350 326 378
+rect 674 352 744 362
+rect 76 294 142 350
+rect 276 294 342 350
+rect 674 300 683 352
+rect 735 300 744 352
+rect 674 290 744 300
+rect 876 292 942 360
+rect -424 62 -358 262
+rect -8 228 42 262
+rect 176 232 242 262
+rect 176 180 183 232
+rect 235 180 242 232
+rect 176 62 242 180
+rect 776 62 842 262
+rect 976 62 1010 452
+rect -564 20 -498 26
+rect -270 20 -224 62
+rect -564 19 -224 20
+rect -564 -33 -557 19
+rect -505 -26 -224 19
+rect -505 -33 -498 -26
+rect -564 -40 -498 -33
+rect -558 -68 -512 -40
+rect 42 -68 88 62
+rect 330 -68 376 62
+rect 642 20 688 62
+rect 916 20 982 26
+rect 642 19 982 20
+rect 642 -26 923 19
+rect 916 -33 923 -26
+rect 975 -33 982 19
+rect 916 -40 982 -33
+rect 930 -68 976 -40
+rect -430 -268 -358 -68
+rect -224 -268 -158 -68
+rect -24 -268 42 -68
+rect -416 -482 -358 -268
+rect -324 -306 -258 -300
+rect -324 -358 -316 -306
+rect -264 -358 -258 -306
+rect -324 -364 -258 -358
+rect -124 -307 -58 -300
+rect -124 -359 -117 -307
+rect -65 -359 -58 -307
+rect -124 -366 -58 -359
+rect 130 -482 288 -68
+rect 376 -268 442 -68
+rect 576 -268 642 -68
+rect 776 -268 842 -68
+rect 476 -307 544 -300
+rect 476 -356 485 -307
+rect 478 -359 485 -356
+rect 537 -359 544 -307
+rect 478 -366 544 -359
+rect 676 -306 742 -300
+rect 676 -358 684 -306
+rect 736 -358 742 -306
+rect 676 -364 742 -358
+rect 776 -482 834 -268
+rect -576 -521 976 -482
+rect -576 -555 -537 -521
+rect -503 -555 -465 -521
+rect -431 -555 -393 -521
+rect -359 -555 -321 -521
+rect -287 -555 -249 -521
+rect -215 -555 -177 -521
+rect -143 -555 -105 -521
+rect -71 -555 -33 -521
+rect 1 -555 39 -521
+rect 73 -555 111 -521
+rect 145 -555 183 -521
+rect 217 -555 255 -521
+rect 289 -555 327 -521
+rect 361 -555 399 -521
+rect 433 -555 471 -521
+rect 505 -555 543 -521
+rect 577 -555 615 -521
+rect 649 -555 687 -521
+rect 721 -555 759 -521
+rect 793 -555 831 -521
+rect 865 -555 903 -521
+rect 937 -555 976 -521
+rect -576 -594 976 -555
+<< via1 >>
+rect 183 525 235 536
+rect 183 491 217 525
+rect 217 491 235 525
+rect 183 484 235 491
+rect -317 300 -265 352
+rect 683 300 735 352
+rect 183 180 235 232
+rect -557 -33 -505 19
+rect 923 -33 975 19
+rect -316 -358 -264 -306
+rect -117 -359 -65 -307
+rect 485 -359 537 -307
+rect 684 -358 736 -306
+<< metal2 >>
+rect 176 536 242 542
+rect 176 484 183 536
+rect 235 484 242 536
+rect -326 352 -256 362
+rect -326 300 -317 352
+rect -265 300 -256 352
+rect -326 110 -256 300
+rect 176 232 242 484
+rect 176 180 183 232
+rect 235 180 242 232
+rect 176 174 242 180
+rect 674 352 744 362
+rect 674 300 683 352
+rect 735 300 744 352
+rect 674 110 744 300
+rect -326 40 744 110
+rect -564 19 -498 26
+rect -564 -33 -557 19
+rect -505 -33 -498 19
+rect -564 -40 -498 -33
+rect -564 -106 -58 -40
+rect -322 -306 -258 -300
+rect -322 -358 -316 -306
+rect -264 -358 -258 -306
+rect -322 -420 -258 -358
+rect -124 -307 -58 -106
+rect -124 -359 -117 -307
+rect -65 -359 -58 -307
+rect -124 -366 -58 -359
+rect 174 -420 244 40
+rect 916 19 982 26
+rect 916 -33 923 19
+rect 975 -33 982 19
+rect 916 -40 982 -33
+rect 478 -106 982 -40
+rect 478 -307 544 -106
+rect 478 -359 485 -307
+rect 537 -359 544 -307
+rect 478 -366 544 -359
+rect 678 -306 742 -300
+rect 678 -358 684 -306
+rect 736 -358 742 -306
+rect 678 -380 742 -358
+rect 676 -420 742 -380
+rect -322 -484 742 -420
+use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_5 ~/Documents/Postdoc/github/caravel_user_project_analog/mag
+timestamp 1641609411
+transform 1 0 -291 0 1 198
+box -109 -198 109 164
+use sky130_fd_pr__nfet_01v8_6H9P4D  sky130_fd_pr__nfet_01v8_6H9P4D_3 ~/Documents/Postdoc/github/caravel_user_project_analog/mag
+timestamp 1641609411
+transform 1 0 -491 0 1 -168
+box -99 -126 99 126
+use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_4
+timestamp 1641609411
+transform 1 0 -491 0 1 198
+box -109 -198 109 164
+use sky130_fd_pr__nfet_01v8_59MFY5  sky130_fd_pr__nfet_01v8_59MFY5_3 ~/Documents/Postdoc/github/caravel_user_project_analog/mag
+timestamp 1641609411
+transform 1 0 -291 0 1 -199
+box -99 -157 99 157
+use sky130_fd_pr__nfet_01v8_59MFY5  sky130_fd_pr__nfet_01v8_59MFY5_2
+timestamp 1641609411
+transform 1 0 -91 0 1 -199
+box -99 -157 99 157
+use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_3
+timestamp 1641609411
+transform 1 0 109 0 1 198
+box -109 -198 109 164
+use sky130_fd_pr__nfet_01v8_6H9P4D  sky130_fd_pr__nfet_01v8_6H9P4D_2
+timestamp 1641609411
+transform 1 0 109 0 1 -168
+box -99 -126 99 126
+use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_2
+timestamp 1641609411
+transform 1 0 309 0 1 198
+box -109 -198 109 164
+use sky130_fd_pr__nfet_01v8_6H9P4D  sky130_fd_pr__nfet_01v8_6H9P4D_1
+timestamp 1641609411
+transform 1 0 309 0 1 -168
+box -99 -126 99 126
+use sky130_fd_pr__nfet_01v8_59MFY5  sky130_fd_pr__nfet_01v8_59MFY5_1
+timestamp 1641609411
+transform 1 0 509 0 1 -199
+box -99 -157 99 157
+use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_1
+timestamp 1641609411
+transform 1 0 709 0 1 198
+box -109 -198 109 164
+use sky130_fd_pr__nfet_01v8_59MFY5  sky130_fd_pr__nfet_01v8_59MFY5_0
+timestamp 1641609411
+transform 1 0 709 0 1 -199
+box -99 -157 99 157
+use sky130_fd_pr__nfet_01v8_6H9P4D  sky130_fd_pr__nfet_01v8_6H9P4D_0
+timestamp 1641609411
+transform 1 0 909 0 1 -168
+box -99 -126 99 126
+use sky130_fd_pr__pfet_01v8_MA8JHN  sky130_fd_pr__pfet_01v8_MA8JHN_0
+timestamp 1641609411
+transform 1 0 909 0 1 198
+box -109 -198 109 164
+<< labels >>
+flabel metal2 s 208 506 208 506 0 FreeSans 600 0 0 0 VDD
+port 1 nsew
+flabel metal1 s 216 -542 216 -542 0 FreeSans 600 0 0 0 GND
+port 2 nsew
+flabel metal2 s -284 -436 -284 -436 0 FreeSans 600 0 0 0 CLK
+port 3 nsew
+flabel metal1 s 904 328 904 328 0 FreeSans 600 0 0 0 IN
+port 4 nsew
+flabel metal1 s 8 320 8 320 0 FreeSans 600 0 0 0 ND
+port 5 nsew
+flabel locali s 400 320 400 320 0 FreeSans 600 0 0 0 D
+port 6 nsew
+<< end >>
diff --git a/mag/INVMIN_v1p1.mag b/mag/INVMIN_v1p1.mag
index 0c08641..6bb81e6 100644
--- a/mag/INVMIN_v1p1.mag
+++ b/mag/INVMIN_v1p1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
+timestamp 1641609411
 << nwell >>
 rect -300 250 170 530
 << pwell >>
diff --git a/mag/INV_v1p1.mag b/mag/INV_v1p1.mag
index 46710df..921ba14 100644
--- a/mag/INV_v1p1.mag
+++ b/mag/INV_v1p1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
+timestamp 1641609411
 << nwell >>
 rect 1366 442 2276 1080
 << pwell >>
diff --git a/mag/INVandCAP_v1p1.mag b/mag/INVandCAP_v1p1.mag
index 8e4b0f9..800a36f 100644
--- a/mag/INVandCAP_v1p1.mag
+++ b/mag/INVandCAP_v1p1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
+timestamp 1641609411
 << locali >>
 rect 5018 6437 5118 6470
 rect 5018 6403 5051 6437
@@ -78,11 +78,11 @@
 rect 5734 5510 5740 5654
 rect 5584 5504 5740 5510
 use INV_v1p1  INV_v1p1_0
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 2818 0 1 5366
 box 1366 -168 2276 1080
 use CAPOSC_v1p1  CAPOSC_v1p1_0
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 -3068 0 1 432
 box 3068 -432 10032 5306
 << labels >>
diff --git a/mag/OSC_v3p2.mag b/mag/OSC_v3p2.mag
index 7590765..de119c3 100644
--- a/mag/OSC_v3p2.mag
+++ b/mag/OSC_v3p2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
+timestamp 1641609411
 << locali >>
 rect 19462 5928 19674 6028
 rect 19462 5822 19515 5928
@@ -76,19 +76,19 @@
 rect 7392 5582 12856 5902
 rect 14392 5582 18936 5902
 use INVandCAP_v1p1  INVandCAP_v1p1_0
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 13988 0 1 10
 box 0 0 6968 6476
 use INVandCAP_v1p1  INVandCAP_v1p1_1
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 6988 0 1 10
 box 0 0 6968 6476
 use INVandCAP_v1p1  INVandCAP_v1p1_2
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 -12 0 1 10
 box 0 0 6968 6476
 use BUFFMIN_v1p1  BUFFMIN_v1p1_0
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 19494 0 1 5938
 box -30 -10 910 670
 << labels >>
diff --git a/mag/PASSGATE_v1p1.mag b/mag/PASSGATE_v1p1.mag
index 8f9830c..1be9768 100644
--- a/mag/PASSGATE_v1p1.mag
+++ b/mag/PASSGATE_v1p1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
+timestamp 1641611256
 << error_s >>
 rect 1517 660 1645 661
 rect 1709 660 1837 661
@@ -591,7 +591,7 @@
 rect 1655 -81 1662 -29
 rect 1596 -88 1662 -81
 use INVMIN_v1p1  INVMIN_v1p1_0
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 2576 0 1 192
 box -300 -150 170 530
 << labels >>
diff --git a/mag/PASSGATE_v1p2.mag b/mag/PASSGATE_v1p2.mag
new file mode 100644
index 0000000..aab1d5a
--- /dev/null
+++ b/mag/PASSGATE_v1p2.mag
@@ -0,0 +1,604 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1641609411
+<< nwell >>
+rect 1366 442 2276 1080
+<< pwell >>
+rect 1376 -168 1882 432
+<< nmos >>
+rect 1566 32 1596 232
+rect 1662 32 1692 232
+<< pmos >>
+rect 1566 662 1596 862
+rect 1662 662 1692 862
+rect 1758 662 1788 862
+rect 1854 662 1884 862
+rect 1950 662 1980 862
+rect 2046 662 2076 862
+<< ndiff >>
+rect 1504 217 1566 232
+rect 1504 183 1516 217
+rect 1550 183 1566 217
+rect 1504 149 1566 183
+rect 1504 115 1516 149
+rect 1550 115 1566 149
+rect 1504 81 1566 115
+rect 1504 47 1516 81
+rect 1550 47 1566 81
+rect 1504 32 1566 47
+rect 1596 217 1662 232
+rect 1596 183 1612 217
+rect 1646 183 1662 217
+rect 1596 149 1662 183
+rect 1596 115 1612 149
+rect 1646 115 1662 149
+rect 1596 81 1662 115
+rect 1596 47 1612 81
+rect 1646 47 1662 81
+rect 1596 32 1662 47
+rect 1692 217 1754 232
+rect 1692 183 1708 217
+rect 1742 183 1754 217
+rect 1692 149 1754 183
+rect 1692 115 1708 149
+rect 1742 115 1754 149
+rect 1692 81 1754 115
+rect 1692 47 1708 81
+rect 1742 47 1754 81
+rect 1692 32 1754 47
+<< pdiff >>
+rect 1504 845 1566 862
+rect 1504 811 1516 845
+rect 1550 811 1566 845
+rect 1504 777 1566 811
+rect 1504 743 1516 777
+rect 1550 743 1566 777
+rect 1504 709 1566 743
+rect 1504 675 1516 709
+rect 1550 675 1566 709
+rect 1504 662 1566 675
+rect 1596 845 1662 862
+rect 1596 811 1612 845
+rect 1646 811 1662 845
+rect 1596 777 1662 811
+rect 1596 743 1612 777
+rect 1646 743 1662 777
+rect 1596 709 1662 743
+rect 1596 675 1612 709
+rect 1646 675 1662 709
+rect 1596 662 1662 675
+rect 1692 845 1758 862
+rect 1692 811 1708 845
+rect 1742 811 1758 845
+rect 1692 777 1758 811
+rect 1692 743 1708 777
+rect 1742 743 1758 777
+rect 1692 709 1758 743
+rect 1692 675 1708 709
+rect 1742 675 1758 709
+rect 1692 662 1758 675
+rect 1788 845 1854 862
+rect 1788 811 1804 845
+rect 1838 811 1854 845
+rect 1788 777 1854 811
+rect 1788 743 1804 777
+rect 1838 743 1854 777
+rect 1788 709 1854 743
+rect 1788 675 1804 709
+rect 1838 675 1854 709
+rect 1788 662 1854 675
+rect 1884 845 1950 862
+rect 1884 811 1900 845
+rect 1934 811 1950 845
+rect 1884 777 1950 811
+rect 1884 743 1900 777
+rect 1934 743 1950 777
+rect 1884 709 1950 743
+rect 1884 675 1900 709
+rect 1934 675 1950 709
+rect 1884 662 1950 675
+rect 1980 845 2046 862
+rect 1980 811 1996 845
+rect 2030 811 2046 845
+rect 1980 777 2046 811
+rect 1980 743 1996 777
+rect 2030 743 2046 777
+rect 1980 709 2046 743
+rect 1980 675 1996 709
+rect 2030 675 2046 709
+rect 1980 662 2046 675
+rect 2076 845 2138 862
+rect 2076 811 2092 845
+rect 2126 811 2138 845
+rect 2076 777 2138 811
+rect 2076 743 2092 777
+rect 2126 743 2138 777
+rect 2076 709 2138 743
+rect 2076 675 2092 709
+rect 2126 675 2138 709
+rect 2076 662 2138 675
+<< ndiffc >>
+rect 1516 183 1550 217
+rect 1516 115 1550 149
+rect 1516 47 1550 81
+rect 1612 183 1646 217
+rect 1612 115 1646 149
+rect 1612 47 1646 81
+rect 1708 183 1742 217
+rect 1708 115 1742 149
+rect 1708 47 1742 81
+<< pdiffc >>
+rect 1516 811 1550 845
+rect 1516 743 1550 777
+rect 1516 675 1550 709
+rect 1612 811 1646 845
+rect 1612 743 1646 777
+rect 1612 675 1646 709
+rect 1708 811 1742 845
+rect 1708 743 1742 777
+rect 1708 675 1742 709
+rect 1804 811 1838 845
+rect 1804 743 1838 777
+rect 1804 675 1838 709
+rect 1900 811 1934 845
+rect 1900 743 1934 777
+rect 1900 675 1934 709
+rect 1996 811 2030 845
+rect 1996 743 2030 777
+rect 1996 675 2030 709
+rect 2092 811 2126 845
+rect 2092 743 2126 777
+rect 2092 675 2126 709
+<< psubdiff >>
+rect 1402 372 1510 406
+rect 1544 372 1578 406
+rect 1612 372 1646 406
+rect 1680 372 1714 406
+rect 1748 372 1856 406
+rect 1402 285 1436 372
+rect 1402 217 1436 251
+rect 1822 285 1856 372
+rect 1402 149 1436 183
+rect 1402 81 1436 115
+rect 1402 13 1436 47
+rect 1822 217 1856 251
+rect 1822 149 1856 183
+rect 1822 81 1856 115
+rect 1822 13 1856 47
+rect 1402 -108 1436 -21
+rect 1822 -108 1856 -21
+rect 1402 -142 1510 -108
+rect 1544 -142 1578 -108
+rect 1612 -142 1646 -108
+rect 1680 -142 1714 -108
+rect 1748 -142 1856 -108
+<< nsubdiff >>
+rect 1402 1010 1498 1044
+rect 1532 1010 1566 1044
+rect 1600 1010 1634 1044
+rect 1668 1010 1702 1044
+rect 1736 1010 1770 1044
+rect 1804 1010 1838 1044
+rect 1872 1010 1906 1044
+rect 1940 1010 1974 1044
+rect 2008 1010 2042 1044
+rect 2076 1010 2110 1044
+rect 2144 1010 2240 1044
+rect 1402 948 1436 1010
+rect 2206 948 2240 1010
+rect 1402 880 1436 914
+rect 2206 880 2240 914
+rect 1402 812 1436 846
+rect 1402 744 1436 778
+rect 1402 676 1436 710
+rect 2206 812 2240 846
+rect 2206 744 2240 778
+rect 2206 676 2240 710
+rect 1402 608 1436 642
+rect 1402 512 1436 574
+rect 2206 608 2240 642
+rect 2206 512 2240 574
+rect 1402 478 1498 512
+rect 1532 478 1566 512
+rect 1600 478 1634 512
+rect 1668 478 1702 512
+rect 1736 478 1770 512
+rect 1804 478 1838 512
+rect 1872 478 1906 512
+rect 1940 478 1974 512
+rect 2008 478 2042 512
+rect 2076 478 2110 512
+rect 2144 478 2240 512
+<< psubdiffcont >>
+rect 1510 372 1544 406
+rect 1578 372 1612 406
+rect 1646 372 1680 406
+rect 1714 372 1748 406
+rect 1402 251 1436 285
+rect 1822 251 1856 285
+rect 1402 183 1436 217
+rect 1402 115 1436 149
+rect 1402 47 1436 81
+rect 1822 183 1856 217
+rect 1822 115 1856 149
+rect 1822 47 1856 81
+rect 1402 -21 1436 13
+rect 1822 -21 1856 13
+rect 1510 -142 1544 -108
+rect 1578 -142 1612 -108
+rect 1646 -142 1680 -108
+rect 1714 -142 1748 -108
+<< nsubdiffcont >>
+rect 1498 1010 1532 1044
+rect 1566 1010 1600 1044
+rect 1634 1010 1668 1044
+rect 1702 1010 1736 1044
+rect 1770 1010 1804 1044
+rect 1838 1010 1872 1044
+rect 1906 1010 1940 1044
+rect 1974 1010 2008 1044
+rect 2042 1010 2076 1044
+rect 2110 1010 2144 1044
+rect 1402 914 1436 948
+rect 1402 846 1436 880
+rect 2206 914 2240 948
+rect 1402 778 1436 812
+rect 1402 710 1436 744
+rect 1402 642 1436 676
+rect 2206 846 2240 880
+rect 2206 778 2240 812
+rect 2206 710 2240 744
+rect 1402 574 1436 608
+rect 2206 642 2240 676
+rect 2206 574 2240 608
+rect 1498 478 1532 512
+rect 1566 478 1600 512
+rect 1634 478 1668 512
+rect 1702 478 1736 512
+rect 1770 478 1804 512
+rect 1838 478 1872 512
+rect 1906 478 1940 512
+rect 1974 478 2008 512
+rect 2042 478 2076 512
+rect 2110 478 2144 512
+<< poly >>
+rect 1566 886 2076 916
+rect 1566 862 1596 886
+rect 1662 862 1692 886
+rect 1758 862 1788 886
+rect 1854 862 1884 886
+rect 1950 862 1980 886
+rect 2046 862 2076 886
+rect 1566 636 1596 662
+rect 1662 636 1692 662
+rect 1758 636 1788 662
+rect 1854 636 1884 662
+rect 1950 636 1980 662
+rect 2046 636 2076 662
+rect 1566 630 2076 636
+rect 1548 614 2076 630
+rect 1548 580 1564 614
+rect 1598 606 1756 614
+rect 1598 580 1614 606
+rect 1548 564 1614 580
+rect 1740 580 1756 606
+rect 1790 606 1948 614
+rect 1790 580 1806 606
+rect 1740 564 1806 580
+rect 1932 580 1948 606
+rect 1982 606 2076 614
+rect 1982 580 1998 606
+rect 1932 564 1998 580
+rect 1644 304 1710 320
+rect 1644 284 1660 304
+rect 1566 270 1660 284
+rect 1694 270 1710 304
+rect 1566 254 1710 270
+rect 1566 232 1596 254
+rect 1662 232 1692 254
+rect 1566 10 1596 32
+rect 1662 10 1692 32
+rect 1566 -20 1692 10
+<< polycont >>
+rect 1564 580 1598 614
+rect 1756 580 1790 614
+rect 1948 580 1982 614
+rect 1660 270 1694 304
+<< locali >>
+rect 1402 1010 1498 1044
+rect 1532 1010 1566 1044
+rect 1600 1010 1634 1044
+rect 1668 1010 1702 1044
+rect 1736 1010 1770 1044
+rect 1804 1010 1838 1044
+rect 1872 1010 1906 1044
+rect 1940 1010 1974 1044
+rect 2008 1010 2042 1044
+rect 2076 1010 2110 1044
+rect 2144 1010 2240 1044
+rect 1402 948 1436 1010
+rect 1492 960 1550 976
+rect 1526 926 1550 960
+rect 1402 880 1436 914
+rect 1402 812 1436 846
+rect 1402 744 1436 778
+rect 1402 676 1436 710
+rect 1516 845 1550 926
+rect 1516 777 1550 779
+rect 1516 741 1550 743
+rect 1516 656 1550 675
+rect 1612 960 1646 976
+rect 1646 926 2030 950
+rect 1612 916 2030 926
+rect 1612 845 1646 916
+rect 1612 777 1646 811
+rect 1612 709 1646 743
+rect 1612 656 1646 675
+rect 1708 845 1742 864
+rect 1708 777 1742 779
+rect 1708 741 1742 743
+rect 1708 656 1742 675
+rect 1804 845 1838 916
+rect 1804 777 1838 811
+rect 1804 709 1838 743
+rect 1804 656 1838 675
+rect 1900 845 1934 864
+rect 1900 777 1934 779
+rect 1900 741 1934 743
+rect 1900 656 1934 675
+rect 1996 845 2030 916
+rect 2206 948 2240 1010
+rect 2206 880 2240 914
+rect 1996 777 2030 811
+rect 1996 709 2030 743
+rect 1996 656 2030 675
+rect 2092 845 2126 864
+rect 2092 777 2126 779
+rect 2092 741 2126 743
+rect 2092 656 2126 675
+rect 2206 812 2240 846
+rect 2206 744 2240 778
+rect 2206 676 2240 710
+rect 1402 608 1436 642
+rect 2240 642 2326 672
+rect 1548 580 1564 614
+rect 1598 580 1614 614
+rect 1740 580 1756 614
+rect 1790 580 1806 614
+rect 1932 580 1948 614
+rect 1982 580 1998 614
+rect 2206 608 2326 642
+rect 1402 512 1436 574
+rect 2240 574 2326 608
+rect 2206 512 2326 574
+rect 1402 478 1498 512
+rect 1532 478 1566 512
+rect 1600 478 1634 512
+rect 1668 478 1702 512
+rect 1736 478 1770 512
+rect 1804 478 1838 512
+rect 1872 478 1906 512
+rect 1940 478 1974 512
+rect 2008 478 2042 512
+rect 2076 478 2110 512
+rect 2144 492 2326 512
+rect 2144 478 2240 492
+rect 1402 372 1510 406
+rect 1544 372 1578 406
+rect 1612 372 1646 406
+rect 1680 372 1714 406
+rect 1748 372 1856 406
+rect 1402 285 1436 372
+rect 1822 362 1856 372
+rect 1644 270 1660 304
+rect 1694 270 1710 304
+rect 1822 285 2326 362
+rect 1402 217 1436 251
+rect 1856 251 2326 285
+rect 1402 149 1436 183
+rect 1402 81 1436 115
+rect 1402 13 1436 47
+rect 1402 -108 1436 -21
+rect 1516 217 1550 236
+rect 1516 149 1550 151
+rect 1516 113 1550 115
+rect 1516 -22 1550 47
+rect 1492 -38 1550 -22
+rect 1526 -72 1550 -38
+rect 1612 217 1646 236
+rect 1612 149 1646 183
+rect 1612 81 1646 115
+rect 1612 -38 1646 47
+rect 1708 217 1742 236
+rect 1708 149 1742 151
+rect 1708 113 1742 115
+rect 1708 28 1742 47
+rect 1822 217 2326 251
+rect 1856 183 2326 217
+rect 1822 182 2326 183
+rect 1822 149 1856 182
+rect 2706 134 2760 142
+rect 1822 81 1856 115
+rect 2706 100 2714 134
+rect 2748 100 2760 134
+rect 2706 92 2760 100
+rect 1822 13 1856 47
+rect 1822 -108 1856 -21
+rect 1402 -142 1510 -108
+rect 1544 -142 1578 -108
+rect 1612 -142 1646 -108
+rect 1680 -142 1714 -108
+rect 1748 -142 1856 -108
+<< viali >>
+rect 1492 926 1526 960
+rect 1516 811 1550 813
+rect 1516 779 1550 811
+rect 1516 709 1550 741
+rect 1516 707 1550 709
+rect 1612 926 1646 960
+rect 1708 811 1742 813
+rect 1708 779 1742 811
+rect 1708 709 1742 741
+rect 1708 707 1742 709
+rect 1900 811 1934 813
+rect 1900 779 1934 811
+rect 1900 709 1934 741
+rect 1900 707 1934 709
+rect 2092 811 2126 813
+rect 2092 779 2126 811
+rect 2092 709 2126 741
+rect 2092 707 2126 709
+rect 1564 580 1598 614
+rect 1756 580 1790 614
+rect 1948 580 1982 614
+rect 1660 270 1694 304
+rect 1516 183 1550 185
+rect 1516 151 1550 183
+rect 1516 81 1550 113
+rect 1516 79 1550 81
+rect 1492 -72 1526 -38
+rect 1708 183 1742 185
+rect 1708 151 1742 183
+rect 1708 81 1742 113
+rect 1708 79 1742 81
+rect 2284 100 2318 134
+rect 2714 100 2748 134
+rect 1612 -72 1646 -38
+<< metal1 >>
+rect 1476 969 1542 976
+rect 1476 917 1483 969
+rect 1535 917 1542 969
+rect 1476 910 1542 917
+rect 1596 969 1662 976
+rect 1596 917 1603 969
+rect 1655 917 1662 969
+rect 1596 910 1662 917
+rect 1516 860 2126 876
+rect 1510 848 2132 860
+rect 1510 813 1556 848
+rect 1510 779 1516 813
+rect 1550 779 1556 813
+rect 1510 741 1556 779
+rect 1510 707 1516 741
+rect 1550 707 1556 741
+rect 1510 660 1556 707
+rect 1702 813 1748 848
+rect 1702 779 1708 813
+rect 1742 779 1748 813
+rect 1702 741 1748 779
+rect 1702 707 1708 741
+rect 1742 707 1748 741
+rect 1702 660 1748 707
+rect 1894 813 1940 848
+rect 1894 779 1900 813
+rect 1934 779 1940 813
+rect 1894 741 1940 779
+rect 1894 707 1900 741
+rect 1934 707 1940 741
+rect 1894 660 1940 707
+rect 2086 813 2132 848
+rect 2086 779 2092 813
+rect 2126 779 2132 813
+rect 2086 741 2132 779
+rect 2086 707 2092 741
+rect 2126 707 2132 741
+rect 2086 660 2132 707
+rect 1552 614 2052 620
+rect 1552 580 1564 614
+rect 1598 580 1756 614
+rect 1790 580 1948 614
+rect 1982 580 2052 614
+rect 1552 574 2052 580
+rect 1994 452 2052 574
+rect 1994 394 2882 452
+rect 1644 304 2110 320
+rect 1644 270 1660 304
+rect 1694 270 2110 304
+rect 1644 262 2110 270
+rect 1510 185 1556 232
+rect 1510 151 1516 185
+rect 1550 151 1556 185
+rect 1510 113 1556 151
+rect 1510 79 1516 113
+rect 1550 79 1556 113
+rect 1510 44 1556 79
+rect 1702 185 1748 232
+rect 1702 151 1708 185
+rect 1742 151 1748 185
+rect 1702 113 1748 151
+rect 1702 79 1708 113
+rect 1742 79 1748 113
+rect 2052 146 2110 262
+rect 2824 146 2882 394
+rect 2052 134 2330 146
+rect 2052 100 2284 134
+rect 2318 100 2330 134
+rect 2052 88 2330 100
+rect 2702 134 2882 146
+rect 2702 100 2714 134
+rect 2748 100 2882 134
+rect 2702 86 2882 100
+rect 1702 44 1748 79
+rect 1510 32 1792 44
+rect 1516 14 1792 32
+rect 1476 -29 1542 -22
+rect 1476 -81 1483 -29
+rect 1535 -81 1542 -29
+rect 1476 -88 1542 -81
+rect 1596 -29 1662 -22
+rect 1596 -81 1603 -29
+rect 1655 -81 1662 -29
+rect 1596 -88 1662 -81
+<< via1 >>
+rect 1483 960 1535 969
+rect 1483 926 1492 960
+rect 1492 926 1526 960
+rect 1526 926 1535 960
+rect 1483 917 1535 926
+rect 1603 960 1655 969
+rect 1603 926 1612 960
+rect 1612 926 1646 960
+rect 1646 926 1655 960
+rect 1603 917 1655 926
+rect 1483 -38 1535 -29
+rect 1483 -72 1492 -38
+rect 1492 -72 1526 -38
+rect 1526 -72 1535 -38
+rect 1483 -81 1535 -72
+rect 1603 -38 1655 -29
+rect 1603 -72 1612 -38
+rect 1612 -72 1646 -38
+rect 1646 -72 1655 -38
+rect 1603 -81 1655 -72
+<< metal2 >>
+rect 1476 969 1544 976
+rect 1476 917 1483 969
+rect 1535 917 1544 969
+rect 1476 -29 1544 917
+rect 1476 -81 1483 -29
+rect 1535 -81 1544 -29
+rect 1476 -88 1544 -81
+rect 1596 969 1662 976
+rect 1596 917 1603 969
+rect 1655 917 1662 969
+rect 1596 -29 1662 917
+rect 1596 -81 1603 -29
+rect 1655 -81 1662 -29
+rect 1596 -88 1662 -81
+use INVMIN_v1p1  INVMIN_v1p1_0 ~/Documents/Postdoc/github/caravel_user_project_analog/mag
+timestamp 1641609411
+transform 1 0 2576 0 1 192
+box -300 -150 170 530
+<< labels >>
+flabel metal2 s 1508 940 1508 940 0 FreeSans 600 0 0 0 VIN
+port 1 nsew
+flabel metal2 s 1632 -54 1632 -54 0 FreeSans 600 0 0 0 VOUT
+port 2 nsew
+flabel metal1 s 1766 288 1766 288 0 FreeSans 600 0 0 0 CTR
+port 3 nsew
+flabel locali s 2296 588 2296 588 0 FreeSans 600 0 0 0 VDD
+port 4 nsew
+flabel locali s 2206 272 2206 272 0 FreeSans 600 0 0 0 VSS
+port 5 nsew
+<< end >>
diff --git a/mag/SDC_v1p2.mag b/mag/SDC_v1p2.mag
index 1e80891..aee6555 100644
--- a/mag/SDC_v1p2.mag
+++ b/mag/SDC_v1p2.mag
@@ -1,98 +1,11 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
+timestamp 1641611256
 << error_s >>
-rect 22866 7800 23094 7827
-rect 22894 7772 23094 7799
-rect 22814 7701 22848 7765
-rect 23079 7749 23094 7763
-rect 23224 7749 23239 7763
-rect 22864 7747 23094 7749
-rect 23194 7748 23450 7749
-rect 23224 7747 23450 7748
-rect 23079 7703 23094 7719
-rect 23224 7703 23239 7719
-rect 22894 7667 23094 7694
-rect 22894 7639 23094 7666
-rect 22894 7600 23094 7627
-rect 23224 7600 23451 7627
-rect 22894 7572 23094 7599
-rect 23225 7572 23423 7599
-rect 22814 7501 22848 7565
-rect 22864 7547 23120 7549
-rect 23199 7547 23445 7549
-rect 23098 7506 23132 7507
-rect 23461 7501 23495 7565
-rect 23225 7467 23423 7494
-rect 23224 7439 23424 7466
-rect 23224 7400 23424 7427
-rect 23225 7372 23423 7399
-rect 23199 7347 23445 7349
-rect 23461 7301 23495 7365
-rect 23225 7267 23423 7294
 rect 20885 7246 21013 7247
 rect 21077 7246 21205 7247
 rect 21269 7246 21397 7247
-rect 23224 7239 23424 7266
-rect 23196 7227 23252 7228
-rect 22856 7214 22928 7227
-rect 22890 7194 22928 7214
-rect 23196 7200 23424 7227
-rect 23196 7199 23224 7200
-rect 22814 7101 22848 7165
-rect 22890 7160 22962 7193
-rect 23196 7172 23424 7199
-rect 22864 7148 23150 7149
-rect 23168 7148 23450 7149
-rect 22864 7147 23120 7148
-rect 23198 7147 23450 7148
-rect 22894 7067 23094 7094
-rect 23224 7067 23424 7094
-rect 22894 7039 23094 7066
-rect 23224 7039 23424 7066
-rect 22894 7000 23094 7027
-rect 23224 7000 23424 7027
-rect 22894 6972 23094 6999
-rect 23224 6972 23424 6999
-rect 22864 6948 23150 6949
-rect 23168 6948 23450 6949
-rect 22864 6947 23120 6948
-rect 23198 6947 23450 6948
-rect 22894 6867 22960 6894
-rect 23196 6867 23424 6894
-rect 23196 6866 23252 6867
-rect 22894 6846 22932 6866
-rect 22866 6839 22932 6846
-rect 23224 6839 23424 6866
-rect 23224 6838 23252 6839
-rect 23224 6800 23424 6827
-rect 23225 6772 23423 6799
-rect 23199 6747 23445 6749
-rect 23461 6701 23495 6765
-rect 23225 6667 23423 6694
-rect 23224 6639 23424 6666
-rect 23224 6600 23424 6627
-rect 23225 6572 23423 6599
-rect 22814 6501 22848 6565
-rect 22864 6547 23120 6549
-rect 23199 6547 23445 6549
-rect 23461 6501 23495 6565
-rect 22894 6467 23094 6494
-rect 23225 6467 23423 6494
-rect 22894 6439 23094 6466
-rect 23224 6439 23451 6466
-rect 22894 6400 23094 6427
-rect 22894 6372 23094 6399
-rect 23079 6349 23094 6363
-rect 23224 6349 23239 6363
-rect 22864 6347 23094 6349
-rect 23194 6348 23450 6349
-rect 23224 6347 23450 6348
-rect 23079 6303 23094 6319
-rect 23224 6303 23239 6319
-rect 22894 6267 23094 6294
-rect 22866 6239 23094 6266
 << nwell >>
 rect 20800 8076 20998 8234
 rect 20734 7666 21644 8076
@@ -324,22 +237,22 @@
 rect 20620 8356 20654 8504
 rect 20280 8320 20654 8356
 rect 20654 5208 21574 5528
-use DFF_v3p1  DFF_v3p1_0
-timestamp 1640991954
-transform 0 -1 23450 1 0 6860
-box -678 -204 986 904
-use PASSGATE_v1p1  PASSGATE_v1p1_0
-timestamp 1640991954
-transform 1 0 19368 0 1 6586
-box 1366 -168 2882 1080
 use OSC_v3p2  OSC_v3p2_0
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 1718 0 -1 14404
 box -12 10 20956 6760
 use OSC_v3p2  OSC_v3p2_1
-timestamp 1640991954
+timestamp 1641609411
 transform 1 0 1718 0 1 -374
 box -12 10 20956 6760
+use PASSGATE_v1p1  PASSGATE_v1p1_0
+timestamp 1641611256
+transform 1 0 19368 0 1 6586
+box 1366 -168 2882 1080
+use DFF_v3p1  DFF_v3p1_0
+timestamp 1641611256
+transform 0 -1 23450 1 0 6860
+box -678 -204 986 904
 << labels >>
 flabel metal1 s 7808 7968 7808 7968 0 FreeSans 2000 0 0 0 VDD
 port 1 nsew
diff --git a/mag/SDC_v2p1.mag b/mag/SDC_v2p1.mag
new file mode 100644
index 0000000..372acf8
--- /dev/null
+++ b/mag/SDC_v2p1.mag
@@ -0,0 +1,277 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1641609411
+<< nwell >>
+rect 20800 8076 20998 8234
+rect 20734 7666 21644 8076
+<< locali >>
+rect 20866 7630 20884 7664
+rect 20918 7630 20956 7664
+rect 20990 7630 21028 7664
+rect 21062 7630 21100 7664
+rect 21134 7630 21172 7664
+rect 21206 7630 21244 7664
+rect 21278 7630 21316 7664
+rect 21350 7630 21388 7664
+rect 21422 7630 21460 7664
+rect 21494 7630 21512 7664
+rect 22756 7307 22816 7320
+rect 22756 7273 22769 7307
+rect 22803 7273 22816 7307
+rect 22756 7244 22816 7273
+rect 21874 6615 21934 6628
+rect 21874 6581 21887 6615
+rect 21921 6581 21934 6615
+rect 21874 6568 21934 6581
+rect 21224 6491 21284 6504
+rect 21224 6457 21237 6491
+rect 21271 6457 21284 6491
+rect 21224 6444 21284 6457
+<< viali >>
+rect 20884 7630 20918 7664
+rect 20956 7630 20990 7664
+rect 21028 7630 21062 7664
+rect 21100 7630 21134 7664
+rect 21172 7630 21206 7664
+rect 21244 7630 21278 7664
+rect 21316 7630 21350 7664
+rect 21388 7630 21422 7664
+rect 21460 7630 21494 7664
+rect 22769 7273 22803 7307
+rect 21887 6581 21921 6615
+rect 21237 6457 21271 6491
+<< metal1 >>
+rect 7826 9164 7920 9222
+rect 22668 9130 23616 9242
+rect 7778 7942 7862 7996
+rect 20866 7676 21514 7848
+rect 22122 7806 22774 8026
+rect 23558 7818 23616 9130
+rect 22788 7750 22864 7766
+rect 22788 7698 22795 7750
+rect 22847 7698 22864 7750
+rect 22788 7692 22864 7698
+rect 20858 7664 21522 7676
+rect 20858 7630 20884 7664
+rect 20918 7630 20956 7664
+rect 20990 7630 21028 7664
+rect 21062 7630 21100 7664
+rect 21134 7630 21172 7664
+rect 21206 7630 21244 7664
+rect 21278 7630 21316 7664
+rect 21350 7630 21388 7664
+rect 21422 7630 21460 7664
+rect 21494 7630 21522 7664
+rect 20858 7616 21522 7630
+rect 23558 7658 23638 7818
+rect 23558 7600 23616 7658
+rect 23558 7564 23638 7600
+rect 22744 7316 22828 7332
+rect 22744 7264 22760 7316
+rect 22812 7264 22828 7316
+rect 22744 7248 22828 7264
+rect 21862 6624 21946 6640
+rect 21862 6572 21878 6624
+rect 21930 6572 21946 6624
+rect 21862 6556 21946 6572
+rect 21212 6500 21298 6518
+rect 21212 6448 21228 6500
+rect 21280 6448 21298 6500
+rect 21212 6430 21298 6448
+rect 23528 6408 23616 6444
+rect 23528 6248 23638 6408
+rect 22590 6224 23094 6232
+rect 22122 6004 23094 6224
+rect 23528 5874 23616 6248
+rect 22106 5860 23616 5874
+rect 22106 5808 22186 5860
+rect 22238 5808 23616 5860
+rect 22106 5794 23616 5808
+<< via1 >>
+rect 22795 7698 22847 7750
+rect 22760 7307 22812 7316
+rect 22760 7273 22769 7307
+rect 22769 7273 22803 7307
+rect 22803 7273 22812 7307
+rect 22760 7264 22812 7273
+rect 21878 6615 21930 6624
+rect 21878 6581 21887 6615
+rect 21887 6581 21921 6615
+rect 21921 6581 21930 6615
+rect 21878 6572 21930 6581
+rect 21228 6491 21280 6500
+rect 21228 6457 21237 6491
+rect 21237 6457 21271 6491
+rect 21271 6457 21280 6491
+rect 21228 6448 21280 6457
+rect 22186 5808 22238 5860
+<< metal2 >>
+rect 9142 7660 9374 7780
+rect 22022 7756 22246 8434
+rect 22022 7750 22854 7756
+rect 22022 7698 22795 7750
+rect 22847 7698 22854 7750
+rect 22022 7692 22854 7698
+rect 20790 7562 20912 7644
+rect 20998 7513 21102 7562
+rect 20998 7457 21021 7513
+rect 21077 7457 21102 7513
+rect 20998 7433 21102 7457
+rect 20998 7377 21021 7433
+rect 21077 7377 21102 7433
+rect 20998 7353 21102 7377
+rect 20998 7297 21021 7353
+rect 21077 7297 21102 7353
+rect 20998 7273 21102 7297
+rect 20998 7217 21021 7273
+rect 21077 7217 21102 7273
+rect 20998 7193 21102 7217
+rect 20998 7137 21021 7193
+rect 21077 7137 21102 7193
+rect 20998 7113 21102 7137
+rect 20998 7057 21021 7113
+rect 21077 7057 21102 7113
+rect 20998 7046 21102 7057
+rect 22402 7316 22822 7328
+rect 22402 7264 22760 7316
+rect 22812 7264 22822 7316
+rect 22402 7254 22822 7264
+rect 22402 6636 22476 7254
+rect 21868 6624 22476 6636
+rect 21868 6572 21878 6624
+rect 21930 6572 22476 6624
+rect 21868 6562 22476 6572
+rect 21218 6500 22248 6510
+rect 21218 6448 21228 6500
+rect 21280 6448 22248 6500
+rect 21218 6438 22248 6448
+rect 22176 5860 22248 6438
+rect 22176 5808 22186 5860
+rect 22238 5808 22248 5860
+rect 22176 5798 22248 5808
+rect 22994 5626 23062 6528
+rect 22220 5472 23062 5626
+<< via2 >>
+rect 21021 7457 21077 7513
+rect 21021 7377 21077 7433
+rect 21021 7297 21077 7353
+rect 21021 7217 21077 7273
+rect 21021 7137 21077 7193
+rect 21021 7057 21077 7113
+<< metal3 >>
+rect 20998 7513 21102 7562
+rect 20998 7477 21021 7513
+rect 21077 7477 21102 7513
+rect 20998 7413 21017 7477
+rect 21081 7413 21102 7477
+rect 20998 7397 21021 7413
+rect 21077 7397 21102 7413
+rect 20998 7333 21017 7397
+rect 21081 7333 21102 7397
+rect 20998 7317 21021 7333
+rect 21077 7317 21102 7333
+rect 20998 7253 21017 7317
+rect 21081 7253 21102 7317
+rect 20998 7237 21021 7253
+rect 21077 7237 21102 7253
+rect 20998 7173 21017 7237
+rect 21081 7173 21102 7237
+rect 20998 7157 21021 7173
+rect 21077 7157 21102 7173
+rect 20998 7093 21017 7157
+rect 21081 7093 21102 7157
+rect 20998 7057 21021 7093
+rect 21077 7057 21102 7093
+rect 20998 7046 21102 7057
+<< via3 >>
+rect 21017 7457 21021 7477
+rect 21021 7457 21077 7477
+rect 21077 7457 21081 7477
+rect 21017 7433 21081 7457
+rect 21017 7413 21021 7433
+rect 21021 7413 21077 7433
+rect 21077 7413 21081 7433
+rect 21017 7377 21021 7397
+rect 21021 7377 21077 7397
+rect 21077 7377 21081 7397
+rect 21017 7353 21081 7377
+rect 21017 7333 21021 7353
+rect 21021 7333 21077 7353
+rect 21077 7333 21081 7353
+rect 21017 7297 21021 7317
+rect 21021 7297 21077 7317
+rect 21077 7297 21081 7317
+rect 21017 7273 21081 7297
+rect 21017 7253 21021 7273
+rect 21021 7253 21077 7273
+rect 21077 7253 21081 7273
+rect 21017 7217 21021 7237
+rect 21021 7217 21077 7237
+rect 21077 7217 21081 7237
+rect 21017 7193 21081 7217
+rect 21017 7173 21021 7193
+rect 21021 7173 21077 7193
+rect 21077 7173 21081 7193
+rect 21017 7137 21021 7157
+rect 21021 7137 21077 7157
+rect 21077 7137 21081 7157
+rect 21017 7113 21081 7137
+rect 21017 7093 21021 7113
+rect 21021 7093 21077 7113
+rect 21077 7093 21081 7113
+<< metal4 >>
+rect 20620 8356 21102 8502
+rect 20420 8320 21102 8356
+rect 20998 7477 21102 8320
+rect 20998 7413 21017 7477
+rect 21081 7413 21102 7477
+rect 20998 7397 21102 7413
+rect 20998 7333 21017 7397
+rect 21081 7333 21102 7397
+rect 20998 7317 21102 7333
+rect 20998 7253 21017 7317
+rect 21081 7253 21102 7317
+rect 20998 7237 21102 7253
+rect 20998 7173 21017 7237
+rect 21081 7173 21102 7237
+rect 20998 7157 21102 7173
+rect 20998 7093 21017 7157
+rect 21081 7093 21102 7157
+rect 20998 7046 21102 7093
+<< via4 >>
+rect 20384 8356 20620 8592
+<< metal5 >>
+rect 20280 8592 20514 8676
+rect 20280 8356 20384 8592
+rect 20620 8356 20654 8504
+rect 20280 8320 20654 8356
+rect 20654 5208 21574 5528
+use PASSGATE_v1p2  PASSGATE_v1p2_0
+timestamp 1641609411
+transform 1 0 19368 0 1 6586
+box 1366 -168 2882 1080
+use DFF_v4p1  DFF_v4p1_0
+timestamp 1641609411
+transform 0 -1 23156 1 0 6824
+box -636 -644 1036 626
+use OSC_v3p2  OSC_v3p2_0 ~/Documents/Postdoc/github/caravel_user_project_analog/mag
+timestamp 1641609411
+transform 1 0 1718 0 -1 14404
+box -12 10 20956 6760
+use OSC_v3p2  OSC_v3p2_1
+timestamp 1641609411
+transform 1 0 1718 0 1 -374
+box -12 10 20956 6760
+<< labels >>
+flabel metal1 s 7808 7968 7808 7968 0 FreeSans 2000 0 0 0 VDD
+port 1 nsew
+flabel metal1 s 7874 9190 7874 9190 0 FreeSans 2000 0 0 0 VSS
+port 2 nsew
+flabel metal2 s 9244 7732 9244 7732 0 FreeSans 2000 0 0 0 SENS_IN
+port 3 nsew
+flabel metal5 s 20956 5398 20956 5398 0 FreeSans 2000 0 0 0 REF_IN
+port 4 nsew
+flabel metal2 s 22458 7288 22458 7288 0 FreeSans 2000 0 0 0 DOUT
+port 5 nsew
+<< end >>
diff --git a/mag/invmin_magic_v1p1.mag b/mag/invmin_magic_v1p1.mag
index 0c08641..6bb81e6 100644
--- a/mag/invmin_magic_v1p1.mag
+++ b/mag/invmin_magic_v1p1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
+timestamp 1641609411
 << nwell >>
 rect -300 250 170 530
 << pwell >>
diff --git a/mag/sky130_fd_pr__cap_mim_m3_1_9K4XRG.mag b/mag/sky130_fd_pr__cap_mim_m3_1_9K4XRG.mag
index 068278c..b697664 100644
--- a/mag/sky130_fd_pr__cap_mim_m3_1_9K4XRG.mag
+++ b/mag/sky130_fd_pr__cap_mim_m3_1_9K4XRG.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
+timestamp 1641609411
 << metal3 >>
 rect -456 2272 456 2320
 rect -456 2208 372 2272
diff --git a/mag/sky130_fd_pr__cap_mim_m3_2_4SGG6N.mag b/mag/sky130_fd_pr__cap_mim_m3_2_4SGG6N.mag
index a571554..c39f180 100644
--- a/mag/sky130_fd_pr__cap_mim_m3_2_4SGG6N.mag
+++ b/mag/sky130_fd_pr__cap_mim_m3_2_4SGG6N.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
+timestamp 1641609411
 << metal4 >>
 rect -2231 2198 2231 2320
 rect -2231 1962 1975 2198
diff --git a/mag/sky130_fd_pr__cap_mim_m3_2_7PBNAZ.mag b/mag/sky130_fd_pr__cap_mim_m3_2_7PBNAZ.mag
index 96bd6c3..61319ff 100644
--- a/mag/sky130_fd_pr__cap_mim_m3_2_7PBNAZ.mag
+++ b/mag/sky130_fd_pr__cap_mim_m3_2_7PBNAZ.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
+timestamp 1641609411
 << metal4 >>
 rect -671 2198 671 2320
 rect -671 1962 415 2198
diff --git a/mag/sky130_fd_pr__nfet_01v8_59MFY5.mag b/mag/sky130_fd_pr__nfet_01v8_59MFY5.mag
index d9ad071..63ffad2 100644
--- a/mag/sky130_fd_pr__nfet_01v8_59MFY5.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_59MFY5.mag
@@ -1,80 +1,90 @@
 magic
 tech sky130A
-timestamp 1640991954
+magscale 1 2
+timestamp 1641609411
 << error_p >>
-rect 7 -45 8 78
-rect -14 -53 14 -50
-rect -16 -70 16 -53
-rect -14 -73 14 -70
+rect -29 -107 29 -101
+rect -29 -141 -17 -107
+rect -29 -147 29 -141
 << pwell >>
-rect -49 -47 49 78
+rect -99 -95 99 157
 << nmos >>
-rect -7 -34 7 65
+rect -15 -69 15 131
 << ndiff >>
-rect -36 58 -7 65
-rect -36 41 -30 58
-rect -13 41 -7 58
-rect -36 24 -7 41
-rect -36 7 -30 24
-rect -13 7 -7 24
-rect -36 -10 -7 7
-rect -36 -27 -30 -10
-rect -13 -27 -7 -10
-rect -36 -34 -7 -27
-rect 7 58 36 65
-rect 7 41 13 58
-rect 30 41 36 58
-rect 7 24 36 41
-rect 7 7 13 24
-rect 30 7 36 24
-rect 7 -10 36 7
-rect 7 -27 13 -10
-rect 30 -27 36 -10
-rect 7 -34 36 -27
+rect -73 116 -15 131
+rect -73 82 -61 116
+rect -27 82 -15 116
+rect -73 48 -15 82
+rect -73 14 -61 48
+rect -27 14 -15 48
+rect -73 -20 -15 14
+rect -73 -54 -61 -20
+rect -27 -54 -15 -20
+rect -73 -69 -15 -54
+rect 15 116 73 131
+rect 15 82 27 116
+rect 61 82 73 116
+rect 15 48 73 82
+rect 15 14 27 48
+rect 61 14 73 48
+rect 15 -20 73 14
+rect 15 -54 27 -20
+rect 61 -54 73 -20
+rect 15 -69 73 -54
 << ndiffc >>
-rect -30 41 -13 58
-rect -30 7 -13 24
-rect -30 -27 -13 -10
-rect 13 41 30 58
-rect 13 7 30 24
-rect 13 -27 30 -10
+rect -61 82 -27 116
+rect -61 14 -27 48
+rect -61 -54 -27 -20
+rect 27 82 61 116
+rect 27 14 61 48
+rect 27 -54 61 -20
 << poly >>
-rect -7 65 7 78
-rect -7 -45 7 -34
-rect -16 -78 16 -45
+rect -15 131 15 157
+rect -15 -91 15 -69
+rect -33 -107 33 -91
+rect -33 -141 -17 -107
+rect 17 -141 33 -107
+rect -33 -157 33 -141
+<< polycont >>
+rect -17 -141 17 -107
 << locali >>
-rect -30 58 -13 67
-rect -30 24 -13 25
-rect -30 6 -13 7
-rect -30 -36 -13 -27
-rect 13 58 30 67
-rect 13 24 30 25
-rect 13 6 30 7
-rect 13 -36 30 -27
-rect -16 -70 16 -53
+rect -61 116 -27 135
+rect -61 48 -27 50
+rect -61 12 -27 14
+rect -61 -73 -27 -54
+rect 27 116 61 135
+rect 27 48 61 50
+rect 27 12 61 14
+rect 27 -73 61 -54
+rect -33 -141 -17 -107
+rect 17 -141 33 -107
 << viali >>
-rect -30 41 -13 42
-rect -30 25 -13 41
-rect -30 -10 -13 6
-rect -30 -11 -13 -10
-rect 13 41 30 42
-rect 13 25 30 41
-rect 13 -10 30 6
-rect 13 -11 30 -10
+rect -61 82 -27 84
+rect -61 50 -27 82
+rect -61 -20 -27 12
+rect -61 -22 -27 -20
+rect 27 82 61 84
+rect 27 50 61 82
+rect 27 -20 61 12
+rect 27 -22 61 -20
+rect -17 -141 17 -107
 << metal1 >>
-rect -33 42 -10 65
-rect -33 25 -30 42
-rect -13 25 -10 42
-rect -33 6 -10 25
-rect -33 -11 -30 6
-rect -13 -11 -10 6
-rect -33 -34 -10 -11
-rect 10 42 33 65
-rect 10 25 13 42
-rect 30 25 33 42
-rect 10 6 33 25
-rect 10 -11 13 6
-rect 30 -11 33 6
-rect 10 -34 33 -11
-rect -14 -73 14 -50
+rect -67 84 -21 131
+rect -67 50 -61 84
+rect -27 50 -21 84
+rect -67 12 -21 50
+rect -67 -22 -61 12
+rect -27 -22 -21 12
+rect -67 -69 -21 -22
+rect 21 84 67 131
+rect 21 50 27 84
+rect 61 50 67 84
+rect 21 12 67 50
+rect 21 -22 27 12
+rect 61 -22 67 12
+rect 21 -69 67 -22
+rect -29 -107 29 -101
+rect -29 -141 -17 -107
+rect 17 -141 29 -107
+rect -29 -147 29 -141
 << end >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_6H9P4D.mag b/mag/sky130_fd_pr__nfet_01v8_6H9P4D.mag
index a463bf6..681fbf0 100644
--- a/mag/sky130_fd_pr__nfet_01v8_6H9P4D.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_6H9P4D.mag
@@ -1,75 +1,73 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
-<< error_p >>
-rect 14 -126 16 126
+timestamp 1641609411
 << pwell >>
-rect -98 -126 98 126
+rect -99 -126 99 126
 << nmos >>
-rect -14 -100 14 100
+rect -15 -100 15 100
 << ndiff >>
-rect -72 85 -14 100
-rect -72 51 -60 85
-rect -26 51 -14 85
-rect -72 17 -14 51
-rect -72 -17 -60 17
-rect -26 -17 -14 17
-rect -72 -51 -14 -17
-rect -72 -85 -60 -51
-rect -26 -85 -14 -51
-rect -72 -100 -14 -85
-rect 14 85 72 100
-rect 14 51 26 85
-rect 60 51 72 85
-rect 14 17 72 51
-rect 14 -17 26 17
-rect 60 -17 72 17
-rect 14 -51 72 -17
-rect 14 -85 26 -51
-rect 60 -85 72 -51
-rect 14 -100 72 -85
+rect -73 85 -15 100
+rect -73 51 -61 85
+rect -27 51 -15 85
+rect -73 17 -15 51
+rect -73 -17 -61 17
+rect -27 -17 -15 17
+rect -73 -51 -15 -17
+rect -73 -85 -61 -51
+rect -27 -85 -15 -51
+rect -73 -100 -15 -85
+rect 15 85 73 100
+rect 15 51 27 85
+rect 61 51 73 85
+rect 15 17 73 51
+rect 15 -17 27 17
+rect 61 -17 73 17
+rect 15 -51 73 -17
+rect 15 -85 27 -51
+rect 61 -85 73 -51
+rect 15 -100 73 -85
 << ndiffc >>
-rect -60 51 -26 85
-rect -60 -17 -26 17
-rect -60 -85 -26 -51
-rect 26 51 60 85
-rect 26 -17 60 17
-rect 26 -85 60 -51
+rect -61 51 -27 85
+rect -61 -17 -27 17
+rect -61 -85 -27 -51
+rect 27 51 61 85
+rect 27 -17 61 17
+rect 27 -85 61 -51
 << poly >>
-rect -14 100 14 126
-rect -14 -126 14 -100
+rect -15 100 15 126
+rect -15 -126 15 -100
 << locali >>
-rect -60 85 -26 104
-rect -60 17 -26 19
-rect -60 -19 -26 -17
-rect -60 -104 -26 -85
-rect 26 85 60 104
-rect 26 17 60 19
-rect 26 -19 60 -17
-rect 26 -104 60 -85
+rect -61 85 -27 104
+rect -61 17 -27 19
+rect -61 -19 -27 -17
+rect -61 -104 -27 -85
+rect 27 85 61 104
+rect 27 17 61 19
+rect 27 -19 61 -17
+rect 27 -104 61 -85
 << viali >>
-rect -60 51 -26 53
-rect -60 19 -26 51
-rect -60 -51 -26 -19
-rect -60 -53 -26 -51
-rect 26 51 60 53
-rect 26 19 60 51
-rect 26 -51 60 -19
-rect 26 -53 60 -51
+rect -61 51 -27 53
+rect -61 19 -27 51
+rect -61 -51 -27 -19
+rect -61 -53 -27 -51
+rect 27 51 61 53
+rect 27 19 61 51
+rect 27 -51 61 -19
+rect 27 -53 61 -51
 << metal1 >>
-rect -66 53 -20 100
-rect -66 19 -60 53
-rect -26 19 -20 53
-rect -66 -19 -20 19
-rect -66 -53 -60 -19
-rect -26 -53 -20 -19
-rect -66 -100 -20 -53
-rect 20 53 66 100
-rect 20 19 26 53
-rect 60 19 66 53
-rect 20 -19 66 19
-rect 20 -53 26 -19
-rect 60 -53 66 -19
-rect 20 -100 66 -53
+rect -67 53 -21 100
+rect -67 19 -61 53
+rect -27 19 -21 53
+rect -67 -19 -21 19
+rect -67 -53 -61 -19
+rect -27 -53 -21 -19
+rect -67 -100 -21 -53
+rect 21 53 67 100
+rect 21 19 27 53
+rect 61 19 67 53
+rect 21 -19 67 19
+rect 21 -53 27 -19
+rect 61 -53 67 -19
+rect 21 -100 67 -53
 << end >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_MA8JHN.mag b/mag/sky130_fd_pr__pfet_01v8_MA8JHN.mag
index 50b36ad..ca6d1ef 100644
--- a/mag/sky130_fd_pr__pfet_01v8_MA8JHN.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_MA8JHN.mag
@@ -1,81 +1,90 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
+timestamp 1641609411
 << error_p >>
-rect -28 144 28 150
-rect -32 110 32 144
-rect -28 104 28 110
-rect 14 -162 16 94
+rect -29 145 29 151
+rect -29 111 -17 145
+rect -29 105 29 111
 << nwell >>
-rect -108 -198 108 164
+rect -109 -198 109 164
 << pmos >>
-rect -14 -136 14 64
+rect -15 -136 15 64
 << pdiff >>
-rect -72 49 -14 64
-rect -72 15 -60 49
-rect -26 15 -14 49
-rect -72 -19 -14 15
-rect -72 -53 -60 -19
-rect -26 -53 -14 -19
-rect -72 -87 -14 -53
-rect -72 -121 -60 -87
-rect -26 -121 -14 -87
-rect -72 -136 -14 -121
-rect 14 49 72 64
-rect 14 15 26 49
-rect 60 15 72 49
-rect 14 -19 72 15
-rect 14 -53 26 -19
-rect 60 -53 72 -19
-rect 14 -87 72 -53
-rect 14 -121 26 -87
-rect 60 -121 72 -87
-rect 14 -136 72 -121
+rect -73 49 -15 64
+rect -73 15 -61 49
+rect -27 15 -15 49
+rect -73 -19 -15 15
+rect -73 -53 -61 -19
+rect -27 -53 -15 -19
+rect -73 -87 -15 -53
+rect -73 -121 -61 -87
+rect -27 -121 -15 -87
+rect -73 -136 -15 -121
+rect 15 49 73 64
+rect 15 15 27 49
+rect 61 15 73 49
+rect 15 -19 73 15
+rect 15 -53 27 -19
+rect 61 -53 73 -19
+rect 15 -87 73 -53
+rect 15 -121 27 -87
+rect 61 -121 73 -87
+rect 15 -136 73 -121
 << pdiffc >>
-rect -60 15 -26 49
-rect -60 -53 -26 -19
-rect -60 -121 -26 -87
-rect 26 15 60 49
-rect 26 -53 60 -19
-rect 26 -121 60 -87
+rect -61 15 -27 49
+rect -61 -53 -27 -19
+rect -61 -121 -27 -87
+rect 27 15 61 49
+rect 27 -53 61 -19
+rect 27 -121 61 -87
 << poly >>
-rect -32 94 32 160
-rect -14 64 14 94
-rect -14 -162 14 -136
+rect -33 145 33 161
+rect -33 111 -17 145
+rect 17 111 33 145
+rect -33 95 33 111
+rect -15 64 15 95
+rect -15 -162 15 -136
+<< polycont >>
+rect -17 111 17 145
 << locali >>
-rect -32 110 32 144
-rect -60 49 -26 68
-rect -60 -19 -26 -17
-rect -60 -55 -26 -53
-rect -60 -140 -26 -121
-rect 26 49 60 68
-rect 26 -19 60 -17
-rect 26 -55 60 -53
-rect 26 -140 60 -121
+rect -33 111 -17 145
+rect 17 111 33 145
+rect -61 49 -27 68
+rect -61 -19 -27 -17
+rect -61 -55 -27 -53
+rect -61 -140 -27 -121
+rect 27 49 61 68
+rect 27 -19 61 -17
+rect 27 -55 61 -53
+rect 27 -140 61 -121
 << viali >>
-rect -60 15 -26 17
-rect -60 -17 -26 15
-rect -60 -87 -26 -55
-rect -60 -89 -26 -87
-rect 26 15 60 17
-rect 26 -17 60 15
-rect 26 -87 60 -55
-rect 26 -89 60 -87
+rect -17 111 17 145
+rect -61 15 -27 17
+rect -61 -17 -27 15
+rect -61 -87 -27 -55
+rect -61 -89 -27 -87
+rect 27 15 61 17
+rect 27 -17 61 15
+rect 27 -87 61 -55
+rect 27 -89 61 -87
 << metal1 >>
-rect -28 104 28 150
-rect -66 17 -20 64
-rect -66 -17 -60 17
-rect -26 -17 -20 17
-rect -66 -55 -20 -17
-rect -66 -89 -60 -55
-rect -26 -89 -20 -55
-rect -66 -136 -20 -89
-rect 20 17 66 64
-rect 20 -17 26 17
-rect 60 -17 66 17
-rect 20 -55 66 -17
-rect 20 -89 26 -55
-rect 60 -89 66 -55
-rect 20 -136 66 -89
+rect -29 145 29 151
+rect -29 111 -17 145
+rect 17 111 29 145
+rect -29 105 29 111
+rect -67 17 -21 64
+rect -67 -17 -61 17
+rect -27 -17 -21 17
+rect -67 -55 -21 -17
+rect -67 -89 -61 -55
+rect -27 -89 -21 -55
+rect -67 -136 -21 -89
+rect 21 17 67 64
+rect 21 -17 27 17
+rect 61 -17 67 17
+rect 21 -55 67 -17
+rect 21 -89 27 -55
+rect 61 -89 67 -55
+rect 21 -136 67 -89
 << end >>
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index 1c62a9b..54dd7e8 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,103 +1,12 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1640991954
-<< error_s >>
-rect 556638 692448 556866 692475
-rect 556638 692420 556838 692447
-rect 556493 692397 556508 692411
-rect 556638 692397 556653 692411
-rect 556282 692395 556508 692397
-rect 556608 692396 556868 692397
-rect 556638 692395 556868 692396
-rect 556493 692351 556508 692367
-rect 556638 692351 556653 692367
-rect 556884 692349 556918 692413
-rect 556638 692315 556838 692342
-rect 556638 692287 556838 692314
-rect 556281 692248 556508 692275
-rect 556638 692248 556838 692275
-rect 556309 692220 556507 692247
-rect 556638 692220 556838 692247
-rect 556237 692149 556271 692213
-rect 556287 692195 556533 692197
-rect 556612 692195 556868 692197
-rect 556600 692154 556634 692155
-rect 556884 692149 556918 692213
-rect 556309 692115 556507 692142
-rect 556308 692087 556508 692114
-rect 556308 692048 556508 692075
-rect 556309 692020 556507 692047
-rect 556237 691949 556271 692013
-rect 556287 691995 556533 691997
-rect 556309 691915 556507 691942
-rect 556308 691887 556508 691914
-rect 558335 691894 558463 691895
-rect 558527 691894 558655 691895
-rect 558719 691894 558847 691895
-rect 556480 691875 556536 691876
-rect 556308 691848 556536 691875
-rect 556508 691847 556536 691848
-rect 556308 691820 556536 691847
-rect 556804 691862 556876 691875
-rect 556804 691842 556842 691862
-rect 556770 691808 556842 691841
-rect 556282 691796 556564 691797
-rect 556582 691796 556868 691797
-rect 556282 691795 556534 691796
-rect 556612 691795 556868 691796
-rect 556884 691749 556918 691813
-rect 556308 691715 556508 691742
-rect 556638 691715 556838 691742
-rect 556308 691687 556508 691714
-rect 556638 691687 556838 691714
-rect 556308 691648 556508 691675
-rect 556638 691648 556838 691675
-rect 556308 691620 556508 691647
-rect 556638 691620 556838 691647
-rect 556282 691596 556564 691597
-rect 556582 691596 556868 691597
-rect 556282 691595 556534 691596
-rect 556612 691595 556868 691596
-rect 556308 691515 556536 691542
-rect 556772 691515 556838 691542
-rect 556480 691514 556536 691515
-rect 556308 691487 556508 691514
-rect 556800 691494 556838 691514
-rect 556800 691487 556866 691494
-rect 556480 691486 556508 691487
-rect 556308 691448 556508 691475
-rect 556309 691420 556507 691447
-rect 556237 691349 556271 691413
-rect 556287 691395 556533 691397
-rect 556309 691315 556507 691342
-rect 556308 691287 556508 691314
-rect 556308 691248 556508 691275
-rect 556309 691220 556507 691247
-rect 556237 691149 556271 691213
-rect 556287 691195 556533 691197
-rect 556612 691195 556868 691197
-rect 556884 691149 556918 691213
-rect 556309 691115 556507 691142
-rect 556638 691115 556838 691142
-rect 556281 691087 556508 691114
-rect 556638 691087 556838 691114
-rect 556638 691048 556838 691075
-rect 556638 691020 556838 691047
-rect 556493 690997 556508 691011
-rect 556638 690997 556653 691011
-rect 556282 690995 556508 690997
-rect 556608 690996 556868 690997
-rect 556638 690995 556868 690996
-rect 556493 690951 556508 690967
-rect 556638 690951 556653 690967
-rect 556638 690915 556838 690942
-rect 556638 690887 556866 690914
+timestamp 1641611256
 << metal1 >>
-rect 556106 693890 556206 693900
+rect 556106 693890 556320 693900
 rect 556106 693810 556116 693890
-rect 556196 693810 556206 693890
-rect 556106 693800 556206 693810
+rect 556196 693810 556320 693890
+rect 556106 693766 556320 693810
 rect 572602 691800 573014 692566
 rect 572602 691720 572920 691800
 rect 573000 691720 573014 691800
@@ -114,38 +23,38 @@
 rect 574050 692338 574070 692418
 rect 574150 692338 574188 692418
 rect 574050 692292 574188 692338
-rect 557264 691941 557322 691958
-rect 557264 691885 557265 691941
-rect 557321 691885 557322 691941
-rect 557264 691861 557322 691885
-rect 557264 691805 557265 691861
-rect 557321 691805 557322 691861
-rect 557264 691781 557322 691805
-rect 557264 691725 557265 691781
-rect 557321 691725 557322 691781
-rect 557264 691701 557322 691725
+rect 557412 691941 557470 691958
+rect 557412 691885 557413 691941
+rect 557469 691885 557470 691941
+rect 557412 691861 557470 691885
+rect 557412 691805 557413 691861
+rect 557469 691805 557470 691861
+rect 557412 691781 557470 691805
+rect 557412 691725 557413 691781
+rect 557469 691725 557470 691781
+rect 557412 691701 557470 691725
 rect 572900 691800 579560 691822
 rect 572900 691720 572920 691800
 rect 573000 691720 579560 691800
 rect 572900 691702 579560 691720
-rect 557264 691645 557265 691701
-rect 557321 691645 557322 691701
-rect 557264 691621 557322 691645
-rect 557264 691565 557265 691621
-rect 557321 691565 557322 691621
-rect 557264 691541 557322 691565
-rect 557264 691485 557265 691541
-rect 557321 691485 557322 691541
-rect 557264 691461 557322 691485
-rect 557264 691405 557265 691461
-rect 557321 691405 557322 691461
-rect 557264 691381 557322 691405
-rect 557264 691325 557265 691381
-rect 557321 691325 557322 691381
-rect 557264 691301 557322 691325
-rect 557264 691245 557265 691301
-rect 557321 691245 557322 691301
-rect 557264 691228 557322 691245
+rect 557412 691645 557413 691701
+rect 557469 691645 557470 691701
+rect 557412 691621 557470 691645
+rect 557412 691565 557413 691621
+rect 557469 691565 557470 691621
+rect 557412 691541 557470 691565
+rect 557412 691485 557413 691541
+rect 557469 691485 557470 691541
+rect 557412 691461 557470 691485
+rect 557412 691405 557413 691461
+rect 557469 691405 557470 691461
+rect 557412 691381 557470 691405
+rect 557412 691325 557413 691381
+rect 557469 691325 557470 691381
+rect 557412 691301 557470 691325
+rect 557412 691245 557413 691301
+rect 557469 691245 557470 691301
+rect 557412 691228 557470 691245
 rect 574050 690990 574188 691034
 rect 574050 690910 574074 690990
 rect 574154 690910 574188 690990
@@ -651,15 +560,15 @@
 << via2 >>
 rect 556116 693810 556196 693890
 rect 574070 692338 574150 692418
-rect 557265 691885 557321 691941
-rect 557265 691805 557321 691861
-rect 557265 691725 557321 691781
-rect 557265 691645 557321 691701
-rect 557265 691565 557321 691621
-rect 557265 691485 557321 691541
-rect 557265 691405 557321 691461
-rect 557265 691325 557321 691381
-rect 557265 691245 557321 691301
+rect 557413 691885 557469 691941
+rect 557413 691805 557469 691861
+rect 557413 691725 557469 691781
+rect 557413 691645 557469 691701
+rect 557413 691565 557469 691621
+rect 557413 691485 557469 691541
+rect 557413 691405 557469 691461
+rect 557413 691325 557469 691381
+rect 557413 691245 557469 691301
 rect 574074 690910 574154 690990
 rect 579460 642742 579540 642822
 << metal3 >>
@@ -691,40 +600,40 @@
 rect 522438 693810 556116 693890
 rect 556196 693810 556206 693890
 rect 522438 693786 556206 693810
-rect 467294 692830 557334 692950
-rect 557254 691941 557334 692830
+rect 467294 692830 557482 692950
+rect 557402 691941 557482 692830
 rect 579006 692436 579126 701752
 rect 574048 692418 579126 692436
 rect 574048 692338 574070 692418
 rect 574150 692338 579126 692418
 rect 574048 692316 579126 692338
-rect 557254 691885 557265 691941
-rect 557321 691885 557334 691941
-rect 557254 691861 557334 691885
-rect 557254 691805 557265 691861
-rect 557321 691805 557334 691861
-rect 557254 691781 557334 691805
-rect 557254 691725 557265 691781
-rect 557321 691725 557334 691781
-rect 557254 691701 557334 691725
-rect 557254 691645 557265 691701
-rect 557321 691645 557334 691701
-rect 557254 691621 557334 691645
-rect 557254 691565 557265 691621
-rect 557321 691565 557334 691621
-rect 557254 691541 557334 691565
-rect 557254 691485 557265 691541
-rect 557321 691485 557334 691541
-rect 557254 691461 557334 691485
-rect 557254 691405 557265 691461
-rect 557321 691405 557334 691461
-rect 557254 691381 557334 691405
-rect 557254 691325 557265 691381
-rect 557321 691325 557334 691381
-rect 557254 691301 557334 691325
-rect 557254 691245 557265 691301
-rect 557321 691245 557334 691301
-rect 557254 691210 557334 691245
+rect 557402 691885 557413 691941
+rect 557469 691885 557482 691941
+rect 557402 691861 557482 691885
+rect 557402 691805 557413 691861
+rect 557469 691805 557482 691861
+rect 557402 691781 557482 691805
+rect 557402 691725 557413 691781
+rect 557469 691725 557482 691781
+rect 557402 691701 557482 691725
+rect 557402 691645 557413 691701
+rect 557469 691645 557482 691701
+rect 557402 691621 557482 691645
+rect 557402 691565 557413 691621
+rect 557469 691565 557482 691621
+rect 557402 691541 557482 691565
+rect 557402 691485 557413 691541
+rect 557469 691485 557482 691541
+rect 557402 691461 557482 691485
+rect 557402 691405 557413 691461
+rect 557469 691405 557482 691461
+rect 557402 691381 557482 691405
+rect 557402 691325 557413 691381
+rect 557469 691325 557482 691381
+rect 557402 691301 557482 691325
+rect 557402 691245 557413 691301
+rect 557469 691245 557482 691301
+rect 557402 691210 557482 691245
 rect 574050 690990 579122 691010
 rect 574050 690910 574074 690990
 rect 574154 690910 579122 690990
@@ -915,10 +824,10 @@
 rect 227594 702300 232594 704800
 rect 318994 702300 323994 704800
 rect 329294 702300 334294 704800
-use SDC_v1p2  SDC_v1p2_0
-timestamp 1640991954
-transform -1 0 579732 0 1 684648
-box 1706 -364 23654 14394
+use SDC_v2p1  SDC_v2p1_0
+timestamp 1641609411
+transform -1 0 579878 0 1 684648
+box 1706 -364 23800 14394
 << labels >>
 flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1400 0 0 0 gpio_analog[0]
 port 1 nsew
@@ -2048,10 +1957,6 @@
 port 548 nsew
 flabel metal2 s 583250 -800 583362 480 0 FreeSans 1400 90 0 0 user_irq[2]
 port 549 nsew
-flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1400 0 0 0 vccd1
-port 550 nsew
-flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1400 0 0 0 vccd1
-port 550 nsew
 flabel metal3 s 0 643842 1660 648642 0 FreeSans 1400 0 0 0 vccd2
 port 551 nsew
 flabel metal3 s 0 633842 1660 638642 0 FreeSans 1400 0 0 0 vccd2
@@ -2300,6 +2205,10 @@
 port 662 nsew
 flabel metal2 s 6434 -800 6546 480 0 FreeSans 1400 90 0 0 wbs_we_i
 port 663 nsew
+flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1400 0 0 0 vccd1
+port 550 nsew
+flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1400 0 0 0 vccd1
+port 550 nsew
 << properties >>
 string FIXED_BBOX 0 0 584000 704000
 << end >>
diff --git a/mag/user_analog_project_wrapper_v1.mag b/mag/user_analog_project_wrapper_v1.mag
new file mode 100644
index 0000000..1c62a9b
--- /dev/null
+++ b/mag/user_analog_project_wrapper_v1.mag
@@ -0,0 +1,2305 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1640991954
+<< error_s >>
+rect 556638 692448 556866 692475
+rect 556638 692420 556838 692447
+rect 556493 692397 556508 692411
+rect 556638 692397 556653 692411
+rect 556282 692395 556508 692397
+rect 556608 692396 556868 692397
+rect 556638 692395 556868 692396
+rect 556493 692351 556508 692367
+rect 556638 692351 556653 692367
+rect 556884 692349 556918 692413
+rect 556638 692315 556838 692342
+rect 556638 692287 556838 692314
+rect 556281 692248 556508 692275
+rect 556638 692248 556838 692275
+rect 556309 692220 556507 692247
+rect 556638 692220 556838 692247
+rect 556237 692149 556271 692213
+rect 556287 692195 556533 692197
+rect 556612 692195 556868 692197
+rect 556600 692154 556634 692155
+rect 556884 692149 556918 692213
+rect 556309 692115 556507 692142
+rect 556308 692087 556508 692114
+rect 556308 692048 556508 692075
+rect 556309 692020 556507 692047
+rect 556237 691949 556271 692013
+rect 556287 691995 556533 691997
+rect 556309 691915 556507 691942
+rect 556308 691887 556508 691914
+rect 558335 691894 558463 691895
+rect 558527 691894 558655 691895
+rect 558719 691894 558847 691895
+rect 556480 691875 556536 691876
+rect 556308 691848 556536 691875
+rect 556508 691847 556536 691848
+rect 556308 691820 556536 691847
+rect 556804 691862 556876 691875
+rect 556804 691842 556842 691862
+rect 556770 691808 556842 691841
+rect 556282 691796 556564 691797
+rect 556582 691796 556868 691797
+rect 556282 691795 556534 691796
+rect 556612 691795 556868 691796
+rect 556884 691749 556918 691813
+rect 556308 691715 556508 691742
+rect 556638 691715 556838 691742
+rect 556308 691687 556508 691714
+rect 556638 691687 556838 691714
+rect 556308 691648 556508 691675
+rect 556638 691648 556838 691675
+rect 556308 691620 556508 691647
+rect 556638 691620 556838 691647
+rect 556282 691596 556564 691597
+rect 556582 691596 556868 691597
+rect 556282 691595 556534 691596
+rect 556612 691595 556868 691596
+rect 556308 691515 556536 691542
+rect 556772 691515 556838 691542
+rect 556480 691514 556536 691515
+rect 556308 691487 556508 691514
+rect 556800 691494 556838 691514
+rect 556800 691487 556866 691494
+rect 556480 691486 556508 691487
+rect 556308 691448 556508 691475
+rect 556309 691420 556507 691447
+rect 556237 691349 556271 691413
+rect 556287 691395 556533 691397
+rect 556309 691315 556507 691342
+rect 556308 691287 556508 691314
+rect 556308 691248 556508 691275
+rect 556309 691220 556507 691247
+rect 556237 691149 556271 691213
+rect 556287 691195 556533 691197
+rect 556612 691195 556868 691197
+rect 556884 691149 556918 691213
+rect 556309 691115 556507 691142
+rect 556638 691115 556838 691142
+rect 556281 691087 556508 691114
+rect 556638 691087 556838 691114
+rect 556638 691048 556838 691075
+rect 556638 691020 556838 691047
+rect 556493 690997 556508 691011
+rect 556638 690997 556653 691011
+rect 556282 690995 556508 690997
+rect 556608 690996 556868 690997
+rect 556638 690995 556868 690996
+rect 556493 690951 556508 690967
+rect 556638 690951 556653 690967
+rect 556638 690915 556838 690942
+rect 556638 690887 556866 690914
+<< metal1 >>
+rect 556106 693890 556206 693900
+rect 556106 693810 556116 693890
+rect 556196 693810 556206 693890
+rect 556106 693800 556206 693810
+rect 572602 691800 573014 692566
+rect 572602 691720 572920 691800
+rect 573000 691720 573014 691800
+rect 572602 690760 573014 691720
+<< via1 >>
+rect 556116 693810 556196 693890
+rect 572920 691720 573000 691800
+<< metal2 >>
+rect 556106 693890 556206 693900
+rect 556106 693810 556116 693890
+rect 556196 693810 556206 693890
+rect 556106 693800 556206 693810
+rect 574050 692418 574188 692436
+rect 574050 692338 574070 692418
+rect 574150 692338 574188 692418
+rect 574050 692292 574188 692338
+rect 557264 691941 557322 691958
+rect 557264 691885 557265 691941
+rect 557321 691885 557322 691941
+rect 557264 691861 557322 691885
+rect 557264 691805 557265 691861
+rect 557321 691805 557322 691861
+rect 557264 691781 557322 691805
+rect 557264 691725 557265 691781
+rect 557321 691725 557322 691781
+rect 557264 691701 557322 691725
+rect 572900 691800 579560 691822
+rect 572900 691720 572920 691800
+rect 573000 691720 579560 691800
+rect 572900 691702 579560 691720
+rect 557264 691645 557265 691701
+rect 557321 691645 557322 691701
+rect 557264 691621 557322 691645
+rect 557264 691565 557265 691621
+rect 557321 691565 557322 691621
+rect 557264 691541 557322 691565
+rect 557264 691485 557265 691541
+rect 557321 691485 557322 691541
+rect 557264 691461 557322 691485
+rect 557264 691405 557265 691461
+rect 557321 691405 557322 691461
+rect 557264 691381 557322 691405
+rect 557264 691325 557265 691381
+rect 557321 691325 557322 691381
+rect 557264 691301 557322 691325
+rect 557264 691245 557265 691301
+rect 557321 691245 557322 691301
+rect 557264 691228 557322 691245
+rect 574050 690990 574188 691034
+rect 574050 690910 574074 690990
+rect 574154 690910 574188 690990
+rect 574050 690890 574188 690910
+rect 579440 642822 579560 691702
+rect 579440 642742 579460 642822
+rect 579540 642742 579560 642822
+rect 579440 642722 579560 642742
+rect 524 -800 636 480
+rect 1706 -800 1818 480
+rect 2888 -800 3000 480
+rect 4070 -800 4182 480
+rect 5252 -800 5364 480
+rect 6434 -800 6546 480
+rect 7616 -800 7728 480
+rect 8798 -800 8910 480
+rect 9980 -800 10092 480
+rect 11162 -800 11274 480
+rect 12344 -800 12456 480
+rect 13526 -800 13638 480
+rect 14708 -800 14820 480
+rect 15890 -800 16002 480
+rect 17072 -800 17184 480
+rect 18254 -800 18366 480
+rect 19436 -800 19548 480
+rect 20618 -800 20730 480
+rect 21800 -800 21912 480
+rect 22982 -800 23094 480
+rect 24164 -800 24276 480
+rect 25346 -800 25458 480
+rect 26528 -800 26640 480
+rect 27710 -800 27822 480
+rect 28892 -800 29004 480
+rect 30074 -800 30186 480
+rect 31256 -800 31368 480
+rect 32438 -800 32550 480
+rect 33620 -800 33732 480
+rect 34802 -800 34914 480
+rect 35984 -800 36096 480
+rect 37166 -800 37278 480
+rect 38348 -800 38460 480
+rect 39530 -800 39642 480
+rect 40712 -800 40824 480
+rect 41894 -800 42006 480
+rect 43076 -800 43188 480
+rect 44258 -800 44370 480
+rect 45440 -800 45552 480
+rect 46622 -800 46734 480
+rect 47804 -800 47916 480
+rect 48986 -800 49098 480
+rect 50168 -800 50280 480
+rect 51350 -800 51462 480
+rect 52532 -800 52644 480
+rect 53714 -800 53826 480
+rect 54896 -800 55008 480
+rect 56078 -800 56190 480
+rect 57260 -800 57372 480
+rect 58442 -800 58554 480
+rect 59624 -800 59736 480
+rect 60806 -800 60918 480
+rect 61988 -800 62100 480
+rect 63170 -800 63282 480
+rect 64352 -800 64464 480
+rect 65534 -800 65646 480
+rect 66716 -800 66828 480
+rect 67898 -800 68010 480
+rect 69080 -800 69192 480
+rect 70262 -800 70374 480
+rect 71444 -800 71556 480
+rect 72626 -800 72738 480
+rect 73808 -800 73920 480
+rect 74990 -800 75102 480
+rect 76172 -800 76284 480
+rect 77354 -800 77466 480
+rect 78536 -800 78648 480
+rect 79718 -800 79830 480
+rect 80900 -800 81012 480
+rect 82082 -800 82194 480
+rect 83264 -800 83376 480
+rect 84446 -800 84558 480
+rect 85628 -800 85740 480
+rect 86810 -800 86922 480
+rect 87992 -800 88104 480
+rect 89174 -800 89286 480
+rect 90356 -800 90468 480
+rect 91538 -800 91650 480
+rect 92720 -800 92832 480
+rect 93902 -800 94014 480
+rect 95084 -800 95196 480
+rect 96266 -800 96378 480
+rect 97448 -800 97560 480
+rect 98630 -800 98742 480
+rect 99812 -800 99924 480
+rect 100994 -800 101106 480
+rect 102176 -800 102288 480
+rect 103358 -800 103470 480
+rect 104540 -800 104652 480
+rect 105722 -800 105834 480
+rect 106904 -800 107016 480
+rect 108086 -800 108198 480
+rect 109268 -800 109380 480
+rect 110450 -800 110562 480
+rect 111632 -800 111744 480
+rect 112814 -800 112926 480
+rect 113996 -800 114108 480
+rect 115178 -800 115290 480
+rect 116360 -800 116472 480
+rect 117542 -800 117654 480
+rect 118724 -800 118836 480
+rect 119906 -800 120018 480
+rect 121088 -800 121200 480
+rect 122270 -800 122382 480
+rect 123452 -800 123564 480
+rect 124634 -800 124746 480
+rect 125816 -800 125928 480
+rect 126998 -800 127110 480
+rect 128180 -800 128292 480
+rect 129362 -800 129474 480
+rect 130544 -800 130656 480
+rect 131726 -800 131838 480
+rect 132908 -800 133020 480
+rect 134090 -800 134202 480
+rect 135272 -800 135384 480
+rect 136454 -800 136566 480
+rect 137636 -800 137748 480
+rect 138818 -800 138930 480
+rect 140000 -800 140112 480
+rect 141182 -800 141294 480
+rect 142364 -800 142476 480
+rect 143546 -800 143658 480
+rect 144728 -800 144840 480
+rect 145910 -800 146022 480
+rect 147092 -800 147204 480
+rect 148274 -800 148386 480
+rect 149456 -800 149568 480
+rect 150638 -800 150750 480
+rect 151820 -800 151932 480
+rect 153002 -800 153114 480
+rect 154184 -800 154296 480
+rect 155366 -800 155478 480
+rect 156548 -800 156660 480
+rect 157730 -800 157842 480
+rect 158912 -800 159024 480
+rect 160094 -800 160206 480
+rect 161276 -800 161388 480
+rect 162458 -800 162570 480
+rect 163640 -800 163752 480
+rect 164822 -800 164934 480
+rect 166004 -800 166116 480
+rect 167186 -800 167298 480
+rect 168368 -800 168480 480
+rect 169550 -800 169662 480
+rect 170732 -800 170844 480
+rect 171914 -800 172026 480
+rect 173096 -800 173208 480
+rect 174278 -800 174390 480
+rect 175460 -800 175572 480
+rect 176642 -800 176754 480
+rect 177824 -800 177936 480
+rect 179006 -800 179118 480
+rect 180188 -800 180300 480
+rect 181370 -800 181482 480
+rect 182552 -800 182664 480
+rect 183734 -800 183846 480
+rect 184916 -800 185028 480
+rect 186098 -800 186210 480
+rect 187280 -800 187392 480
+rect 188462 -800 188574 480
+rect 189644 -800 189756 480
+rect 190826 -800 190938 480
+rect 192008 -800 192120 480
+rect 193190 -800 193302 480
+rect 194372 -800 194484 480
+rect 195554 -800 195666 480
+rect 196736 -800 196848 480
+rect 197918 -800 198030 480
+rect 199100 -800 199212 480
+rect 200282 -800 200394 480
+rect 201464 -800 201576 480
+rect 202646 -800 202758 480
+rect 203828 -800 203940 480
+rect 205010 -800 205122 480
+rect 206192 -800 206304 480
+rect 207374 -800 207486 480
+rect 208556 -800 208668 480
+rect 209738 -800 209850 480
+rect 210920 -800 211032 480
+rect 212102 -800 212214 480
+rect 213284 -800 213396 480
+rect 214466 -800 214578 480
+rect 215648 -800 215760 480
+rect 216830 -800 216942 480
+rect 218012 -800 218124 480
+rect 219194 -800 219306 480
+rect 220376 -800 220488 480
+rect 221558 -800 221670 480
+rect 222740 -800 222852 480
+rect 223922 -800 224034 480
+rect 225104 -800 225216 480
+rect 226286 -800 226398 480
+rect 227468 -800 227580 480
+rect 228650 -800 228762 480
+rect 229832 -800 229944 480
+rect 231014 -800 231126 480
+rect 232196 -800 232308 480
+rect 233378 -800 233490 480
+rect 234560 -800 234672 480
+rect 235742 -800 235854 480
+rect 236924 -800 237036 480
+rect 238106 -800 238218 480
+rect 239288 -800 239400 480
+rect 240470 -800 240582 480
+rect 241652 -800 241764 480
+rect 242834 -800 242946 480
+rect 244016 -800 244128 480
+rect 245198 -800 245310 480
+rect 246380 -800 246492 480
+rect 247562 -800 247674 480
+rect 248744 -800 248856 480
+rect 249926 -800 250038 480
+rect 251108 -800 251220 480
+rect 252290 -800 252402 480
+rect 253472 -800 253584 480
+rect 254654 -800 254766 480
+rect 255836 -800 255948 480
+rect 257018 -800 257130 480
+rect 258200 -800 258312 480
+rect 259382 -800 259494 480
+rect 260564 -800 260676 480
+rect 261746 -800 261858 480
+rect 262928 -800 263040 480
+rect 264110 -800 264222 480
+rect 265292 -800 265404 480
+rect 266474 -800 266586 480
+rect 267656 -800 267768 480
+rect 268838 -800 268950 480
+rect 270020 -800 270132 480
+rect 271202 -800 271314 480
+rect 272384 -800 272496 480
+rect 273566 -800 273678 480
+rect 274748 -800 274860 480
+rect 275930 -800 276042 480
+rect 277112 -800 277224 480
+rect 278294 -800 278406 480
+rect 279476 -800 279588 480
+rect 280658 -800 280770 480
+rect 281840 -800 281952 480
+rect 283022 -800 283134 480
+rect 284204 -800 284316 480
+rect 285386 -800 285498 480
+rect 286568 -800 286680 480
+rect 287750 -800 287862 480
+rect 288932 -800 289044 480
+rect 290114 -800 290226 480
+rect 291296 -800 291408 480
+rect 292478 -800 292590 480
+rect 293660 -800 293772 480
+rect 294842 -800 294954 480
+rect 296024 -800 296136 480
+rect 297206 -800 297318 480
+rect 298388 -800 298500 480
+rect 299570 -800 299682 480
+rect 300752 -800 300864 480
+rect 301934 -800 302046 480
+rect 303116 -800 303228 480
+rect 304298 -800 304410 480
+rect 305480 -800 305592 480
+rect 306662 -800 306774 480
+rect 307844 -800 307956 480
+rect 309026 -800 309138 480
+rect 310208 -800 310320 480
+rect 311390 -800 311502 480
+rect 312572 -800 312684 480
+rect 313754 -800 313866 480
+rect 314936 -800 315048 480
+rect 316118 -800 316230 480
+rect 317300 -800 317412 480
+rect 318482 -800 318594 480
+rect 319664 -800 319776 480
+rect 320846 -800 320958 480
+rect 322028 -800 322140 480
+rect 323210 -800 323322 480
+rect 324392 -800 324504 480
+rect 325574 -800 325686 480
+rect 326756 -800 326868 480
+rect 327938 -800 328050 480
+rect 329120 -800 329232 480
+rect 330302 -800 330414 480
+rect 331484 -800 331596 480
+rect 332666 -800 332778 480
+rect 333848 -800 333960 480
+rect 335030 -800 335142 480
+rect 336212 -800 336324 480
+rect 337394 -800 337506 480
+rect 338576 -800 338688 480
+rect 339758 -800 339870 480
+rect 340940 -800 341052 480
+rect 342122 -800 342234 480
+rect 343304 -800 343416 480
+rect 344486 -800 344598 480
+rect 345668 -800 345780 480
+rect 346850 -800 346962 480
+rect 348032 -800 348144 480
+rect 349214 -800 349326 480
+rect 350396 -800 350508 480
+rect 351578 -800 351690 480
+rect 352760 -800 352872 480
+rect 353942 -800 354054 480
+rect 355124 -800 355236 480
+rect 356306 -800 356418 480
+rect 357488 -800 357600 480
+rect 358670 -800 358782 480
+rect 359852 -800 359964 480
+rect 361034 -800 361146 480
+rect 362216 -800 362328 480
+rect 363398 -800 363510 480
+rect 364580 -800 364692 480
+rect 365762 -800 365874 480
+rect 366944 -800 367056 480
+rect 368126 -800 368238 480
+rect 369308 -800 369420 480
+rect 370490 -800 370602 480
+rect 371672 -800 371784 480
+rect 372854 -800 372966 480
+rect 374036 -800 374148 480
+rect 375218 -800 375330 480
+rect 376400 -800 376512 480
+rect 377582 -800 377694 480
+rect 378764 -800 378876 480
+rect 379946 -800 380058 480
+rect 381128 -800 381240 480
+rect 382310 -800 382422 480
+rect 383492 -800 383604 480
+rect 384674 -800 384786 480
+rect 385856 -800 385968 480
+rect 387038 -800 387150 480
+rect 388220 -800 388332 480
+rect 389402 -800 389514 480
+rect 390584 -800 390696 480
+rect 391766 -800 391878 480
+rect 392948 -800 393060 480
+rect 394130 -800 394242 480
+rect 395312 -800 395424 480
+rect 396494 -800 396606 480
+rect 397676 -800 397788 480
+rect 398858 -800 398970 480
+rect 400040 -800 400152 480
+rect 401222 -800 401334 480
+rect 402404 -800 402516 480
+rect 403586 -800 403698 480
+rect 404768 -800 404880 480
+rect 405950 -800 406062 480
+rect 407132 -800 407244 480
+rect 408314 -800 408426 480
+rect 409496 -800 409608 480
+rect 410678 -800 410790 480
+rect 411860 -800 411972 480
+rect 413042 -800 413154 480
+rect 414224 -800 414336 480
+rect 415406 -800 415518 480
+rect 416588 -800 416700 480
+rect 417770 -800 417882 480
+rect 418952 -800 419064 480
+rect 420134 -800 420246 480
+rect 421316 -800 421428 480
+rect 422498 -800 422610 480
+rect 423680 -800 423792 480
+rect 424862 -800 424974 480
+rect 426044 -800 426156 480
+rect 427226 -800 427338 480
+rect 428408 -800 428520 480
+rect 429590 -800 429702 480
+rect 430772 -800 430884 480
+rect 431954 -800 432066 480
+rect 433136 -800 433248 480
+rect 434318 -800 434430 480
+rect 435500 -800 435612 480
+rect 436682 -800 436794 480
+rect 437864 -800 437976 480
+rect 439046 -800 439158 480
+rect 440228 -800 440340 480
+rect 441410 -800 441522 480
+rect 442592 -800 442704 480
+rect 443774 -800 443886 480
+rect 444956 -800 445068 480
+rect 446138 -800 446250 480
+rect 447320 -800 447432 480
+rect 448502 -800 448614 480
+rect 449684 -800 449796 480
+rect 450866 -800 450978 480
+rect 452048 -800 452160 480
+rect 453230 -800 453342 480
+rect 454412 -800 454524 480
+rect 455594 -800 455706 480
+rect 456776 -800 456888 480
+rect 457958 -800 458070 480
+rect 459140 -800 459252 480
+rect 460322 -800 460434 480
+rect 461504 -800 461616 480
+rect 462686 -800 462798 480
+rect 463868 -800 463980 480
+rect 465050 -800 465162 480
+rect 466232 -800 466344 480
+rect 467414 -800 467526 480
+rect 468596 -800 468708 480
+rect 469778 -800 469890 480
+rect 470960 -800 471072 480
+rect 472142 -800 472254 480
+rect 473324 -800 473436 480
+rect 474506 -800 474618 480
+rect 475688 -800 475800 480
+rect 476870 -800 476982 480
+rect 478052 -800 478164 480
+rect 479234 -800 479346 480
+rect 480416 -800 480528 480
+rect 481598 -800 481710 480
+rect 482780 -800 482892 480
+rect 483962 -800 484074 480
+rect 485144 -800 485256 480
+rect 486326 -800 486438 480
+rect 487508 -800 487620 480
+rect 488690 -800 488802 480
+rect 489872 -800 489984 480
+rect 491054 -800 491166 480
+rect 492236 -800 492348 480
+rect 493418 -800 493530 480
+rect 494600 -800 494712 480
+rect 495782 -800 495894 480
+rect 496964 -800 497076 480
+rect 498146 -800 498258 480
+rect 499328 -800 499440 480
+rect 500510 -800 500622 480
+rect 501692 -800 501804 480
+rect 502874 -800 502986 480
+rect 504056 -800 504168 480
+rect 505238 -800 505350 480
+rect 506420 -800 506532 480
+rect 507602 -800 507714 480
+rect 508784 -800 508896 480
+rect 509966 -800 510078 480
+rect 511148 -800 511260 480
+rect 512330 -800 512442 480
+rect 513512 -800 513624 480
+rect 514694 -800 514806 480
+rect 515876 -800 515988 480
+rect 517058 -800 517170 480
+rect 518240 -800 518352 480
+rect 519422 -800 519534 480
+rect 520604 -800 520716 480
+rect 521786 -800 521898 480
+rect 522968 -800 523080 480
+rect 524150 -800 524262 480
+rect 525332 -800 525444 480
+rect 526514 -800 526626 480
+rect 527696 -800 527808 480
+rect 528878 -800 528990 480
+rect 530060 -800 530172 480
+rect 531242 -800 531354 480
+rect 532424 -800 532536 480
+rect 533606 -800 533718 480
+rect 534788 -800 534900 480
+rect 535970 -800 536082 480
+rect 537152 -800 537264 480
+rect 538334 -800 538446 480
+rect 539516 -800 539628 480
+rect 540698 -800 540810 480
+rect 541880 -800 541992 480
+rect 543062 -800 543174 480
+rect 544244 -800 544356 480
+rect 545426 -800 545538 480
+rect 546608 -800 546720 480
+rect 547790 -800 547902 480
+rect 548972 -800 549084 480
+rect 550154 -800 550266 480
+rect 551336 -800 551448 480
+rect 552518 -800 552630 480
+rect 553700 -800 553812 480
+rect 554882 -800 554994 480
+rect 556064 -800 556176 480
+rect 557246 -800 557358 480
+rect 558428 -800 558540 480
+rect 559610 -800 559722 480
+rect 560792 -800 560904 480
+rect 561974 -800 562086 480
+rect 563156 -800 563268 480
+rect 564338 -800 564450 480
+rect 565520 -800 565632 480
+rect 566702 -800 566814 480
+rect 567884 -800 567996 480
+rect 569066 -800 569178 480
+rect 570248 -800 570360 480
+rect 571430 -800 571542 480
+rect 572612 -800 572724 480
+rect 573794 -800 573906 480
+rect 574976 -800 575088 480
+rect 576158 -800 576270 480
+rect 577340 -800 577452 480
+rect 578522 -800 578634 480
+rect 579704 -800 579816 480
+rect 580886 -800 580998 480
+rect 582068 -800 582180 480
+rect 583250 -800 583362 480
+<< via2 >>
+rect 556116 693810 556196 693890
+rect 574070 692338 574150 692418
+rect 557265 691885 557321 691941
+rect 557265 691805 557321 691861
+rect 557265 691725 557321 691781
+rect 557265 691645 557321 691701
+rect 557265 691565 557321 691621
+rect 557265 691485 557321 691541
+rect 557265 691405 557321 691461
+rect 557265 691325 557321 691381
+rect 557265 691245 557321 691301
+rect 574074 690910 574154 690990
+rect 579460 642742 579540 642822
+<< metal3 >>
+rect 16194 702300 21194 704800
+rect 68194 702300 73194 704800
+rect 120194 702300 125194 704800
+rect 165594 702300 170594 704800
+rect 170894 702300 173094 704800
+rect 173394 702300 175594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 222594 702300 224794 704800
+rect 225094 702300 227294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 324294 702300 326494 704800
+rect 326794 702300 328994 704800
+rect 329294 702300 334294 704800
+rect 413394 702300 418394 704800
+rect 465394 702300 470394 704800
+rect 510594 702340 515394 704800
+rect 520594 702340 525394 704800
+rect 467294 692950 467494 702300
+rect 522438 693906 522558 702340
+rect 566594 702300 571594 704800
+rect 569002 701872 569122 702300
+rect 569002 701752 579130 701872
+rect 522438 693890 556206 693906
+rect 522438 693810 556116 693890
+rect 556196 693810 556206 693890
+rect 522438 693786 556206 693810
+rect 467294 692830 557334 692950
+rect 557254 691941 557334 692830
+rect 579006 692436 579126 701752
+rect 574048 692418 579126 692436
+rect 574048 692338 574070 692418
+rect 574150 692338 579126 692418
+rect 574048 692316 579126 692338
+rect 557254 691885 557265 691941
+rect 557321 691885 557334 691941
+rect 557254 691861 557334 691885
+rect 557254 691805 557265 691861
+rect 557321 691805 557334 691861
+rect 557254 691781 557334 691805
+rect 557254 691725 557265 691781
+rect 557321 691725 557334 691781
+rect 557254 691701 557334 691725
+rect 557254 691645 557265 691701
+rect 557321 691645 557334 691701
+rect 557254 691621 557334 691645
+rect 557254 691565 557265 691621
+rect 557321 691565 557334 691621
+rect 557254 691541 557334 691565
+rect 557254 691485 557265 691541
+rect 557321 691485 557334 691541
+rect 557254 691461 557334 691485
+rect 557254 691405 557265 691461
+rect 557321 691405 557334 691461
+rect 557254 691381 557334 691405
+rect 557254 691325 557265 691381
+rect 557321 691325 557334 691381
+rect 557254 691301 557334 691325
+rect 557254 691245 557265 691301
+rect 557321 691245 557334 691301
+rect 557254 691210 557334 691245
+rect 574050 690990 579122 691010
+rect 574050 690910 574074 690990
+rect 574154 690910 579122 690990
+rect 574050 690890 579122 690910
+rect -800 680242 1700 685242
+rect 579002 681618 579122 690890
+rect 582300 681618 584800 682984
+rect 579000 681498 584800 681618
+rect 582300 677984 584800 681498
+rect -800 643842 1660 648642
+rect 582340 642842 584800 644584
+rect 579440 642822 584800 642842
+rect 579440 642742 579460 642822
+rect 579540 642742 584800 642822
+rect 579440 642722 584800 642742
+rect 582340 639784 584800 642722
+rect -800 633842 1660 638642
+rect 582340 629784 584800 634584
+rect 583520 589472 584800 589584
+rect 583520 588290 584800 588402
+rect 583520 587108 584800 587220
+rect 583520 585926 584800 586038
+rect 583520 584744 584800 584856
+rect 583520 583562 584800 583674
+rect -800 559442 1660 564242
+rect -800 549442 1660 554242
+rect 582340 550562 584800 555362
+rect 582340 540562 584800 545362
+rect -800 511530 480 511642
+rect -800 510348 480 510460
+rect -800 509166 480 509278
+rect -800 507984 480 508096
+rect -800 506802 480 506914
+rect -800 505620 480 505732
+rect 583520 500050 584800 500162
+rect 583520 498868 584800 498980
+rect 583520 497686 584800 497798
+rect 583520 496504 584800 496616
+rect 583520 495322 584800 495434
+rect 583520 494140 584800 494252
+rect -800 468308 480 468420
+rect -800 467126 480 467238
+rect -800 465944 480 466056
+rect -800 464762 480 464874
+rect -800 463580 480 463692
+rect -800 462398 480 462510
+rect 583520 455628 584800 455740
+rect 583520 454446 584800 454558
+rect 583520 453264 584800 453376
+rect 583520 452082 584800 452194
+rect 583520 450900 584800 451012
+rect 583520 449718 584800 449830
+rect -800 425086 480 425198
+rect -800 423904 480 424016
+rect -800 422722 480 422834
+rect -800 421540 480 421652
+rect -800 420358 480 420470
+rect -800 419176 480 419288
+rect 583520 411206 584800 411318
+rect 583520 410024 584800 410136
+rect 583520 408842 584800 408954
+rect 583520 407660 584800 407772
+rect 583520 406478 584800 406590
+rect 583520 405296 584800 405408
+rect -800 381864 480 381976
+rect -800 380682 480 380794
+rect -800 379500 480 379612
+rect -800 378318 480 378430
+rect -800 377136 480 377248
+rect -800 375954 480 376066
+rect 583520 364784 584800 364896
+rect 583520 363602 584800 363714
+rect 583520 362420 584800 362532
+rect 583520 361238 584800 361350
+rect 583520 360056 584800 360168
+rect 583520 358874 584800 358986
+rect -800 338642 480 338754
+rect -800 337460 480 337572
+rect -800 336278 480 336390
+rect -800 335096 480 335208
+rect -800 333914 480 334026
+rect -800 332732 480 332844
+rect 583520 319562 584800 319674
+rect 583520 318380 584800 318492
+rect 583520 317198 584800 317310
+rect 583520 316016 584800 316128
+rect 583520 314834 584800 314946
+rect 583520 313652 584800 313764
+rect -800 295420 480 295532
+rect -800 294238 480 294350
+rect -800 293056 480 293168
+rect -800 291874 480 291986
+rect -800 290692 480 290804
+rect -800 289510 480 289622
+rect 583520 275140 584800 275252
+rect 583520 273958 584800 274070
+rect 583520 272776 584800 272888
+rect 583520 271594 584800 271706
+rect 583520 270412 584800 270524
+rect 583520 269230 584800 269342
+rect -800 252398 480 252510
+rect -800 251216 480 251328
+rect -800 250034 480 250146
+rect -800 248852 480 248964
+rect -800 247670 480 247782
+rect -800 246488 480 246600
+rect 582340 235230 584800 240030
+rect 582340 225230 584800 230030
+rect -800 214888 1660 219688
+rect -800 204888 1660 209688
+rect 582340 191430 584800 196230
+rect 582340 181430 584800 186230
+rect -800 172888 1660 177688
+rect -800 162888 1660 167688
+rect 582340 146830 584800 151630
+rect 582340 136830 584800 141630
+rect -800 124776 480 124888
+rect -800 123594 480 123706
+rect -800 122412 480 122524
+rect -800 121230 480 121342
+rect -800 120048 480 120160
+rect -800 118866 480 118978
+rect 583520 95118 584800 95230
+rect 583520 93936 584800 94048
+rect 583520 92754 584800 92866
+rect 583520 91572 584800 91684
+rect -800 81554 480 81666
+rect -800 80372 480 80484
+rect -800 79190 480 79302
+rect -800 78008 480 78120
+rect -800 76826 480 76938
+rect -800 75644 480 75756
+rect 583520 50460 584800 50572
+rect 583520 49278 584800 49390
+rect 583520 48096 584800 48208
+rect 583520 46914 584800 47026
+rect -800 38332 480 38444
+rect -800 37150 480 37262
+rect -800 35968 480 36080
+rect -800 34786 480 34898
+rect -800 33604 480 33716
+rect -800 32422 480 32534
+rect 583520 24002 584800 24114
+rect 583520 22820 584800 22932
+rect 583520 21638 584800 21750
+rect 583520 20456 584800 20568
+rect 583520 19274 584800 19386
+rect 583520 18092 584800 18204
+rect -800 16910 480 17022
+rect 583520 16910 584800 17022
+rect -800 15728 480 15840
+rect 583520 15728 584800 15840
+rect -800 14546 480 14658
+rect 583520 14546 584800 14658
+rect -800 13364 480 13476
+rect 583520 13364 584800 13476
+rect -800 12182 480 12294
+rect 583520 12182 584800 12294
+rect -800 11000 480 11112
+rect 583520 11000 584800 11112
+rect -800 9818 480 9930
+rect 583520 9818 584800 9930
+rect -800 8636 480 8748
+rect 583520 8636 584800 8748
+rect -800 7454 480 7566
+rect 583520 7454 584800 7566
+rect -800 6272 480 6384
+rect 583520 6272 584800 6384
+rect -800 5090 480 5202
+rect 583520 5090 584800 5202
+rect -800 3908 480 4020
+rect 583520 3908 584800 4020
+rect -800 2726 480 2838
+rect 583520 2726 584800 2838
+rect -800 1544 480 1656
+rect 583520 1544 584800 1656
+<< metal4 >>
+rect 165594 702300 170594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 329294 702300 334294 704800
+<< metal5 >>
+rect 165594 702300 170594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 329294 702300 334294 704800
+use SDC_v1p2  SDC_v1p2_0
+timestamp 1640991954
+transform -1 0 579732 0 1 684648
+box 1706 -364 23654 14394
+<< labels >>
+flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1400 0 0 0 gpio_analog[0]
+port 1 nsew
+flabel metal3 s -800 381864 480 381976 0 FreeSans 1400 0 0 0 gpio_analog[10]
+port 2 nsew
+flabel metal3 s -800 338642 480 338754 0 FreeSans 1400 0 0 0 gpio_analog[11]
+port 3 nsew
+flabel metal3 s -800 295420 480 295532 0 FreeSans 1400 0 0 0 gpio_analog[12]
+port 4 nsew
+flabel metal3 s -800 252398 480 252510 0 FreeSans 1400 0 0 0 gpio_analog[13]
+port 5 nsew
+flabel metal3 s -800 124776 480 124888 0 FreeSans 1400 0 0 0 gpio_analog[14]
+port 6 nsew
+flabel metal3 s -800 81554 480 81666 0 FreeSans 1400 0 0 0 gpio_analog[15]
+port 7 nsew
+flabel metal3 s -800 38332 480 38444 0 FreeSans 1400 0 0 0 gpio_analog[16]
+port 8 nsew
+flabel metal3 s -800 16910 480 17022 0 FreeSans 1400 0 0 0 gpio_analog[17]
+port 9 nsew
+flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1400 0 0 0 gpio_analog[1]
+port 10 nsew
+flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1400 0 0 0 gpio_analog[2]
+port 11 nsew
+flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1400 0 0 0 gpio_analog[3]
+port 12 nsew
+flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1400 0 0 0 gpio_analog[4]
+port 13 nsew
+flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1400 0 0 0 gpio_analog[5]
+port 14 nsew
+flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1400 0 0 0 gpio_analog[6]
+port 15 nsew
+flabel metal3 s -800 511530 480 511642 0 FreeSans 1400 0 0 0 gpio_analog[7]
+port 16 nsew
+flabel metal3 s -800 468308 480 468420 0 FreeSans 1400 0 0 0 gpio_analog[8]
+port 17 nsew
+flabel metal3 s -800 425086 480 425198 0 FreeSans 1400 0 0 0 gpio_analog[9]
+port 18 nsew
+flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1400 0 0 0 gpio_noesd[0]
+port 19 nsew
+flabel metal3 s -800 380682 480 380794 0 FreeSans 1400 0 0 0 gpio_noesd[10]
+port 20 nsew
+flabel metal3 s -800 337460 480 337572 0 FreeSans 1400 0 0 0 gpio_noesd[11]
+port 21 nsew
+flabel metal3 s -800 294238 480 294350 0 FreeSans 1400 0 0 0 gpio_noesd[12]
+port 22 nsew
+flabel metal3 s -800 251216 480 251328 0 FreeSans 1400 0 0 0 gpio_noesd[13]
+port 23 nsew
+flabel metal3 s -800 123594 480 123706 0 FreeSans 1400 0 0 0 gpio_noesd[14]
+port 24 nsew
+flabel metal3 s -800 80372 480 80484 0 FreeSans 1400 0 0 0 gpio_noesd[15]
+port 25 nsew
+flabel metal3 s -800 37150 480 37262 0 FreeSans 1400 0 0 0 gpio_noesd[16]
+port 26 nsew
+flabel metal3 s -800 15728 480 15840 0 FreeSans 1400 0 0 0 gpio_noesd[17]
+port 27 nsew
+flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1400 0 0 0 gpio_noesd[1]
+port 28 nsew
+flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1400 0 0 0 gpio_noesd[2]
+port 29 nsew
+flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1400 0 0 0 gpio_noesd[3]
+port 30 nsew
+flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1400 0 0 0 gpio_noesd[4]
+port 31 nsew
+flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1400 0 0 0 gpio_noesd[5]
+port 32 nsew
+flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1400 0 0 0 gpio_noesd[6]
+port 33 nsew
+flabel metal3 s -800 510348 480 510460 0 FreeSans 1400 0 0 0 gpio_noesd[7]
+port 34 nsew
+flabel metal3 s -800 467126 480 467238 0 FreeSans 1400 0 0 0 gpio_noesd[8]
+port 35 nsew
+flabel metal3 s -800 423904 480 424016 0 FreeSans 1400 0 0 0 gpio_noesd[9]
+port 36 nsew
+flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1400 0 0 0 io_analog[0]
+port 37 nsew
+flabel metal3 s 0 680242 1700 685242 0 FreeSans 1400 0 0 0 io_analog[10]
+port 38 nsew
+flabel metal3 s 566594 702300 571594 704800 0 FreeSans 2400 180 0 0 io_analog[1]
+port 39 nsew
+flabel metal3 s 465394 702300 470394 704800 0 FreeSans 2400 180 0 0 io_analog[2]
+port 40 nsew
+flabel metal3 s 413394 702300 418394 704800 0 FreeSans 2400 180 0 0 io_analog[3]
+port 41 nsew
+flabel metal3 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
+port 42 nsew
+flabel metal4 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
+port 42 nsew
+flabel metal5 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
+port 42 nsew
+flabel metal3 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
+port 43 nsew
+flabel metal4 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
+port 43 nsew
+flabel metal5 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
+port 43 nsew
+flabel metal3 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
+port 44 nsew
+flabel metal4 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
+port 44 nsew
+flabel metal5 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
+port 44 nsew
+flabel metal3 s 120194 702300 125194 704800 0 FreeSans 2400 180 0 0 io_analog[7]
+port 45 nsew
+flabel metal3 s 68194 702300 73194 704800 0 FreeSans 2400 180 0 0 io_analog[8]
+port 46 nsew
+flabel metal3 s 16194 702300 21194 704800 0 FreeSans 2400 180 0 0 io_analog[9]
+port 47 nsew
+flabel metal3 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
+port 42 nsew
+flabel metal4 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
+port 42 nsew
+flabel metal5 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
+port 42 nsew
+flabel metal3 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
+port 43 nsew
+flabel metal4 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
+port 43 nsew
+flabel metal5 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
+port 43 nsew
+flabel metal3 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
+port 44 nsew
+flabel metal4 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
+port 44 nsew
+flabel metal5 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
+port 44 nsew
+flabel metal3 s 326794 702300 328994 704800 0 FreeSans 2400 180 0 0 io_clamp_high[0]
+port 48 nsew
+flabel metal3 s 225094 702300 227294 704800 0 FreeSans 2400 180 0 0 io_clamp_high[1]
+port 49 nsew
+flabel metal3 s 173394 702300 175594 704800 0 FreeSans 2400 180 0 0 io_clamp_high[2]
+port 50 nsew
+flabel metal3 s 324294 702300 326494 704800 0 FreeSans 2400 180 0 0 io_clamp_low[0]
+port 51 nsew
+flabel metal3 s 222594 702300 224794 704800 0 FreeSans 2400 180 0 0 io_clamp_low[1]
+port 52 nsew
+flabel metal3 s 170894 702300 173094 704800 0 FreeSans 2400 180 0 0 io_clamp_low[2]
+port 53 nsew
+flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1400 0 0 0 io_in[0]
+port 54 nsew
+flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1400 0 0 0 io_in[10]
+port 55 nsew
+flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1400 0 0 0 io_in[11]
+port 56 nsew
+flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1400 0 0 0 io_in[12]
+port 57 nsew
+flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1400 0 0 0 io_in[13]
+port 58 nsew
+flabel metal3 s -800 507984 480 508096 0 FreeSans 1400 0 0 0 io_in[14]
+port 59 nsew
+flabel metal3 s -800 464762 480 464874 0 FreeSans 1400 0 0 0 io_in[15]
+port 60 nsew
+flabel metal3 s -800 421540 480 421652 0 FreeSans 1400 0 0 0 io_in[16]
+port 61 nsew
+flabel metal3 s -800 378318 480 378430 0 FreeSans 1400 0 0 0 io_in[17]
+port 62 nsew
+flabel metal3 s -800 335096 480 335208 0 FreeSans 1400 0 0 0 io_in[18]
+port 63 nsew
+flabel metal3 s -800 291874 480 291986 0 FreeSans 1400 0 0 0 io_in[19]
+port 64 nsew
+flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1400 0 0 0 io_in[1]
+port 65 nsew
+flabel metal3 s -800 248852 480 248964 0 FreeSans 1400 0 0 0 io_in[20]
+port 66 nsew
+flabel metal3 s -800 121230 480 121342 0 FreeSans 1400 0 0 0 io_in[21]
+port 67 nsew
+flabel metal3 s -800 78008 480 78120 0 FreeSans 1400 0 0 0 io_in[22]
+port 68 nsew
+flabel metal3 s -800 34786 480 34898 0 FreeSans 1400 0 0 0 io_in[23]
+port 69 nsew
+flabel metal3 s -800 13364 480 13476 0 FreeSans 1400 0 0 0 io_in[24]
+port 70 nsew
+flabel metal3 s -800 8636 480 8748 0 FreeSans 1400 0 0 0 io_in[25]
+port 71 nsew
+flabel metal3 s -800 3908 480 4020 0 FreeSans 1400 0 0 0 io_in[26]
+port 72 nsew
+flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1400 0 0 0 io_in[2]
+port 73 nsew
+flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1400 0 0 0 io_in[3]
+port 74 nsew
+flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1400 0 0 0 io_in[4]
+port 75 nsew
+flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1400 0 0 0 io_in[5]
+port 76 nsew
+flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1400 0 0 0 io_in[6]
+port 77 nsew
+flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1400 0 0 0 io_in[7]
+port 78 nsew
+flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1400 0 0 0 io_in[8]
+port 79 nsew
+flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1400 0 0 0 io_in[9]
+port 80 nsew
+flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1400 0 0 0 io_in_3v3[0]
+port 81 nsew
+flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1400 0 0 0 io_in_3v3[10]
+port 82 nsew
+flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1400 0 0 0 io_in_3v3[11]
+port 83 nsew
+flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1400 0 0 0 io_in_3v3[12]
+port 84 nsew
+flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1400 0 0 0 io_in_3v3[13]
+port 85 nsew
+flabel metal3 s -800 509166 480 509278 0 FreeSans 1400 0 0 0 io_in_3v3[14]
+port 86 nsew
+flabel metal3 s -800 465944 480 466056 0 FreeSans 1400 0 0 0 io_in_3v3[15]
+port 87 nsew
+flabel metal3 s -800 422722 480 422834 0 FreeSans 1400 0 0 0 io_in_3v3[16]
+port 88 nsew
+flabel metal3 s -800 379500 480 379612 0 FreeSans 1400 0 0 0 io_in_3v3[17]
+port 89 nsew
+flabel metal3 s -800 336278 480 336390 0 FreeSans 1400 0 0 0 io_in_3v3[18]
+port 90 nsew
+flabel metal3 s -800 293056 480 293168 0 FreeSans 1400 0 0 0 io_in_3v3[19]
+port 91 nsew
+flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1400 0 0 0 io_in_3v3[1]
+port 92 nsew
+flabel metal3 s -800 250034 480 250146 0 FreeSans 1400 0 0 0 io_in_3v3[20]
+port 93 nsew
+flabel metal3 s -800 122412 480 122524 0 FreeSans 1400 0 0 0 io_in_3v3[21]
+port 94 nsew
+flabel metal3 s -800 79190 480 79302 0 FreeSans 1400 0 0 0 io_in_3v3[22]
+port 95 nsew
+flabel metal3 s -800 35968 480 36080 0 FreeSans 1400 0 0 0 io_in_3v3[23]
+port 96 nsew
+flabel metal3 s -800 14546 480 14658 0 FreeSans 1400 0 0 0 io_in_3v3[24]
+port 97 nsew
+flabel metal3 s -800 9818 480 9930 0 FreeSans 1400 0 0 0 io_in_3v3[25]
+port 98 nsew
+flabel metal3 s -800 5090 480 5202 0 FreeSans 1400 0 0 0 io_in_3v3[26]
+port 99 nsew
+flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1400 0 0 0 io_in_3v3[2]
+port 100 nsew
+flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1400 0 0 0 io_in_3v3[3]
+port 101 nsew
+flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1400 0 0 0 io_in_3v3[4]
+port 102 nsew
+flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1400 0 0 0 io_in_3v3[5]
+port 103 nsew
+flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1400 0 0 0 io_in_3v3[6]
+port 104 nsew
+flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1400 0 0 0 io_in_3v3[7]
+port 105 nsew
+flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1400 0 0 0 io_in_3v3[8]
+port 106 nsew
+flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1400 0 0 0 io_in_3v3[9]
+port 107 nsew
+flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1400 0 0 0 io_oeb[0]
+port 108 nsew
+flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1400 0 0 0 io_oeb[10]
+port 109 nsew
+flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1400 0 0 0 io_oeb[11]
+port 110 nsew
+flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1400 0 0 0 io_oeb[12]
+port 111 nsew
+flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1400 0 0 0 io_oeb[13]
+port 112 nsew
+flabel metal3 s -800 505620 480 505732 0 FreeSans 1400 0 0 0 io_oeb[14]
+port 113 nsew
+flabel metal3 s -800 462398 480 462510 0 FreeSans 1400 0 0 0 io_oeb[15]
+port 114 nsew
+flabel metal3 s -800 419176 480 419288 0 FreeSans 1400 0 0 0 io_oeb[16]
+port 115 nsew
+flabel metal3 s -800 375954 480 376066 0 FreeSans 1400 0 0 0 io_oeb[17]
+port 116 nsew
+flabel metal3 s -800 332732 480 332844 0 FreeSans 1400 0 0 0 io_oeb[18]
+port 117 nsew
+flabel metal3 s -800 289510 480 289622 0 FreeSans 1400 0 0 0 io_oeb[19]
+port 118 nsew
+flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1400 0 0 0 io_oeb[1]
+port 119 nsew
+flabel metal3 s -800 246488 480 246600 0 FreeSans 1400 0 0 0 io_oeb[20]
+port 120 nsew
+flabel metal3 s -800 118866 480 118978 0 FreeSans 1400 0 0 0 io_oeb[21]
+port 121 nsew
+flabel metal3 s -800 75644 480 75756 0 FreeSans 1400 0 0 0 io_oeb[22]
+port 122 nsew
+flabel metal3 s -800 32422 480 32534 0 FreeSans 1400 0 0 0 io_oeb[23]
+port 123 nsew
+flabel metal3 s -800 11000 480 11112 0 FreeSans 1400 0 0 0 io_oeb[24]
+port 124 nsew
+flabel metal3 s -800 6272 480 6384 0 FreeSans 1400 0 0 0 io_oeb[25]
+port 125 nsew
+flabel metal3 s -800 1544 480 1656 0 FreeSans 1400 0 0 0 io_oeb[26]
+port 126 nsew
+flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1400 0 0 0 io_oeb[2]
+port 127 nsew
+flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1400 0 0 0 io_oeb[3]
+port 128 nsew
+flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1400 0 0 0 io_oeb[4]
+port 129 nsew
+flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1400 0 0 0 io_oeb[5]
+port 130 nsew
+flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1400 0 0 0 io_oeb[6]
+port 131 nsew
+flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1400 0 0 0 io_oeb[7]
+port 132 nsew
+flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1400 0 0 0 io_oeb[8]
+port 133 nsew
+flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1400 0 0 0 io_oeb[9]
+port 134 nsew
+flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1400 0 0 0 io_out[0]
+port 135 nsew
+flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1400 0 0 0 io_out[10]
+port 136 nsew
+flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1400 0 0 0 io_out[11]
+port 137 nsew
+flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1400 0 0 0 io_out[12]
+port 138 nsew
+flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1400 0 0 0 io_out[13]
+port 139 nsew
+flabel metal3 s -800 506802 480 506914 0 FreeSans 1400 0 0 0 io_out[14]
+port 140 nsew
+flabel metal3 s -800 463580 480 463692 0 FreeSans 1400 0 0 0 io_out[15]
+port 141 nsew
+flabel metal3 s -800 420358 480 420470 0 FreeSans 1400 0 0 0 io_out[16]
+port 142 nsew
+flabel metal3 s -800 377136 480 377248 0 FreeSans 1400 0 0 0 io_out[17]
+port 143 nsew
+flabel metal3 s -800 333914 480 334026 0 FreeSans 1400 0 0 0 io_out[18]
+port 144 nsew
+flabel metal3 s -800 290692 480 290804 0 FreeSans 1400 0 0 0 io_out[19]
+port 145 nsew
+flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1400 0 0 0 io_out[1]
+port 146 nsew
+flabel metal3 s -800 247670 480 247782 0 FreeSans 1400 0 0 0 io_out[20]
+port 147 nsew
+flabel metal3 s -800 120048 480 120160 0 FreeSans 1400 0 0 0 io_out[21]
+port 148 nsew
+flabel metal3 s -800 76826 480 76938 0 FreeSans 1400 0 0 0 io_out[22]
+port 149 nsew
+flabel metal3 s -800 33604 480 33716 0 FreeSans 1400 0 0 0 io_out[23]
+port 150 nsew
+flabel metal3 s -800 12182 480 12294 0 FreeSans 1400 0 0 0 io_out[24]
+port 151 nsew
+flabel metal3 s -800 7454 480 7566 0 FreeSans 1400 0 0 0 io_out[25]
+port 152 nsew
+flabel metal3 s -800 2726 480 2838 0 FreeSans 1400 0 0 0 io_out[26]
+port 153 nsew
+flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1400 0 0 0 io_out[2]
+port 154 nsew
+flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1400 0 0 0 io_out[3]
+port 155 nsew
+flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1400 0 0 0 io_out[4]
+port 156 nsew
+flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1400 0 0 0 io_out[5]
+port 157 nsew
+flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1400 0 0 0 io_out[6]
+port 158 nsew
+flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1400 0 0 0 io_out[7]
+port 159 nsew
+flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1400 0 0 0 io_out[8]
+port 160 nsew
+flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1400 0 0 0 io_out[9]
+port 161 nsew
+flabel metal2 s 125816 -800 125928 480 0 FreeSans 1400 90 0 0 la_data_in[0]
+port 162 nsew
+flabel metal2 s 480416 -800 480528 480 0 FreeSans 1400 90 0 0 la_data_in[100]
+port 163 nsew
+flabel metal2 s 483962 -800 484074 480 0 FreeSans 1400 90 0 0 la_data_in[101]
+port 164 nsew
+flabel metal2 s 487508 -800 487620 480 0 FreeSans 1400 90 0 0 la_data_in[102]
+port 165 nsew
+flabel metal2 s 491054 -800 491166 480 0 FreeSans 1400 90 0 0 la_data_in[103]
+port 166 nsew
+flabel metal2 s 494600 -800 494712 480 0 FreeSans 1400 90 0 0 la_data_in[104]
+port 167 nsew
+flabel metal2 s 498146 -800 498258 480 0 FreeSans 1400 90 0 0 la_data_in[105]
+port 168 nsew
+flabel metal2 s 501692 -800 501804 480 0 FreeSans 1400 90 0 0 la_data_in[106]
+port 169 nsew
+flabel metal2 s 505238 -800 505350 480 0 FreeSans 1400 90 0 0 la_data_in[107]
+port 170 nsew
+flabel metal2 s 508784 -800 508896 480 0 FreeSans 1400 90 0 0 la_data_in[108]
+port 171 nsew
+flabel metal2 s 512330 -800 512442 480 0 FreeSans 1400 90 0 0 la_data_in[109]
+port 172 nsew
+flabel metal2 s 161276 -800 161388 480 0 FreeSans 1400 90 0 0 la_data_in[10]
+port 173 nsew
+flabel metal2 s 515876 -800 515988 480 0 FreeSans 1400 90 0 0 la_data_in[110]
+port 174 nsew
+flabel metal2 s 519422 -800 519534 480 0 FreeSans 1400 90 0 0 la_data_in[111]
+port 175 nsew
+flabel metal2 s 522968 -800 523080 480 0 FreeSans 1400 90 0 0 la_data_in[112]
+port 176 nsew
+flabel metal2 s 526514 -800 526626 480 0 FreeSans 1400 90 0 0 la_data_in[113]
+port 177 nsew
+flabel metal2 s 530060 -800 530172 480 0 FreeSans 1400 90 0 0 la_data_in[114]
+port 178 nsew
+flabel metal2 s 533606 -800 533718 480 0 FreeSans 1400 90 0 0 la_data_in[115]
+port 179 nsew
+flabel metal2 s 537152 -800 537264 480 0 FreeSans 1400 90 0 0 la_data_in[116]
+port 180 nsew
+flabel metal2 s 540698 -800 540810 480 0 FreeSans 1400 90 0 0 la_data_in[117]
+port 181 nsew
+flabel metal2 s 544244 -800 544356 480 0 FreeSans 1400 90 0 0 la_data_in[118]
+port 182 nsew
+flabel metal2 s 547790 -800 547902 480 0 FreeSans 1400 90 0 0 la_data_in[119]
+port 183 nsew
+flabel metal2 s 164822 -800 164934 480 0 FreeSans 1400 90 0 0 la_data_in[11]
+port 184 nsew
+flabel metal2 s 551336 -800 551448 480 0 FreeSans 1400 90 0 0 la_data_in[120]
+port 185 nsew
+flabel metal2 s 554882 -800 554994 480 0 FreeSans 1400 90 0 0 la_data_in[121]
+port 186 nsew
+flabel metal2 s 558428 -800 558540 480 0 FreeSans 1400 90 0 0 la_data_in[122]
+port 187 nsew
+flabel metal2 s 561974 -800 562086 480 0 FreeSans 1400 90 0 0 la_data_in[123]
+port 188 nsew
+flabel metal2 s 565520 -800 565632 480 0 FreeSans 1400 90 0 0 la_data_in[124]
+port 189 nsew
+flabel metal2 s 569066 -800 569178 480 0 FreeSans 1400 90 0 0 la_data_in[125]
+port 190 nsew
+flabel metal2 s 572612 -800 572724 480 0 FreeSans 1400 90 0 0 la_data_in[126]
+port 191 nsew
+flabel metal2 s 576158 -800 576270 480 0 FreeSans 1400 90 0 0 la_data_in[127]
+port 192 nsew
+flabel metal2 s 168368 -800 168480 480 0 FreeSans 1400 90 0 0 la_data_in[12]
+port 193 nsew
+flabel metal2 s 171914 -800 172026 480 0 FreeSans 1400 90 0 0 la_data_in[13]
+port 194 nsew
+flabel metal2 s 175460 -800 175572 480 0 FreeSans 1400 90 0 0 la_data_in[14]
+port 195 nsew
+flabel metal2 s 179006 -800 179118 480 0 FreeSans 1400 90 0 0 la_data_in[15]
+port 196 nsew
+flabel metal2 s 182552 -800 182664 480 0 FreeSans 1400 90 0 0 la_data_in[16]
+port 197 nsew
+flabel metal2 s 186098 -800 186210 480 0 FreeSans 1400 90 0 0 la_data_in[17]
+port 198 nsew
+flabel metal2 s 189644 -800 189756 480 0 FreeSans 1400 90 0 0 la_data_in[18]
+port 199 nsew
+flabel metal2 s 193190 -800 193302 480 0 FreeSans 1400 90 0 0 la_data_in[19]
+port 200 nsew
+flabel metal2 s 129362 -800 129474 480 0 FreeSans 1400 90 0 0 la_data_in[1]
+port 201 nsew
+flabel metal2 s 196736 -800 196848 480 0 FreeSans 1400 90 0 0 la_data_in[20]
+port 202 nsew
+flabel metal2 s 200282 -800 200394 480 0 FreeSans 1400 90 0 0 la_data_in[21]
+port 203 nsew
+flabel metal2 s 203828 -800 203940 480 0 FreeSans 1400 90 0 0 la_data_in[22]
+port 204 nsew
+flabel metal2 s 207374 -800 207486 480 0 FreeSans 1400 90 0 0 la_data_in[23]
+port 205 nsew
+flabel metal2 s 210920 -800 211032 480 0 FreeSans 1400 90 0 0 la_data_in[24]
+port 206 nsew
+flabel metal2 s 214466 -800 214578 480 0 FreeSans 1400 90 0 0 la_data_in[25]
+port 207 nsew
+flabel metal2 s 218012 -800 218124 480 0 FreeSans 1400 90 0 0 la_data_in[26]
+port 208 nsew
+flabel metal2 s 221558 -800 221670 480 0 FreeSans 1400 90 0 0 la_data_in[27]
+port 209 nsew
+flabel metal2 s 225104 -800 225216 480 0 FreeSans 1400 90 0 0 la_data_in[28]
+port 210 nsew
+flabel metal2 s 228650 -800 228762 480 0 FreeSans 1400 90 0 0 la_data_in[29]
+port 211 nsew
+flabel metal2 s 132908 -800 133020 480 0 FreeSans 1400 90 0 0 la_data_in[2]
+port 212 nsew
+flabel metal2 s 232196 -800 232308 480 0 FreeSans 1400 90 0 0 la_data_in[30]
+port 213 nsew
+flabel metal2 s 235742 -800 235854 480 0 FreeSans 1400 90 0 0 la_data_in[31]
+port 214 nsew
+flabel metal2 s 239288 -800 239400 480 0 FreeSans 1400 90 0 0 la_data_in[32]
+port 215 nsew
+flabel metal2 s 242834 -800 242946 480 0 FreeSans 1400 90 0 0 la_data_in[33]
+port 216 nsew
+flabel metal2 s 246380 -800 246492 480 0 FreeSans 1400 90 0 0 la_data_in[34]
+port 217 nsew
+flabel metal2 s 249926 -800 250038 480 0 FreeSans 1400 90 0 0 la_data_in[35]
+port 218 nsew
+flabel metal2 s 253472 -800 253584 480 0 FreeSans 1400 90 0 0 la_data_in[36]
+port 219 nsew
+flabel metal2 s 257018 -800 257130 480 0 FreeSans 1400 90 0 0 la_data_in[37]
+port 220 nsew
+flabel metal2 s 260564 -800 260676 480 0 FreeSans 1400 90 0 0 la_data_in[38]
+port 221 nsew
+flabel metal2 s 264110 -800 264222 480 0 FreeSans 1400 90 0 0 la_data_in[39]
+port 222 nsew
+flabel metal2 s 136454 -800 136566 480 0 FreeSans 1400 90 0 0 la_data_in[3]
+port 223 nsew
+flabel metal2 s 267656 -800 267768 480 0 FreeSans 1400 90 0 0 la_data_in[40]
+port 224 nsew
+flabel metal2 s 271202 -800 271314 480 0 FreeSans 1400 90 0 0 la_data_in[41]
+port 225 nsew
+flabel metal2 s 274748 -800 274860 480 0 FreeSans 1400 90 0 0 la_data_in[42]
+port 226 nsew
+flabel metal2 s 278294 -800 278406 480 0 FreeSans 1400 90 0 0 la_data_in[43]
+port 227 nsew
+flabel metal2 s 281840 -800 281952 480 0 FreeSans 1400 90 0 0 la_data_in[44]
+port 228 nsew
+flabel metal2 s 285386 -800 285498 480 0 FreeSans 1400 90 0 0 la_data_in[45]
+port 229 nsew
+flabel metal2 s 288932 -800 289044 480 0 FreeSans 1400 90 0 0 la_data_in[46]
+port 230 nsew
+flabel metal2 s 292478 -800 292590 480 0 FreeSans 1400 90 0 0 la_data_in[47]
+port 231 nsew
+flabel metal2 s 296024 -800 296136 480 0 FreeSans 1400 90 0 0 la_data_in[48]
+port 232 nsew
+flabel metal2 s 299570 -800 299682 480 0 FreeSans 1400 90 0 0 la_data_in[49]
+port 233 nsew
+flabel metal2 s 140000 -800 140112 480 0 FreeSans 1400 90 0 0 la_data_in[4]
+port 234 nsew
+flabel metal2 s 303116 -800 303228 480 0 FreeSans 1400 90 0 0 la_data_in[50]
+port 235 nsew
+flabel metal2 s 306662 -800 306774 480 0 FreeSans 1400 90 0 0 la_data_in[51]
+port 236 nsew
+flabel metal2 s 310208 -800 310320 480 0 FreeSans 1400 90 0 0 la_data_in[52]
+port 237 nsew
+flabel metal2 s 313754 -800 313866 480 0 FreeSans 1400 90 0 0 la_data_in[53]
+port 238 nsew
+flabel metal2 s 317300 -800 317412 480 0 FreeSans 1400 90 0 0 la_data_in[54]
+port 239 nsew
+flabel metal2 s 320846 -800 320958 480 0 FreeSans 1400 90 0 0 la_data_in[55]
+port 240 nsew
+flabel metal2 s 324392 -800 324504 480 0 FreeSans 1400 90 0 0 la_data_in[56]
+port 241 nsew
+flabel metal2 s 327938 -800 328050 480 0 FreeSans 1400 90 0 0 la_data_in[57]
+port 242 nsew
+flabel metal2 s 331484 -800 331596 480 0 FreeSans 1400 90 0 0 la_data_in[58]
+port 243 nsew
+flabel metal2 s 335030 -800 335142 480 0 FreeSans 1400 90 0 0 la_data_in[59]
+port 244 nsew
+flabel metal2 s 143546 -800 143658 480 0 FreeSans 1400 90 0 0 la_data_in[5]
+port 245 nsew
+flabel metal2 s 338576 -800 338688 480 0 FreeSans 1400 90 0 0 la_data_in[60]
+port 246 nsew
+flabel metal2 s 342122 -800 342234 480 0 FreeSans 1400 90 0 0 la_data_in[61]
+port 247 nsew
+flabel metal2 s 345668 -800 345780 480 0 FreeSans 1400 90 0 0 la_data_in[62]
+port 248 nsew
+flabel metal2 s 349214 -800 349326 480 0 FreeSans 1400 90 0 0 la_data_in[63]
+port 249 nsew
+flabel metal2 s 352760 -800 352872 480 0 FreeSans 1400 90 0 0 la_data_in[64]
+port 250 nsew
+flabel metal2 s 356306 -800 356418 480 0 FreeSans 1400 90 0 0 la_data_in[65]
+port 251 nsew
+flabel metal2 s 359852 -800 359964 480 0 FreeSans 1400 90 0 0 la_data_in[66]
+port 252 nsew
+flabel metal2 s 363398 -800 363510 480 0 FreeSans 1400 90 0 0 la_data_in[67]
+port 253 nsew
+flabel metal2 s 366944 -800 367056 480 0 FreeSans 1400 90 0 0 la_data_in[68]
+port 254 nsew
+flabel metal2 s 370490 -800 370602 480 0 FreeSans 1400 90 0 0 la_data_in[69]
+port 255 nsew
+flabel metal2 s 147092 -800 147204 480 0 FreeSans 1400 90 0 0 la_data_in[6]
+port 256 nsew
+flabel metal2 s 374036 -800 374148 480 0 FreeSans 1400 90 0 0 la_data_in[70]
+port 257 nsew
+flabel metal2 s 377582 -800 377694 480 0 FreeSans 1400 90 0 0 la_data_in[71]
+port 258 nsew
+flabel metal2 s 381128 -800 381240 480 0 FreeSans 1400 90 0 0 la_data_in[72]
+port 259 nsew
+flabel metal2 s 384674 -800 384786 480 0 FreeSans 1400 90 0 0 la_data_in[73]
+port 260 nsew
+flabel metal2 s 388220 -800 388332 480 0 FreeSans 1400 90 0 0 la_data_in[74]
+port 261 nsew
+flabel metal2 s 391766 -800 391878 480 0 FreeSans 1400 90 0 0 la_data_in[75]
+port 262 nsew
+flabel metal2 s 395312 -800 395424 480 0 FreeSans 1400 90 0 0 la_data_in[76]
+port 263 nsew
+flabel metal2 s 398858 -800 398970 480 0 FreeSans 1400 90 0 0 la_data_in[77]
+port 264 nsew
+flabel metal2 s 402404 -800 402516 480 0 FreeSans 1400 90 0 0 la_data_in[78]
+port 265 nsew
+flabel metal2 s 405950 -800 406062 480 0 FreeSans 1400 90 0 0 la_data_in[79]
+port 266 nsew
+flabel metal2 s 150638 -800 150750 480 0 FreeSans 1400 90 0 0 la_data_in[7]
+port 267 nsew
+flabel metal2 s 409496 -800 409608 480 0 FreeSans 1400 90 0 0 la_data_in[80]
+port 268 nsew
+flabel metal2 s 413042 -800 413154 480 0 FreeSans 1400 90 0 0 la_data_in[81]
+port 269 nsew
+flabel metal2 s 416588 -800 416700 480 0 FreeSans 1400 90 0 0 la_data_in[82]
+port 270 nsew
+flabel metal2 s 420134 -800 420246 480 0 FreeSans 1400 90 0 0 la_data_in[83]
+port 271 nsew
+flabel metal2 s 423680 -800 423792 480 0 FreeSans 1400 90 0 0 la_data_in[84]
+port 272 nsew
+flabel metal2 s 427226 -800 427338 480 0 FreeSans 1400 90 0 0 la_data_in[85]
+port 273 nsew
+flabel metal2 s 430772 -800 430884 480 0 FreeSans 1400 90 0 0 la_data_in[86]
+port 274 nsew
+flabel metal2 s 434318 -800 434430 480 0 FreeSans 1400 90 0 0 la_data_in[87]
+port 275 nsew
+flabel metal2 s 437864 -800 437976 480 0 FreeSans 1400 90 0 0 la_data_in[88]
+port 276 nsew
+flabel metal2 s 441410 -800 441522 480 0 FreeSans 1400 90 0 0 la_data_in[89]
+port 277 nsew
+flabel metal2 s 154184 -800 154296 480 0 FreeSans 1400 90 0 0 la_data_in[8]
+port 278 nsew
+flabel metal2 s 444956 -800 445068 480 0 FreeSans 1400 90 0 0 la_data_in[90]
+port 279 nsew
+flabel metal2 s 448502 -800 448614 480 0 FreeSans 1400 90 0 0 la_data_in[91]
+port 280 nsew
+flabel metal2 s 452048 -800 452160 480 0 FreeSans 1400 90 0 0 la_data_in[92]
+port 281 nsew
+flabel metal2 s 455594 -800 455706 480 0 FreeSans 1400 90 0 0 la_data_in[93]
+port 282 nsew
+flabel metal2 s 459140 -800 459252 480 0 FreeSans 1400 90 0 0 la_data_in[94]
+port 283 nsew
+flabel metal2 s 462686 -800 462798 480 0 FreeSans 1400 90 0 0 la_data_in[95]
+port 284 nsew
+flabel metal2 s 466232 -800 466344 480 0 FreeSans 1400 90 0 0 la_data_in[96]
+port 285 nsew
+flabel metal2 s 469778 -800 469890 480 0 FreeSans 1400 90 0 0 la_data_in[97]
+port 286 nsew
+flabel metal2 s 473324 -800 473436 480 0 FreeSans 1400 90 0 0 la_data_in[98]
+port 287 nsew
+flabel metal2 s 476870 -800 476982 480 0 FreeSans 1400 90 0 0 la_data_in[99]
+port 288 nsew
+flabel metal2 s 157730 -800 157842 480 0 FreeSans 1400 90 0 0 la_data_in[9]
+port 289 nsew
+flabel metal2 s 126998 -800 127110 480 0 FreeSans 1400 90 0 0 la_data_out[0]
+port 290 nsew
+flabel metal2 s 481598 -800 481710 480 0 FreeSans 1400 90 0 0 la_data_out[100]
+port 291 nsew
+flabel metal2 s 485144 -800 485256 480 0 FreeSans 1400 90 0 0 la_data_out[101]
+port 292 nsew
+flabel metal2 s 488690 -800 488802 480 0 FreeSans 1400 90 0 0 la_data_out[102]
+port 293 nsew
+flabel metal2 s 492236 -800 492348 480 0 FreeSans 1400 90 0 0 la_data_out[103]
+port 294 nsew
+flabel metal2 s 495782 -800 495894 480 0 FreeSans 1400 90 0 0 la_data_out[104]
+port 295 nsew
+flabel metal2 s 499328 -800 499440 480 0 FreeSans 1400 90 0 0 la_data_out[105]
+port 296 nsew
+flabel metal2 s 502874 -800 502986 480 0 FreeSans 1400 90 0 0 la_data_out[106]
+port 297 nsew
+flabel metal2 s 506420 -800 506532 480 0 FreeSans 1400 90 0 0 la_data_out[107]
+port 298 nsew
+flabel metal2 s 509966 -800 510078 480 0 FreeSans 1400 90 0 0 la_data_out[108]
+port 299 nsew
+flabel metal2 s 513512 -800 513624 480 0 FreeSans 1400 90 0 0 la_data_out[109]
+port 300 nsew
+flabel metal2 s 162458 -800 162570 480 0 FreeSans 1400 90 0 0 la_data_out[10]
+port 301 nsew
+flabel metal2 s 517058 -800 517170 480 0 FreeSans 1400 90 0 0 la_data_out[110]
+port 302 nsew
+flabel metal2 s 520604 -800 520716 480 0 FreeSans 1400 90 0 0 la_data_out[111]
+port 303 nsew
+flabel metal2 s 524150 -800 524262 480 0 FreeSans 1400 90 0 0 la_data_out[112]
+port 304 nsew
+flabel metal2 s 527696 -800 527808 480 0 FreeSans 1400 90 0 0 la_data_out[113]
+port 305 nsew
+flabel metal2 s 531242 -800 531354 480 0 FreeSans 1400 90 0 0 la_data_out[114]
+port 306 nsew
+flabel metal2 s 534788 -800 534900 480 0 FreeSans 1400 90 0 0 la_data_out[115]
+port 307 nsew
+flabel metal2 s 538334 -800 538446 480 0 FreeSans 1400 90 0 0 la_data_out[116]
+port 308 nsew
+flabel metal2 s 541880 -800 541992 480 0 FreeSans 1400 90 0 0 la_data_out[117]
+port 309 nsew
+flabel metal2 s 545426 -800 545538 480 0 FreeSans 1400 90 0 0 la_data_out[118]
+port 310 nsew
+flabel metal2 s 548972 -800 549084 480 0 FreeSans 1400 90 0 0 la_data_out[119]
+port 311 nsew
+flabel metal2 s 166004 -800 166116 480 0 FreeSans 1400 90 0 0 la_data_out[11]
+port 312 nsew
+flabel metal2 s 552518 -800 552630 480 0 FreeSans 1400 90 0 0 la_data_out[120]
+port 313 nsew
+flabel metal2 s 556064 -800 556176 480 0 FreeSans 1400 90 0 0 la_data_out[121]
+port 314 nsew
+flabel metal2 s 559610 -800 559722 480 0 FreeSans 1400 90 0 0 la_data_out[122]
+port 315 nsew
+flabel metal2 s 563156 -800 563268 480 0 FreeSans 1400 90 0 0 la_data_out[123]
+port 316 nsew
+flabel metal2 s 566702 -800 566814 480 0 FreeSans 1400 90 0 0 la_data_out[124]
+port 317 nsew
+flabel metal2 s 570248 -800 570360 480 0 FreeSans 1400 90 0 0 la_data_out[125]
+port 318 nsew
+flabel metal2 s 573794 -800 573906 480 0 FreeSans 1400 90 0 0 la_data_out[126]
+port 319 nsew
+flabel metal2 s 577340 -800 577452 480 0 FreeSans 1400 90 0 0 la_data_out[127]
+port 320 nsew
+flabel metal2 s 169550 -800 169662 480 0 FreeSans 1400 90 0 0 la_data_out[12]
+port 321 nsew
+flabel metal2 s 173096 -800 173208 480 0 FreeSans 1400 90 0 0 la_data_out[13]
+port 322 nsew
+flabel metal2 s 176642 -800 176754 480 0 FreeSans 1400 90 0 0 la_data_out[14]
+port 323 nsew
+flabel metal2 s 180188 -800 180300 480 0 FreeSans 1400 90 0 0 la_data_out[15]
+port 324 nsew
+flabel metal2 s 183734 -800 183846 480 0 FreeSans 1400 90 0 0 la_data_out[16]
+port 325 nsew
+flabel metal2 s 187280 -800 187392 480 0 FreeSans 1400 90 0 0 la_data_out[17]
+port 326 nsew
+flabel metal2 s 190826 -800 190938 480 0 FreeSans 1400 90 0 0 la_data_out[18]
+port 327 nsew
+flabel metal2 s 194372 -800 194484 480 0 FreeSans 1400 90 0 0 la_data_out[19]
+port 328 nsew
+flabel metal2 s 130544 -800 130656 480 0 FreeSans 1400 90 0 0 la_data_out[1]
+port 329 nsew
+flabel metal2 s 197918 -800 198030 480 0 FreeSans 1400 90 0 0 la_data_out[20]
+port 330 nsew
+flabel metal2 s 201464 -800 201576 480 0 FreeSans 1400 90 0 0 la_data_out[21]
+port 331 nsew
+flabel metal2 s 205010 -800 205122 480 0 FreeSans 1400 90 0 0 la_data_out[22]
+port 332 nsew
+flabel metal2 s 208556 -800 208668 480 0 FreeSans 1400 90 0 0 la_data_out[23]
+port 333 nsew
+flabel metal2 s 212102 -800 212214 480 0 FreeSans 1400 90 0 0 la_data_out[24]
+port 334 nsew
+flabel metal2 s 215648 -800 215760 480 0 FreeSans 1400 90 0 0 la_data_out[25]
+port 335 nsew
+flabel metal2 s 219194 -800 219306 480 0 FreeSans 1400 90 0 0 la_data_out[26]
+port 336 nsew
+flabel metal2 s 222740 -800 222852 480 0 FreeSans 1400 90 0 0 la_data_out[27]
+port 337 nsew
+flabel metal2 s 226286 -800 226398 480 0 FreeSans 1400 90 0 0 la_data_out[28]
+port 338 nsew
+flabel metal2 s 229832 -800 229944 480 0 FreeSans 1400 90 0 0 la_data_out[29]
+port 339 nsew
+flabel metal2 s 134090 -800 134202 480 0 FreeSans 1400 90 0 0 la_data_out[2]
+port 340 nsew
+flabel metal2 s 233378 -800 233490 480 0 FreeSans 1400 90 0 0 la_data_out[30]
+port 341 nsew
+flabel metal2 s 236924 -800 237036 480 0 FreeSans 1400 90 0 0 la_data_out[31]
+port 342 nsew
+flabel metal2 s 240470 -800 240582 480 0 FreeSans 1400 90 0 0 la_data_out[32]
+port 343 nsew
+flabel metal2 s 244016 -800 244128 480 0 FreeSans 1400 90 0 0 la_data_out[33]
+port 344 nsew
+flabel metal2 s 247562 -800 247674 480 0 FreeSans 1400 90 0 0 la_data_out[34]
+port 345 nsew
+flabel metal2 s 251108 -800 251220 480 0 FreeSans 1400 90 0 0 la_data_out[35]
+port 346 nsew
+flabel metal2 s 254654 -800 254766 480 0 FreeSans 1400 90 0 0 la_data_out[36]
+port 347 nsew
+flabel metal2 s 258200 -800 258312 480 0 FreeSans 1400 90 0 0 la_data_out[37]
+port 348 nsew
+flabel metal2 s 261746 -800 261858 480 0 FreeSans 1400 90 0 0 la_data_out[38]
+port 349 nsew
+flabel metal2 s 265292 -800 265404 480 0 FreeSans 1400 90 0 0 la_data_out[39]
+port 350 nsew
+flabel metal2 s 137636 -800 137748 480 0 FreeSans 1400 90 0 0 la_data_out[3]
+port 351 nsew
+flabel metal2 s 268838 -800 268950 480 0 FreeSans 1400 90 0 0 la_data_out[40]
+port 352 nsew
+flabel metal2 s 272384 -800 272496 480 0 FreeSans 1400 90 0 0 la_data_out[41]
+port 353 nsew
+flabel metal2 s 275930 -800 276042 480 0 FreeSans 1400 90 0 0 la_data_out[42]
+port 354 nsew
+flabel metal2 s 279476 -800 279588 480 0 FreeSans 1400 90 0 0 la_data_out[43]
+port 355 nsew
+flabel metal2 s 283022 -800 283134 480 0 FreeSans 1400 90 0 0 la_data_out[44]
+port 356 nsew
+flabel metal2 s 286568 -800 286680 480 0 FreeSans 1400 90 0 0 la_data_out[45]
+port 357 nsew
+flabel metal2 s 290114 -800 290226 480 0 FreeSans 1400 90 0 0 la_data_out[46]
+port 358 nsew
+flabel metal2 s 293660 -800 293772 480 0 FreeSans 1400 90 0 0 la_data_out[47]
+port 359 nsew
+flabel metal2 s 297206 -800 297318 480 0 FreeSans 1400 90 0 0 la_data_out[48]
+port 360 nsew
+flabel metal2 s 300752 -800 300864 480 0 FreeSans 1400 90 0 0 la_data_out[49]
+port 361 nsew
+flabel metal2 s 141182 -800 141294 480 0 FreeSans 1400 90 0 0 la_data_out[4]
+port 362 nsew
+flabel metal2 s 304298 -800 304410 480 0 FreeSans 1400 90 0 0 la_data_out[50]
+port 363 nsew
+flabel metal2 s 307844 -800 307956 480 0 FreeSans 1400 90 0 0 la_data_out[51]
+port 364 nsew
+flabel metal2 s 311390 -800 311502 480 0 FreeSans 1400 90 0 0 la_data_out[52]
+port 365 nsew
+flabel metal2 s 314936 -800 315048 480 0 FreeSans 1400 90 0 0 la_data_out[53]
+port 366 nsew
+flabel metal2 s 318482 -800 318594 480 0 FreeSans 1400 90 0 0 la_data_out[54]
+port 367 nsew
+flabel metal2 s 322028 -800 322140 480 0 FreeSans 1400 90 0 0 la_data_out[55]
+port 368 nsew
+flabel metal2 s 325574 -800 325686 480 0 FreeSans 1400 90 0 0 la_data_out[56]
+port 369 nsew
+flabel metal2 s 329120 -800 329232 480 0 FreeSans 1400 90 0 0 la_data_out[57]
+port 370 nsew
+flabel metal2 s 332666 -800 332778 480 0 FreeSans 1400 90 0 0 la_data_out[58]
+port 371 nsew
+flabel metal2 s 336212 -800 336324 480 0 FreeSans 1400 90 0 0 la_data_out[59]
+port 372 nsew
+flabel metal2 s 144728 -800 144840 480 0 FreeSans 1400 90 0 0 la_data_out[5]
+port 373 nsew
+flabel metal2 s 339758 -800 339870 480 0 FreeSans 1400 90 0 0 la_data_out[60]
+port 374 nsew
+flabel metal2 s 343304 -800 343416 480 0 FreeSans 1400 90 0 0 la_data_out[61]
+port 375 nsew
+flabel metal2 s 346850 -800 346962 480 0 FreeSans 1400 90 0 0 la_data_out[62]
+port 376 nsew
+flabel metal2 s 350396 -800 350508 480 0 FreeSans 1400 90 0 0 la_data_out[63]
+port 377 nsew
+flabel metal2 s 353942 -800 354054 480 0 FreeSans 1400 90 0 0 la_data_out[64]
+port 378 nsew
+flabel metal2 s 357488 -800 357600 480 0 FreeSans 1400 90 0 0 la_data_out[65]
+port 379 nsew
+flabel metal2 s 361034 -800 361146 480 0 FreeSans 1400 90 0 0 la_data_out[66]
+port 380 nsew
+flabel metal2 s 364580 -800 364692 480 0 FreeSans 1400 90 0 0 la_data_out[67]
+port 381 nsew
+flabel metal2 s 368126 -800 368238 480 0 FreeSans 1400 90 0 0 la_data_out[68]
+port 382 nsew
+flabel metal2 s 371672 -800 371784 480 0 FreeSans 1400 90 0 0 la_data_out[69]
+port 383 nsew
+flabel metal2 s 148274 -800 148386 480 0 FreeSans 1400 90 0 0 la_data_out[6]
+port 384 nsew
+flabel metal2 s 375218 -800 375330 480 0 FreeSans 1400 90 0 0 la_data_out[70]
+port 385 nsew
+flabel metal2 s 378764 -800 378876 480 0 FreeSans 1400 90 0 0 la_data_out[71]
+port 386 nsew
+flabel metal2 s 382310 -800 382422 480 0 FreeSans 1400 90 0 0 la_data_out[72]
+port 387 nsew
+flabel metal2 s 385856 -800 385968 480 0 FreeSans 1400 90 0 0 la_data_out[73]
+port 388 nsew
+flabel metal2 s 389402 -800 389514 480 0 FreeSans 1400 90 0 0 la_data_out[74]
+port 389 nsew
+flabel metal2 s 392948 -800 393060 480 0 FreeSans 1400 90 0 0 la_data_out[75]
+port 390 nsew
+flabel metal2 s 396494 -800 396606 480 0 FreeSans 1400 90 0 0 la_data_out[76]
+port 391 nsew
+flabel metal2 s 400040 -800 400152 480 0 FreeSans 1400 90 0 0 la_data_out[77]
+port 392 nsew
+flabel metal2 s 403586 -800 403698 480 0 FreeSans 1400 90 0 0 la_data_out[78]
+port 393 nsew
+flabel metal2 s 407132 -800 407244 480 0 FreeSans 1400 90 0 0 la_data_out[79]
+port 394 nsew
+flabel metal2 s 151820 -800 151932 480 0 FreeSans 1400 90 0 0 la_data_out[7]
+port 395 nsew
+flabel metal2 s 410678 -800 410790 480 0 FreeSans 1400 90 0 0 la_data_out[80]
+port 396 nsew
+flabel metal2 s 414224 -800 414336 480 0 FreeSans 1400 90 0 0 la_data_out[81]
+port 397 nsew
+flabel metal2 s 417770 -800 417882 480 0 FreeSans 1400 90 0 0 la_data_out[82]
+port 398 nsew
+flabel metal2 s 421316 -800 421428 480 0 FreeSans 1400 90 0 0 la_data_out[83]
+port 399 nsew
+flabel metal2 s 424862 -800 424974 480 0 FreeSans 1400 90 0 0 la_data_out[84]
+port 400 nsew
+flabel metal2 s 428408 -800 428520 480 0 FreeSans 1400 90 0 0 la_data_out[85]
+port 401 nsew
+flabel metal2 s 431954 -800 432066 480 0 FreeSans 1400 90 0 0 la_data_out[86]
+port 402 nsew
+flabel metal2 s 435500 -800 435612 480 0 FreeSans 1400 90 0 0 la_data_out[87]
+port 403 nsew
+flabel metal2 s 439046 -800 439158 480 0 FreeSans 1400 90 0 0 la_data_out[88]
+port 404 nsew
+flabel metal2 s 442592 -800 442704 480 0 FreeSans 1400 90 0 0 la_data_out[89]
+port 405 nsew
+flabel metal2 s 155366 -800 155478 480 0 FreeSans 1400 90 0 0 la_data_out[8]
+port 406 nsew
+flabel metal2 s 446138 -800 446250 480 0 FreeSans 1400 90 0 0 la_data_out[90]
+port 407 nsew
+flabel metal2 s 449684 -800 449796 480 0 FreeSans 1400 90 0 0 la_data_out[91]
+port 408 nsew
+flabel metal2 s 453230 -800 453342 480 0 FreeSans 1400 90 0 0 la_data_out[92]
+port 409 nsew
+flabel metal2 s 456776 -800 456888 480 0 FreeSans 1400 90 0 0 la_data_out[93]
+port 410 nsew
+flabel metal2 s 460322 -800 460434 480 0 FreeSans 1400 90 0 0 la_data_out[94]
+port 411 nsew
+flabel metal2 s 463868 -800 463980 480 0 FreeSans 1400 90 0 0 la_data_out[95]
+port 412 nsew
+flabel metal2 s 467414 -800 467526 480 0 FreeSans 1400 90 0 0 la_data_out[96]
+port 413 nsew
+flabel metal2 s 470960 -800 471072 480 0 FreeSans 1400 90 0 0 la_data_out[97]
+port 414 nsew
+flabel metal2 s 474506 -800 474618 480 0 FreeSans 1400 90 0 0 la_data_out[98]
+port 415 nsew
+flabel metal2 s 478052 -800 478164 480 0 FreeSans 1400 90 0 0 la_data_out[99]
+port 416 nsew
+flabel metal2 s 158912 -800 159024 480 0 FreeSans 1400 90 0 0 la_data_out[9]
+port 417 nsew
+flabel metal2 s 128180 -800 128292 480 0 FreeSans 1400 90 0 0 la_oenb[0]
+port 418 nsew
+flabel metal2 s 482780 -800 482892 480 0 FreeSans 1400 90 0 0 la_oenb[100]
+port 419 nsew
+flabel metal2 s 486326 -800 486438 480 0 FreeSans 1400 90 0 0 la_oenb[101]
+port 420 nsew
+flabel metal2 s 489872 -800 489984 480 0 FreeSans 1400 90 0 0 la_oenb[102]
+port 421 nsew
+flabel metal2 s 493418 -800 493530 480 0 FreeSans 1400 90 0 0 la_oenb[103]
+port 422 nsew
+flabel metal2 s 496964 -800 497076 480 0 FreeSans 1400 90 0 0 la_oenb[104]
+port 423 nsew
+flabel metal2 s 500510 -800 500622 480 0 FreeSans 1400 90 0 0 la_oenb[105]
+port 424 nsew
+flabel metal2 s 504056 -800 504168 480 0 FreeSans 1400 90 0 0 la_oenb[106]
+port 425 nsew
+flabel metal2 s 507602 -800 507714 480 0 FreeSans 1400 90 0 0 la_oenb[107]
+port 426 nsew
+flabel metal2 s 511148 -800 511260 480 0 FreeSans 1400 90 0 0 la_oenb[108]
+port 427 nsew
+flabel metal2 s 514694 -800 514806 480 0 FreeSans 1400 90 0 0 la_oenb[109]
+port 428 nsew
+flabel metal2 s 163640 -800 163752 480 0 FreeSans 1400 90 0 0 la_oenb[10]
+port 429 nsew
+flabel metal2 s 518240 -800 518352 480 0 FreeSans 1400 90 0 0 la_oenb[110]
+port 430 nsew
+flabel metal2 s 521786 -800 521898 480 0 FreeSans 1400 90 0 0 la_oenb[111]
+port 431 nsew
+flabel metal2 s 525332 -800 525444 480 0 FreeSans 1400 90 0 0 la_oenb[112]
+port 432 nsew
+flabel metal2 s 528878 -800 528990 480 0 FreeSans 1400 90 0 0 la_oenb[113]
+port 433 nsew
+flabel metal2 s 532424 -800 532536 480 0 FreeSans 1400 90 0 0 la_oenb[114]
+port 434 nsew
+flabel metal2 s 535970 -800 536082 480 0 FreeSans 1400 90 0 0 la_oenb[115]
+port 435 nsew
+flabel metal2 s 539516 -800 539628 480 0 FreeSans 1400 90 0 0 la_oenb[116]
+port 436 nsew
+flabel metal2 s 543062 -800 543174 480 0 FreeSans 1400 90 0 0 la_oenb[117]
+port 437 nsew
+flabel metal2 s 546608 -800 546720 480 0 FreeSans 1400 90 0 0 la_oenb[118]
+port 438 nsew
+flabel metal2 s 550154 -800 550266 480 0 FreeSans 1400 90 0 0 la_oenb[119]
+port 439 nsew
+flabel metal2 s 167186 -800 167298 480 0 FreeSans 1400 90 0 0 la_oenb[11]
+port 440 nsew
+flabel metal2 s 553700 -800 553812 480 0 FreeSans 1400 90 0 0 la_oenb[120]
+port 441 nsew
+flabel metal2 s 557246 -800 557358 480 0 FreeSans 1400 90 0 0 la_oenb[121]
+port 442 nsew
+flabel metal2 s 560792 -800 560904 480 0 FreeSans 1400 90 0 0 la_oenb[122]
+port 443 nsew
+flabel metal2 s 564338 -800 564450 480 0 FreeSans 1400 90 0 0 la_oenb[123]
+port 444 nsew
+flabel metal2 s 567884 -800 567996 480 0 FreeSans 1400 90 0 0 la_oenb[124]
+port 445 nsew
+flabel metal2 s 571430 -800 571542 480 0 FreeSans 1400 90 0 0 la_oenb[125]
+port 446 nsew
+flabel metal2 s 574976 -800 575088 480 0 FreeSans 1400 90 0 0 la_oenb[126]
+port 447 nsew
+flabel metal2 s 578522 -800 578634 480 0 FreeSans 1400 90 0 0 la_oenb[127]
+port 448 nsew
+flabel metal2 s 170732 -800 170844 480 0 FreeSans 1400 90 0 0 la_oenb[12]
+port 449 nsew
+flabel metal2 s 174278 -800 174390 480 0 FreeSans 1400 90 0 0 la_oenb[13]
+port 450 nsew
+flabel metal2 s 177824 -800 177936 480 0 FreeSans 1400 90 0 0 la_oenb[14]
+port 451 nsew
+flabel metal2 s 181370 -800 181482 480 0 FreeSans 1400 90 0 0 la_oenb[15]
+port 452 nsew
+flabel metal2 s 184916 -800 185028 480 0 FreeSans 1400 90 0 0 la_oenb[16]
+port 453 nsew
+flabel metal2 s 188462 -800 188574 480 0 FreeSans 1400 90 0 0 la_oenb[17]
+port 454 nsew
+flabel metal2 s 192008 -800 192120 480 0 FreeSans 1400 90 0 0 la_oenb[18]
+port 455 nsew
+flabel metal2 s 195554 -800 195666 480 0 FreeSans 1400 90 0 0 la_oenb[19]
+port 456 nsew
+flabel metal2 s 131726 -800 131838 480 0 FreeSans 1400 90 0 0 la_oenb[1]
+port 457 nsew
+flabel metal2 s 199100 -800 199212 480 0 FreeSans 1400 90 0 0 la_oenb[20]
+port 458 nsew
+flabel metal2 s 202646 -800 202758 480 0 FreeSans 1400 90 0 0 la_oenb[21]
+port 459 nsew
+flabel metal2 s 206192 -800 206304 480 0 FreeSans 1400 90 0 0 la_oenb[22]
+port 460 nsew
+flabel metal2 s 209738 -800 209850 480 0 FreeSans 1400 90 0 0 la_oenb[23]
+port 461 nsew
+flabel metal2 s 213284 -800 213396 480 0 FreeSans 1400 90 0 0 la_oenb[24]
+port 462 nsew
+flabel metal2 s 216830 -800 216942 480 0 FreeSans 1400 90 0 0 la_oenb[25]
+port 463 nsew
+flabel metal2 s 220376 -800 220488 480 0 FreeSans 1400 90 0 0 la_oenb[26]
+port 464 nsew
+flabel metal2 s 223922 -800 224034 480 0 FreeSans 1400 90 0 0 la_oenb[27]
+port 465 nsew
+flabel metal2 s 227468 -800 227580 480 0 FreeSans 1400 90 0 0 la_oenb[28]
+port 466 nsew
+flabel metal2 s 231014 -800 231126 480 0 FreeSans 1400 90 0 0 la_oenb[29]
+port 467 nsew
+flabel metal2 s 135272 -800 135384 480 0 FreeSans 1400 90 0 0 la_oenb[2]
+port 468 nsew
+flabel metal2 s 234560 -800 234672 480 0 FreeSans 1400 90 0 0 la_oenb[30]
+port 469 nsew
+flabel metal2 s 238106 -800 238218 480 0 FreeSans 1400 90 0 0 la_oenb[31]
+port 470 nsew
+flabel metal2 s 241652 -800 241764 480 0 FreeSans 1400 90 0 0 la_oenb[32]
+port 471 nsew
+flabel metal2 s 245198 -800 245310 480 0 FreeSans 1400 90 0 0 la_oenb[33]
+port 472 nsew
+flabel metal2 s 248744 -800 248856 480 0 FreeSans 1400 90 0 0 la_oenb[34]
+port 473 nsew
+flabel metal2 s 252290 -800 252402 480 0 FreeSans 1400 90 0 0 la_oenb[35]
+port 474 nsew
+flabel metal2 s 255836 -800 255948 480 0 FreeSans 1400 90 0 0 la_oenb[36]
+port 475 nsew
+flabel metal2 s 259382 -800 259494 480 0 FreeSans 1400 90 0 0 la_oenb[37]
+port 476 nsew
+flabel metal2 s 262928 -800 263040 480 0 FreeSans 1400 90 0 0 la_oenb[38]
+port 477 nsew
+flabel metal2 s 266474 -800 266586 480 0 FreeSans 1400 90 0 0 la_oenb[39]
+port 478 nsew
+flabel metal2 s 138818 -800 138930 480 0 FreeSans 1400 90 0 0 la_oenb[3]
+port 479 nsew
+flabel metal2 s 270020 -800 270132 480 0 FreeSans 1400 90 0 0 la_oenb[40]
+port 480 nsew
+flabel metal2 s 273566 -800 273678 480 0 FreeSans 1400 90 0 0 la_oenb[41]
+port 481 nsew
+flabel metal2 s 277112 -800 277224 480 0 FreeSans 1400 90 0 0 la_oenb[42]
+port 482 nsew
+flabel metal2 s 280658 -800 280770 480 0 FreeSans 1400 90 0 0 la_oenb[43]
+port 483 nsew
+flabel metal2 s 284204 -800 284316 480 0 FreeSans 1400 90 0 0 la_oenb[44]
+port 484 nsew
+flabel metal2 s 287750 -800 287862 480 0 FreeSans 1400 90 0 0 la_oenb[45]
+port 485 nsew
+flabel metal2 s 291296 -800 291408 480 0 FreeSans 1400 90 0 0 la_oenb[46]
+port 486 nsew
+flabel metal2 s 294842 -800 294954 480 0 FreeSans 1400 90 0 0 la_oenb[47]
+port 487 nsew
+flabel metal2 s 298388 -800 298500 480 0 FreeSans 1400 90 0 0 la_oenb[48]
+port 488 nsew
+flabel metal2 s 301934 -800 302046 480 0 FreeSans 1400 90 0 0 la_oenb[49]
+port 489 nsew
+flabel metal2 s 142364 -800 142476 480 0 FreeSans 1400 90 0 0 la_oenb[4]
+port 490 nsew
+flabel metal2 s 305480 -800 305592 480 0 FreeSans 1400 90 0 0 la_oenb[50]
+port 491 nsew
+flabel metal2 s 309026 -800 309138 480 0 FreeSans 1400 90 0 0 la_oenb[51]
+port 492 nsew
+flabel metal2 s 312572 -800 312684 480 0 FreeSans 1400 90 0 0 la_oenb[52]
+port 493 nsew
+flabel metal2 s 316118 -800 316230 480 0 FreeSans 1400 90 0 0 la_oenb[53]
+port 494 nsew
+flabel metal2 s 319664 -800 319776 480 0 FreeSans 1400 90 0 0 la_oenb[54]
+port 495 nsew
+flabel metal2 s 323210 -800 323322 480 0 FreeSans 1400 90 0 0 la_oenb[55]
+port 496 nsew
+flabel metal2 s 326756 -800 326868 480 0 FreeSans 1400 90 0 0 la_oenb[56]
+port 497 nsew
+flabel metal2 s 330302 -800 330414 480 0 FreeSans 1400 90 0 0 la_oenb[57]
+port 498 nsew
+flabel metal2 s 333848 -800 333960 480 0 FreeSans 1400 90 0 0 la_oenb[58]
+port 499 nsew
+flabel metal2 s 337394 -800 337506 480 0 FreeSans 1400 90 0 0 la_oenb[59]
+port 500 nsew
+flabel metal2 s 145910 -800 146022 480 0 FreeSans 1400 90 0 0 la_oenb[5]
+port 501 nsew
+flabel metal2 s 340940 -800 341052 480 0 FreeSans 1400 90 0 0 la_oenb[60]
+port 502 nsew
+flabel metal2 s 344486 -800 344598 480 0 FreeSans 1400 90 0 0 la_oenb[61]
+port 503 nsew
+flabel metal2 s 348032 -800 348144 480 0 FreeSans 1400 90 0 0 la_oenb[62]
+port 504 nsew
+flabel metal2 s 351578 -800 351690 480 0 FreeSans 1400 90 0 0 la_oenb[63]
+port 505 nsew
+flabel metal2 s 355124 -800 355236 480 0 FreeSans 1400 90 0 0 la_oenb[64]
+port 506 nsew
+flabel metal2 s 358670 -800 358782 480 0 FreeSans 1400 90 0 0 la_oenb[65]
+port 507 nsew
+flabel metal2 s 362216 -800 362328 480 0 FreeSans 1400 90 0 0 la_oenb[66]
+port 508 nsew
+flabel metal2 s 365762 -800 365874 480 0 FreeSans 1400 90 0 0 la_oenb[67]
+port 509 nsew
+flabel metal2 s 369308 -800 369420 480 0 FreeSans 1400 90 0 0 la_oenb[68]
+port 510 nsew
+flabel metal2 s 372854 -800 372966 480 0 FreeSans 1400 90 0 0 la_oenb[69]
+port 511 nsew
+flabel metal2 s 149456 -800 149568 480 0 FreeSans 1400 90 0 0 la_oenb[6]
+port 512 nsew
+flabel metal2 s 376400 -800 376512 480 0 FreeSans 1400 90 0 0 la_oenb[70]
+port 513 nsew
+flabel metal2 s 379946 -800 380058 480 0 FreeSans 1400 90 0 0 la_oenb[71]
+port 514 nsew
+flabel metal2 s 383492 -800 383604 480 0 FreeSans 1400 90 0 0 la_oenb[72]
+port 515 nsew
+flabel metal2 s 387038 -800 387150 480 0 FreeSans 1400 90 0 0 la_oenb[73]
+port 516 nsew
+flabel metal2 s 390584 -800 390696 480 0 FreeSans 1400 90 0 0 la_oenb[74]
+port 517 nsew
+flabel metal2 s 394130 -800 394242 480 0 FreeSans 1400 90 0 0 la_oenb[75]
+port 518 nsew
+flabel metal2 s 397676 -800 397788 480 0 FreeSans 1400 90 0 0 la_oenb[76]
+port 519 nsew
+flabel metal2 s 401222 -800 401334 480 0 FreeSans 1400 90 0 0 la_oenb[77]
+port 520 nsew
+flabel metal2 s 404768 -800 404880 480 0 FreeSans 1400 90 0 0 la_oenb[78]
+port 521 nsew
+flabel metal2 s 408314 -800 408426 480 0 FreeSans 1400 90 0 0 la_oenb[79]
+port 522 nsew
+flabel metal2 s 153002 -800 153114 480 0 FreeSans 1400 90 0 0 la_oenb[7]
+port 523 nsew
+flabel metal2 s 411860 -800 411972 480 0 FreeSans 1400 90 0 0 la_oenb[80]
+port 524 nsew
+flabel metal2 s 415406 -800 415518 480 0 FreeSans 1400 90 0 0 la_oenb[81]
+port 525 nsew
+flabel metal2 s 418952 -800 419064 480 0 FreeSans 1400 90 0 0 la_oenb[82]
+port 526 nsew
+flabel metal2 s 422498 -800 422610 480 0 FreeSans 1400 90 0 0 la_oenb[83]
+port 527 nsew
+flabel metal2 s 426044 -800 426156 480 0 FreeSans 1400 90 0 0 la_oenb[84]
+port 528 nsew
+flabel metal2 s 429590 -800 429702 480 0 FreeSans 1400 90 0 0 la_oenb[85]
+port 529 nsew
+flabel metal2 s 433136 -800 433248 480 0 FreeSans 1400 90 0 0 la_oenb[86]
+port 530 nsew
+flabel metal2 s 436682 -800 436794 480 0 FreeSans 1400 90 0 0 la_oenb[87]
+port 531 nsew
+flabel metal2 s 440228 -800 440340 480 0 FreeSans 1400 90 0 0 la_oenb[88]
+port 532 nsew
+flabel metal2 s 443774 -800 443886 480 0 FreeSans 1400 90 0 0 la_oenb[89]
+port 533 nsew
+flabel metal2 s 156548 -800 156660 480 0 FreeSans 1400 90 0 0 la_oenb[8]
+port 534 nsew
+flabel metal2 s 447320 -800 447432 480 0 FreeSans 1400 90 0 0 la_oenb[90]
+port 535 nsew
+flabel metal2 s 450866 -800 450978 480 0 FreeSans 1400 90 0 0 la_oenb[91]
+port 536 nsew
+flabel metal2 s 454412 -800 454524 480 0 FreeSans 1400 90 0 0 la_oenb[92]
+port 537 nsew
+flabel metal2 s 457958 -800 458070 480 0 FreeSans 1400 90 0 0 la_oenb[93]
+port 538 nsew
+flabel metal2 s 461504 -800 461616 480 0 FreeSans 1400 90 0 0 la_oenb[94]
+port 539 nsew
+flabel metal2 s 465050 -800 465162 480 0 FreeSans 1400 90 0 0 la_oenb[95]
+port 540 nsew
+flabel metal2 s 468596 -800 468708 480 0 FreeSans 1400 90 0 0 la_oenb[96]
+port 541 nsew
+flabel metal2 s 472142 -800 472254 480 0 FreeSans 1400 90 0 0 la_oenb[97]
+port 542 nsew
+flabel metal2 s 475688 -800 475800 480 0 FreeSans 1400 90 0 0 la_oenb[98]
+port 543 nsew
+flabel metal2 s 479234 -800 479346 480 0 FreeSans 1400 90 0 0 la_oenb[99]
+port 544 nsew
+flabel metal2 s 160094 -800 160206 480 0 FreeSans 1400 90 0 0 la_oenb[9]
+port 545 nsew
+flabel metal2 s 579704 -800 579816 480 0 FreeSans 1400 90 0 0 user_clock2
+port 546 nsew
+flabel metal2 s 580886 -800 580998 480 0 FreeSans 1400 90 0 0 user_irq[0]
+port 547 nsew
+flabel metal2 s 582068 -800 582180 480 0 FreeSans 1400 90 0 0 user_irq[1]
+port 548 nsew
+flabel metal2 s 583250 -800 583362 480 0 FreeSans 1400 90 0 0 user_irq[2]
+port 549 nsew
+flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1400 0 0 0 vccd1
+port 550 nsew
+flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1400 0 0 0 vccd1
+port 550 nsew
+flabel metal3 s 0 643842 1660 648642 0 FreeSans 1400 0 0 0 vccd2
+port 551 nsew
+flabel metal3 s 0 633842 1660 638642 0 FreeSans 1400 0 0 0 vccd2
+port 551 nsew
+flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1400 0 0 0 vdda1
+port 552 nsew
+flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1400 0 0 0 vdda1
+port 552 nsew
+flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1400 0 0 0 vdda1
+port 552 nsew
+flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1400 0 0 0 vdda1
+port 552 nsew
+flabel metal3 s 0 204888 1660 209688 0 FreeSans 1400 0 0 0 vdda2
+port 553 nsew
+flabel metal3 s 0 214888 1660 219688 0 FreeSans 1400 0 0 0 vdda2
+port 553 nsew
+flabel metal3 s 520594 702340 525394 704800 0 FreeSans 2400 180 0 0 vssa1
+port 554 nsew
+flabel metal3 s 510594 702340 515394 704800 0 FreeSans 2400 180 0 0 vssa1
+port 554 nsew
+flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1400 0 0 0 vssa1
+port 554 nsew
+flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1400 0 0 0 vssa1
+port 554 nsew
+flabel metal3 s 0 559442 1660 564242 0 FreeSans 1400 0 0 0 vssa2
+port 555 nsew
+flabel metal3 s 0 549442 1660 554242 0 FreeSans 1400 0 0 0 vssa2
+port 555 nsew
+flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1400 0 0 0 vssd1
+port 556 nsew
+flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1400 0 0 0 vssd1
+port 556 nsew
+flabel metal3 s 0 172888 1660 177688 0 FreeSans 1400 0 0 0 vssd2
+port 557 nsew
+flabel metal3 s 0 162888 1660 167688 0 FreeSans 1400 0 0 0 vssd2
+port 557 nsew
+flabel metal2 s 524 -800 636 480 0 FreeSans 1400 90 0 0 wb_clk_i
+port 558 nsew
+flabel metal2 s 1706 -800 1818 480 0 FreeSans 1400 90 0 0 wb_rst_i
+port 559 nsew
+flabel metal2 s 2888 -800 3000 480 0 FreeSans 1400 90 0 0 wbs_ack_o
+port 560 nsew
+flabel metal2 s 7616 -800 7728 480 0 FreeSans 1400 90 0 0 wbs_adr_i[0]
+port 561 nsew
+flabel metal2 s 47804 -800 47916 480 0 FreeSans 1400 90 0 0 wbs_adr_i[10]
+port 562 nsew
+flabel metal2 s 51350 -800 51462 480 0 FreeSans 1400 90 0 0 wbs_adr_i[11]
+port 563 nsew
+flabel metal2 s 54896 -800 55008 480 0 FreeSans 1400 90 0 0 wbs_adr_i[12]
+port 564 nsew
+flabel metal2 s 58442 -800 58554 480 0 FreeSans 1400 90 0 0 wbs_adr_i[13]
+port 565 nsew
+flabel metal2 s 61988 -800 62100 480 0 FreeSans 1400 90 0 0 wbs_adr_i[14]
+port 566 nsew
+flabel metal2 s 65534 -800 65646 480 0 FreeSans 1400 90 0 0 wbs_adr_i[15]
+port 567 nsew
+flabel metal2 s 69080 -800 69192 480 0 FreeSans 1400 90 0 0 wbs_adr_i[16]
+port 568 nsew
+flabel metal2 s 72626 -800 72738 480 0 FreeSans 1400 90 0 0 wbs_adr_i[17]
+port 569 nsew
+flabel metal2 s 76172 -800 76284 480 0 FreeSans 1400 90 0 0 wbs_adr_i[18]
+port 570 nsew
+flabel metal2 s 79718 -800 79830 480 0 FreeSans 1400 90 0 0 wbs_adr_i[19]
+port 571 nsew
+flabel metal2 s 12344 -800 12456 480 0 FreeSans 1400 90 0 0 wbs_adr_i[1]
+port 572 nsew
+flabel metal2 s 83264 -800 83376 480 0 FreeSans 1400 90 0 0 wbs_adr_i[20]
+port 573 nsew
+flabel metal2 s 86810 -800 86922 480 0 FreeSans 1400 90 0 0 wbs_adr_i[21]
+port 574 nsew
+flabel metal2 s 90356 -800 90468 480 0 FreeSans 1400 90 0 0 wbs_adr_i[22]
+port 575 nsew
+flabel metal2 s 93902 -800 94014 480 0 FreeSans 1400 90 0 0 wbs_adr_i[23]
+port 576 nsew
+flabel metal2 s 97448 -800 97560 480 0 FreeSans 1400 90 0 0 wbs_adr_i[24]
+port 577 nsew
+flabel metal2 s 100994 -800 101106 480 0 FreeSans 1400 90 0 0 wbs_adr_i[25]
+port 578 nsew
+flabel metal2 s 104540 -800 104652 480 0 FreeSans 1400 90 0 0 wbs_adr_i[26]
+port 579 nsew
+flabel metal2 s 108086 -800 108198 480 0 FreeSans 1400 90 0 0 wbs_adr_i[27]
+port 580 nsew
+flabel metal2 s 111632 -800 111744 480 0 FreeSans 1400 90 0 0 wbs_adr_i[28]
+port 581 nsew
+flabel metal2 s 115178 -800 115290 480 0 FreeSans 1400 90 0 0 wbs_adr_i[29]
+port 582 nsew
+flabel metal2 s 17072 -800 17184 480 0 FreeSans 1400 90 0 0 wbs_adr_i[2]
+port 583 nsew
+flabel metal2 s 118724 -800 118836 480 0 FreeSans 1400 90 0 0 wbs_adr_i[30]
+port 584 nsew
+flabel metal2 s 122270 -800 122382 480 0 FreeSans 1400 90 0 0 wbs_adr_i[31]
+port 585 nsew
+flabel metal2 s 21800 -800 21912 480 0 FreeSans 1400 90 0 0 wbs_adr_i[3]
+port 586 nsew
+flabel metal2 s 26528 -800 26640 480 0 FreeSans 1400 90 0 0 wbs_adr_i[4]
+port 587 nsew
+flabel metal2 s 30074 -800 30186 480 0 FreeSans 1400 90 0 0 wbs_adr_i[5]
+port 588 nsew
+flabel metal2 s 33620 -800 33732 480 0 FreeSans 1400 90 0 0 wbs_adr_i[6]
+port 589 nsew
+flabel metal2 s 37166 -800 37278 480 0 FreeSans 1400 90 0 0 wbs_adr_i[7]
+port 590 nsew
+flabel metal2 s 40712 -800 40824 480 0 FreeSans 1400 90 0 0 wbs_adr_i[8]
+port 591 nsew
+flabel metal2 s 44258 -800 44370 480 0 FreeSans 1400 90 0 0 wbs_adr_i[9]
+port 592 nsew
+flabel metal2 s 4070 -800 4182 480 0 FreeSans 1400 90 0 0 wbs_cyc_i
+port 593 nsew
+flabel metal2 s 8798 -800 8910 480 0 FreeSans 1400 90 0 0 wbs_dat_i[0]
+port 594 nsew
+flabel metal2 s 48986 -800 49098 480 0 FreeSans 1400 90 0 0 wbs_dat_i[10]
+port 595 nsew
+flabel metal2 s 52532 -800 52644 480 0 FreeSans 1400 90 0 0 wbs_dat_i[11]
+port 596 nsew
+flabel metal2 s 56078 -800 56190 480 0 FreeSans 1400 90 0 0 wbs_dat_i[12]
+port 597 nsew
+flabel metal2 s 59624 -800 59736 480 0 FreeSans 1400 90 0 0 wbs_dat_i[13]
+port 598 nsew
+flabel metal2 s 63170 -800 63282 480 0 FreeSans 1400 90 0 0 wbs_dat_i[14]
+port 599 nsew
+flabel metal2 s 66716 -800 66828 480 0 FreeSans 1400 90 0 0 wbs_dat_i[15]
+port 600 nsew
+flabel metal2 s 70262 -800 70374 480 0 FreeSans 1400 90 0 0 wbs_dat_i[16]
+port 601 nsew
+flabel metal2 s 73808 -800 73920 480 0 FreeSans 1400 90 0 0 wbs_dat_i[17]
+port 602 nsew
+flabel metal2 s 77354 -800 77466 480 0 FreeSans 1400 90 0 0 wbs_dat_i[18]
+port 603 nsew
+flabel metal2 s 80900 -800 81012 480 0 FreeSans 1400 90 0 0 wbs_dat_i[19]
+port 604 nsew
+flabel metal2 s 13526 -800 13638 480 0 FreeSans 1400 90 0 0 wbs_dat_i[1]
+port 605 nsew
+flabel metal2 s 84446 -800 84558 480 0 FreeSans 1400 90 0 0 wbs_dat_i[20]
+port 606 nsew
+flabel metal2 s 87992 -800 88104 480 0 FreeSans 1400 90 0 0 wbs_dat_i[21]
+port 607 nsew
+flabel metal2 s 91538 -800 91650 480 0 FreeSans 1400 90 0 0 wbs_dat_i[22]
+port 608 nsew
+flabel metal2 s 95084 -800 95196 480 0 FreeSans 1400 90 0 0 wbs_dat_i[23]
+port 609 nsew
+flabel metal2 s 98630 -800 98742 480 0 FreeSans 1400 90 0 0 wbs_dat_i[24]
+port 610 nsew
+flabel metal2 s 102176 -800 102288 480 0 FreeSans 1400 90 0 0 wbs_dat_i[25]
+port 611 nsew
+flabel metal2 s 105722 -800 105834 480 0 FreeSans 1400 90 0 0 wbs_dat_i[26]
+port 612 nsew
+flabel metal2 s 109268 -800 109380 480 0 FreeSans 1400 90 0 0 wbs_dat_i[27]
+port 613 nsew
+flabel metal2 s 112814 -800 112926 480 0 FreeSans 1400 90 0 0 wbs_dat_i[28]
+port 614 nsew
+flabel metal2 s 116360 -800 116472 480 0 FreeSans 1400 90 0 0 wbs_dat_i[29]
+port 615 nsew
+flabel metal2 s 18254 -800 18366 480 0 FreeSans 1400 90 0 0 wbs_dat_i[2]
+port 616 nsew
+flabel metal2 s 119906 -800 120018 480 0 FreeSans 1400 90 0 0 wbs_dat_i[30]
+port 617 nsew
+flabel metal2 s 123452 -800 123564 480 0 FreeSans 1400 90 0 0 wbs_dat_i[31]
+port 618 nsew
+flabel metal2 s 22982 -800 23094 480 0 FreeSans 1400 90 0 0 wbs_dat_i[3]
+port 619 nsew
+flabel metal2 s 27710 -800 27822 480 0 FreeSans 1400 90 0 0 wbs_dat_i[4]
+port 620 nsew
+flabel metal2 s 31256 -800 31368 480 0 FreeSans 1400 90 0 0 wbs_dat_i[5]
+port 621 nsew
+flabel metal2 s 34802 -800 34914 480 0 FreeSans 1400 90 0 0 wbs_dat_i[6]
+port 622 nsew
+flabel metal2 s 38348 -800 38460 480 0 FreeSans 1400 90 0 0 wbs_dat_i[7]
+port 623 nsew
+flabel metal2 s 41894 -800 42006 480 0 FreeSans 1400 90 0 0 wbs_dat_i[8]
+port 624 nsew
+flabel metal2 s 45440 -800 45552 480 0 FreeSans 1400 90 0 0 wbs_dat_i[9]
+port 625 nsew
+flabel metal2 s 9980 -800 10092 480 0 FreeSans 1400 90 0 0 wbs_dat_o[0]
+port 626 nsew
+flabel metal2 s 50168 -800 50280 480 0 FreeSans 1400 90 0 0 wbs_dat_o[10]
+port 627 nsew
+flabel metal2 s 53714 -800 53826 480 0 FreeSans 1400 90 0 0 wbs_dat_o[11]
+port 628 nsew
+flabel metal2 s 57260 -800 57372 480 0 FreeSans 1400 90 0 0 wbs_dat_o[12]
+port 629 nsew
+flabel metal2 s 60806 -800 60918 480 0 FreeSans 1400 90 0 0 wbs_dat_o[13]
+port 630 nsew
+flabel metal2 s 64352 -800 64464 480 0 FreeSans 1400 90 0 0 wbs_dat_o[14]
+port 631 nsew
+flabel metal2 s 67898 -800 68010 480 0 FreeSans 1400 90 0 0 wbs_dat_o[15]
+port 632 nsew
+flabel metal2 s 71444 -800 71556 480 0 FreeSans 1400 90 0 0 wbs_dat_o[16]
+port 633 nsew
+flabel metal2 s 74990 -800 75102 480 0 FreeSans 1400 90 0 0 wbs_dat_o[17]
+port 634 nsew
+flabel metal2 s 78536 -800 78648 480 0 FreeSans 1400 90 0 0 wbs_dat_o[18]
+port 635 nsew
+flabel metal2 s 82082 -800 82194 480 0 FreeSans 1400 90 0 0 wbs_dat_o[19]
+port 636 nsew
+flabel metal2 s 14708 -800 14820 480 0 FreeSans 1400 90 0 0 wbs_dat_o[1]
+port 637 nsew
+flabel metal2 s 85628 -800 85740 480 0 FreeSans 1400 90 0 0 wbs_dat_o[20]
+port 638 nsew
+flabel metal2 s 89174 -800 89286 480 0 FreeSans 1400 90 0 0 wbs_dat_o[21]
+port 639 nsew
+flabel metal2 s 92720 -800 92832 480 0 FreeSans 1400 90 0 0 wbs_dat_o[22]
+port 640 nsew
+flabel metal2 s 96266 -800 96378 480 0 FreeSans 1400 90 0 0 wbs_dat_o[23]
+port 641 nsew
+flabel metal2 s 99812 -800 99924 480 0 FreeSans 1400 90 0 0 wbs_dat_o[24]
+port 642 nsew
+flabel metal2 s 103358 -800 103470 480 0 FreeSans 1400 90 0 0 wbs_dat_o[25]
+port 643 nsew
+flabel metal2 s 106904 -800 107016 480 0 FreeSans 1400 90 0 0 wbs_dat_o[26]
+port 644 nsew
+flabel metal2 s 110450 -800 110562 480 0 FreeSans 1400 90 0 0 wbs_dat_o[27]
+port 645 nsew
+flabel metal2 s 113996 -800 114108 480 0 FreeSans 1400 90 0 0 wbs_dat_o[28]
+port 646 nsew
+flabel metal2 s 117542 -800 117654 480 0 FreeSans 1400 90 0 0 wbs_dat_o[29]
+port 647 nsew
+flabel metal2 s 19436 -800 19548 480 0 FreeSans 1400 90 0 0 wbs_dat_o[2]
+port 648 nsew
+flabel metal2 s 121088 -800 121200 480 0 FreeSans 1400 90 0 0 wbs_dat_o[30]
+port 649 nsew
+flabel metal2 s 124634 -800 124746 480 0 FreeSans 1400 90 0 0 wbs_dat_o[31]
+port 650 nsew
+flabel metal2 s 24164 -800 24276 480 0 FreeSans 1400 90 0 0 wbs_dat_o[3]
+port 651 nsew
+flabel metal2 s 28892 -800 29004 480 0 FreeSans 1400 90 0 0 wbs_dat_o[4]
+port 652 nsew
+flabel metal2 s 32438 -800 32550 480 0 FreeSans 1400 90 0 0 wbs_dat_o[5]
+port 653 nsew
+flabel metal2 s 35984 -800 36096 480 0 FreeSans 1400 90 0 0 wbs_dat_o[6]
+port 654 nsew
+flabel metal2 s 39530 -800 39642 480 0 FreeSans 1400 90 0 0 wbs_dat_o[7]
+port 655 nsew
+flabel metal2 s 43076 -800 43188 480 0 FreeSans 1400 90 0 0 wbs_dat_o[8]
+port 656 nsew
+flabel metal2 s 46622 -800 46734 480 0 FreeSans 1400 90 0 0 wbs_dat_o[9]
+port 657 nsew
+flabel metal2 s 11162 -800 11274 480 0 FreeSans 1400 90 0 0 wbs_sel_i[0]
+port 658 nsew
+flabel metal2 s 15890 -800 16002 480 0 FreeSans 1400 90 0 0 wbs_sel_i[1]
+port 659 nsew
+flabel metal2 s 20618 -800 20730 480 0 FreeSans 1400 90 0 0 wbs_sel_i[2]
+port 660 nsew
+flabel metal2 s 25346 -800 25458 480 0 FreeSans 1400 90 0 0 wbs_sel_i[3]
+port 661 nsew
+flabel metal2 s 5252 -800 5364 480 0 FreeSans 1400 90 0 0 wbs_stb_i
+port 662 nsew
+flabel metal2 s 6434 -800 6546 480 0 FreeSans 1400 90 0 0 wbs_we_i
+port 663 nsew
+<< properties >>
+string FIXED_BBOX 0 0 584000 704000
+<< end >>