spi master with qddr mode support added
diff --git a/def/spi_master.def.gz b/def/spi_master.def.gz
index 823db14..e7e413d 100644
--- a/def/spi_master.def.gz
+++ b/def/spi_master.def.gz
Binary files differ
diff --git a/def/user_project_wrapper.def.gz b/def/user_project_wrapper.def.gz
index 05feff9..971ff12 100644
--- a/def/user_project_wrapper.def.gz
+++ b/def/user_project_wrapper.def.gz
Binary files differ
diff --git a/gds/spi_master.gds.gz b/gds/spi_master.gds.gz
index 025d8a7..11c9ee7 100644
--- a/gds/spi_master.gds.gz
+++ b/gds/spi_master.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 4de20b2..0554256 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/lef/spi_master.lef.gz b/lef/spi_master.lef.gz
index 278d577..f64e964 100644
--- a/lef/spi_master.lef.gz
+++ b/lef/spi_master.lef.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index 3d0f971..67d7b2e 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/mag/spi_master.mag.gz b/mag/spi_master.mag.gz
index dd36d43..d86e3b5 100644
--- a/mag/spi_master.mag.gz
+++ b/mag/spi_master.mag.gz
Binary files differ
diff --git a/mag/user_project_wrapper.mag.gz b/mag/user_project_wrapper.mag.gz
index 1e06aec..3d0e96f 100644
--- a/mag/user_project_wrapper.mag.gz
+++ b/mag/user_project_wrapper.mag.gz
Binary files differ
diff --git a/maglef/spi_master.mag.gz b/maglef/spi_master.mag.gz
index 34dec82..fd600fa 100644
--- a/maglef/spi_master.mag.gz
+++ b/maglef/spi_master.mag.gz
Binary files differ
diff --git a/maglef/user_project_wrapper.mag.gz b/maglef/user_project_wrapper.mag.gz
index e997d91..d7dfa7b 100644
--- a/maglef/user_project_wrapper.mag.gz
+++ b/maglef/user_project_wrapper.mag.gz
Binary files differ
diff --git a/openlane/spi_master/config.tcl b/openlane/spi_master/config.tcl
index ec8bb96..fa42bf3 100755
--- a/openlane/spi_master/config.tcl
+++ b/openlane/spi_master/config.tcl
@@ -61,7 +61,10 @@
 set ::env(FP_PIN_ORDER_CFG) $::env(DESIGN_DIR)/pin_order.cfg
 
 set ::env(FP_SIZING) absolute
-set ::env(DIE_AREA) "0 0 400 600"
+set ::env(DIE_AREA) "0 0 400 650"
+
+set ::env(PL_TIME_DRIVEN) 1
+set ::env(PL_TARGET_DENSITY) "0.45"
 
 
 # If you're going to use multiple power domains, then keep this disabled.
diff --git a/openlane/wb_interconnect/config.tcl b/openlane/wb_interconnect/config.tcl
index 4e6a946..31e4c0c 100755
--- a/openlane/wb_interconnect/config.tcl
+++ b/openlane/wb_interconnect/config.tcl
@@ -68,6 +68,8 @@
 
 
 set ::env(PL_ROUTABILITY_DRIVEN) 1
+set ::env(FP_CORE_UTIL) "40"
+set ::env(PL_TARGET_DENSITY) "0.50"
 
 set ::env(FP_IO_VEXTEND) 4
 set ::env(FP_IO_HEXTEND) 4
diff --git a/signoff/spi_master/final_summary_report.csv b/signoff/spi_master/final_summary_report.csv
index 099784f..2d25b3d 100644
--- a/signoff/spi_master/final_summary_report.csv
+++ b/signoff/spi_master/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/spi_master,spim_top,spi_master,Flow_completed,0h10m24s,0h6m34s,64225.0,0.24,32112.5,47,650.94,7707,0,0,0,0,0,0,0,2,4,-1,0,340960,59901,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,257797329,0.0,27.62,36.77,0.2,-1,-1,7643,7783,1271,1411,0,0,0,7707,242,0,168,95,1009,216,28,2394,1352,1291,25,424,2889,0,3313,100.0,10.0,10,AREA 0,4,50,1,100,100,0.55,0,sky130_fd_sc_hd,4,3
+0,/project/openlane/spi_master,spim_top,spi_master,Flow_completed,0h9m17s,0h5m31s,58515.38461538461,0.26,29257.692307692305,43,643.85,7607,0,0,0,0,0,0,0,7,1,-1,0,373344,58969,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,302026706,0.0,25.54,39.4,0.02,-1,-1,7543,7683,1272,1412,0,0,0,7607,245,0,169,101,1053,212,32,2444,1353,1292,24,460,3132,0,3592,100.0,10.0,10,AREA 0,4,50,1,100,100,0.45,0,sky130_fd_sc_hd,4,3
diff --git a/signoff/syntacore/final_summary_report.csv b/signoff/syntacore/final_summary_report.csv
index 03d8be4..fe9e111 100644
--- a/signoff/syntacore/final_summary_report.csv
+++ b/signoff/syntacore/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/syntacore,scr1_top_wb,syntacore,Flow_completed,0h52m12s,0h27m25s,27759.375,1.92,13879.6875,19,1195.43,26649,0,0,0,0,0,0,0,105,1,-1,0,1672470,234282,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,1304827840,0.0,18.7,16.26,3.38,0.29,-1,26472,26773,3391,3692,0,0,0,26649,876,68,661,601,2743,980,298,7804,3218,3182,68,866,24574,1,25441,100.0,10.0,10,AREA 0,4,50,1,100,100,0.45,0,sky130_fd_sc_hd,4,3
+0,/project/openlane/syntacore,scr1_top_wb,syntacore,Flow_completed,0h51m54s,0h25m57s,27752.083333333336,1.92,13876.041666666668,21,1260.14,26642,0,0,0,0,0,0,0,22,4,-1,0,1736239,260546,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,1305933937,0.0,24.35,15.89,5.68,0.9,-1,26465,26766,3393,3694,0,0,0,26642,718,68,677,584,2809,986,293,7964,3220,3184,61,866,24574,0,25440,100.0,10.0,10,AREA 0,4,50,1,100,100,0.45,0,sky130_fd_sc_hd,4,4
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index fa9e31a..b467fd5 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,Flow_completed,0h39m53s,0h4m37s,3.3079078455790785,10.2784,1.6539539227895392,0,551.71,17,0,0,0,0,0,0,0,0,1,-1,-1,1189766,3917,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,1.26,4.3,0.77,1.93,-1,848,1466,848,1466,0,0,0,17,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,80,80,0.55,0,sky130_fd_sc_hd,4,0
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,Flow_completed,0h41m39s,0h4m37s,3.3079078455790785,10.2784,1.6539539227895392,0,552.52,17,0,0,0,0,0,0,0,0,1,-1,-1,1190352,4009,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,1.26,4.32,0.78,1.93,-1,848,1466,848,1466,0,0,0,17,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,80,80,0.55,0,sky130_fd_sc_hd,4,0
diff --git a/spi/lvs/spi_master.spice.gz b/spi/lvs/spi_master.spice.gz
index 2efea3b..eae4317 100644
--- a/spi/lvs/spi_master.spice.gz
+++ b/spi/lvs/spi_master.spice.gz
Binary files differ
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
index fff0dec..4a1e3ca 100644
--- a/spi/lvs/user_project_wrapper.spice.gz
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/verilog/dv/user_spi/run_iverilog b/verilog/dv/user_spi/run_iverilog
index a88ab91..eb92eae 100755
--- a/verilog/dv/user_spi/run_iverilog
+++ b/verilog/dv/user_spi/run_iverilog
@@ -31,7 +31,7 @@
 #iverilog -g2005-sv -DWFDUMP -DFUNCTIONAL -DSIM -I $PDK_PATH -I  ../../../caravel/verilog/rtl  -I ../ -I ../../../verilog/rtl -I ../ -I ../../../verilog -I ../../../verilog/rtl/syntacore/scr1/src/includes   -I ../../../verilog/rtl/sdram_ctrl/src/defs -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_spi_tb.v -o user_spi_tb.vvp
 
 #iverilog without Dump
-iverilog -g2005-sv -DFUNCTIONAL -DSIM -I $PDK_PATH -I  ../../../caravel/verilog/rtl  -I ../ -I ../../../verilog/rtl -I ../ -I ../../../verilog -I ../../../verilog/rtl/syntacore/scr1/src/includes   -I ../../../verilog/rtl/sdram_ctrl/src/defs -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_spi_tb.v -o user_spi_tb.vvp
+iverilog -g2005-sv -D WFDUMP -DFUNCTIONAL -DSIM -I $PDK_PATH -I  ../../../caravel/verilog/rtl  -I ../ -I ../../../verilog/rtl -I ../ -I ../../../verilog -I ../../../verilog/rtl/syntacore/scr1/src/includes   -I ../../../verilog/rtl/sdram_ctrl/src/defs -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_spi_tb.v -o user_spi_tb.vvp
 
 # GLS 
 #iverilog -g2005-sv -D GL -D FUNCTIONAL -I $PDK_PATH -I  ../../../caravel/verilog/rtl  -I ../ -I ../../../verilog/gl -I ../../../verilog -I /home/dinesha/workarea/pdk/sky130A -I ../../../verilog/rtl/syntacore/scr1/src/includes   -I ../../../verilog/rtl/sdram_ctrl/src/defs -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_spi_tb.v -o user_spi_tb.vvp
diff --git a/verilog/dv/user_spi/user_spi_tb.v b/verilog/dv/user_spi/user_spi_tb.v
index 65371ae..17d3292 100644
--- a/verilog/dv/user_spi/user_spi_tb.v
+++ b/verilog/dv/user_spi/user_spi_tb.v
@@ -203,6 +203,33 @@
 		wb_user_core_read_check(32'h1000001C,read_data,32'h00190201);
 		$display("#############################################");
 		$display("Testing Direct SPI Memory Read              ");
+		$display(" SPI Mode: QDDR (Dual 4 but)                ");
+		$display("Prefetch : 1DW, OPCODE:READ(0xED)           ");
+		$display("SEQ: Command -> Address -> Read Data        ");
+		$display("#############################################");
+		// QDDR Config
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+		wb_user_core_write(32'h10000004,{24'h0,2'b01,2'b11,4'b0001});
+		wb_user_core_write(32'h10000008,{8'h04,2'b01,2'b10,4'h6,8'h00,8'hED});
+                wb_user_core_write('h3080_0004,'h00); // Change the Bank Sel 00
+		wb_user_core_read_check(32'h00000200,read_data,32'h00000093);
+		wb_user_core_read_check(32'h00000204,read_data,32'h00000113);
+		wb_user_core_read_check(32'h00000208,read_data,32'h00000193);
+		wb_user_core_read_check(32'h0000020C,read_data,32'h00000213);
+		wb_user_core_read_check(32'h00000210,read_data,32'h00000293);
+		wb_user_core_read_check(32'h00000214,read_data,32'h00000313);
+		wb_user_core_read_check(32'h00000218,read_data,32'h00000393);
+		wb_user_core_read_check(32'h0000021C,read_data,32'h00000413);
+		wb_user_core_read_check(32'h00000400,read_data,32'h11223737);
+		wb_user_core_read_check(32'h00000404,read_data,32'h300007b7);
+		wb_user_core_read_check(32'h00000408,read_data,32'h34470293);
+		wb_user_core_read_check(32'h0000040C,read_data,32'h22334337);
+		wb_user_core_read_check(32'h00000410,read_data,32'h0057ac23);
+		wb_user_core_read_check(32'h00000414,read_data,32'h45530393);
+		wb_user_core_read_check(32'h00000418,read_data,32'h33445537);
+		wb_user_core_read_check(32'h0000041C,read_data,32'h0077ae23);
+		$display("#############################################");
+		$display("Testing Direct SPI Memory Read              ");
 		$display(" SPI Mode: Normal/Single Bit                ");
 		$display("Prefetch : 1DW, OPCODE:READ(0x3)            ");
 		$display("SEQ: Command -> Address -> Read Data        ");
diff --git a/verilog/gl/spi_master.v b/verilog/gl/spi_master.v
index 7277291..fc84d8a 100644
--- a/verilog/gl/spi_master.v
+++ b/verilog/gl/spi_master.v
@@ -31,1156 +31,1150 @@
  output [31:0] wbd_dat_o;
  input [3:0] wbd_sel_i;
 
- sky130_fd_sc_hd__inv_2 _06372_ (.A(\u_spim_regs.cfg_m0_data_cnt[6] ),
-    .Y(_01278_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06373_ (.A(spi_debug[13]),
+ sky130_fd_sc_hd__inv_2 _06271_ (.A(\u_spim_regs.cfg_m0_data_cnt[6] ),
     .Y(_01279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06374_ (.A(spi_debug[14]),
-    .B(_01279_),
-    .X(_01280_),
+ sky130_fd_sc_hd__inv_2 _06272_ (.A(spi_debug[13]),
+    .Y(_01280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06375_ (.A(\u_wb_if.spim_wb_req ),
-    .Y(_01281_),
+ sky130_fd_sc_hd__or2_4 _06273_ (.A(spi_debug[14]),
+    .B(_01280_),
+    .X(_01281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06376_ (.A(\u_wb_if.spim_wb_addr[28] ),
-    .X(_01282_),
+ sky130_fd_sc_hd__inv_2 _06274_ (.A(\u_wb_if.spim_wb_req ),
+    .Y(_01282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06377_ (.A(\u_m0_cmd_fifo.wr_ptr[1] ),
-    .Y(_01283_),
+ sky130_fd_sc_hd__buf_2 _06275_ (.A(_01282_),
+    .X(_01283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06378_ (.A(\u_m0_cmd_fifo.rd_ptr[1] ),
-    .Y(_01284_),
+ sky130_fd_sc_hd__buf_2 _06276_ (.A(\u_wb_if.spim_wb_addr[28] ),
+    .X(_01284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06379_ (.A1(\u_m0_cmd_fifo.wr_ptr[1] ),
-    .A2(\u_m0_cmd_fifo.rd_ptr[1] ),
-    .B1(_01283_),
-    .B2(_01284_),
-    .X(_01285_),
+ sky130_fd_sc_hd__inv_2 _06277_ (.A(\u_m0_cmd_fifo.wr_ptr[1] ),
+    .Y(_01285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06380_ (.A(\u_m0_cmd_fifo.wr_ptr[0] ),
+ sky130_fd_sc_hd__inv_2 _06278_ (.A(\u_m0_cmd_fifo.rd_ptr[1] ),
     .Y(_01286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06381_ (.A(\u_m0_cmd_fifo.rd_ptr[0] ),
-    .Y(_01287_),
+ sky130_fd_sc_hd__o22a_4 _06279_ (.A1(\u_m0_cmd_fifo.wr_ptr[1] ),
+    .A2(\u_m0_cmd_fifo.rd_ptr[1] ),
+    .B1(_01285_),
+    .B2(_01286_),
+    .X(_01287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06382_ (.A1(\u_m0_cmd_fifo.wr_ptr[0] ),
+ sky130_fd_sc_hd__inv_2 _06280_ (.A(\u_m0_cmd_fifo.wr_ptr[0] ),
+    .Y(_01288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06281_ (.A(\u_m0_cmd_fifo.rd_ptr[0] ),
+    .Y(_01289_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _06282_ (.A1(\u_m0_cmd_fifo.wr_ptr[0] ),
     .A2(\u_m0_cmd_fifo.rd_ptr[0] ),
-    .B1(_01286_),
-    .B2(_01287_),
-    .X(_01288_),
+    .B1(_01288_),
+    .B2(_01289_),
+    .X(_01290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06383_ (.A(_01285_),
-    .B(_01288_),
-    .X(_01289_),
+ sky130_fd_sc_hd__or2_4 _06283_ (.A(_01287_),
+    .B(_01290_),
+    .X(_01291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06384_ (.A(\u_wb_if.spim_wb_addr[0] ),
-    .Y(_01290_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06385_ (.A(\u_wb_if.spim_wb_addr[19] ),
-    .Y(_01291_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _06386_ (.A1(\u_wb_if.NextPreAddr[0] ),
-    .A2(_01290_),
-    .B1(\u_wb_if.NextPreAddr[19] ),
-    .B2(_01291_),
+ sky130_fd_sc_hd__inv_2 _06284_ (.A(\u_wb_if.spim_wb_addr[0] ),
     .Y(_01292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06387_ (.A(\u_spim_regs.spim_reg_addr[0] ),
+ sky130_fd_sc_hd__inv_2 _06285_ (.A(\u_wb_if.spim_wb_addr[19] ),
     .Y(_01293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06388_ (.A(\u_wb_if.spim_wb_addr[6] ),
+ sky130_fd_sc_hd__a22oi_4 _06286_ (.A1(\u_wb_if.NextPreAddr[0] ),
+    .A2(_01292_),
+    .B1(\u_wb_if.NextPreAddr[19] ),
+    .B2(_01293_),
     .Y(_01294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06389_ (.A1(\u_wb_if.NextPreAddr[2] ),
-    .A2(_01293_),
-    .B1(psn_net_54),
-    .B2(_01294_),
-    .X(_01295_),
+ sky130_fd_sc_hd__inv_2 _06287_ (.A(\u_spim_regs.spim_reg_addr[0] ),
+    .Y(_01295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06390_ (.A(_01292_),
-    .B(_01295_),
-    .X(_01296_),
+ sky130_fd_sc_hd__inv_2 _06288_ (.A(\u_wb_if.spim_wb_addr[6] ),
+    .Y(_01296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06391_ (.A(\u_wb_if.NextPreAddr[21] ),
-    .Y(_01297_),
+ sky130_fd_sc_hd__o22a_4 _06289_ (.A1(\u_wb_if.NextPreAddr[2] ),
+    .A2(_01295_),
+    .B1(\u_wb_if.NextPreAddr[6] ),
+    .B2(_01296_),
+    .X(_01297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06392_ (.A(\u_wb_if.spim_wb_addr[10] ),
-    .Y(_01298_),
+ sky130_fd_sc_hd__and2_4 _06290_ (.A(_01294_),
+    .B(_01297_),
+    .X(_01298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06393_ (.A1(_01297_),
-    .A2(\u_wb_if.spim_wb_addr[21] ),
-    .B1(\u_wb_if.NextPreAddr[10] ),
-    .B2(_01298_),
-    .X(_01299_),
+ sky130_fd_sc_hd__inv_2 _06291_ (.A(\u_wb_if.NextPreAddr[21] ),
+    .Y(_01299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06394_ (.A(\u_wb_if.spim_wb_addr[31] ),
+ sky130_fd_sc_hd__inv_2 _06292_ (.A(\u_wb_if.spim_wb_addr[10] ),
     .Y(_01300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06395_ (.A1(\u_wb_if.NextPreAddr[19] ),
-    .A2(_01291_),
-    .B1(\u_wb_if.NextPreAddr[31] ),
+ sky130_fd_sc_hd__o22a_4 _06293_ (.A1(_01299_),
+    .A2(\u_wb_if.spim_wb_addr[21] ),
+    .B1(\u_wb_if.NextPreAddr[10] ),
     .B2(_01300_),
     .X(_01301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06396_ (.A(\u_wb_if.NextPreAddr[24] ),
+ sky130_fd_sc_hd__inv_2 _06294_ (.A(\u_wb_if.spim_wb_addr[31] ),
     .Y(_01302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06397_ (.A(\u_wb_if.spim_wb_addr[16] ),
-    .Y(_01303_),
+ sky130_fd_sc_hd__o22a_4 _06295_ (.A1(\u_wb_if.NextPreAddr[19] ),
+    .A2(_01293_),
+    .B1(\u_wb_if.NextPreAddr[31] ),
+    .B2(_01302_),
+    .X(_01303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06398_ (.A1(_01302_),
-    .A2(\u_wb_if.spim_wb_addr[24] ),
-    .B1(\u_wb_if.NextPreAddr[16] ),
-    .B2(_01303_),
-    .X(_01304_),
+ sky130_fd_sc_hd__inv_2 _06296_ (.A(\u_wb_if.NextPreAddr[24] ),
+    .Y(_01304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06399_ (.A(\u_wb_if.spim_wb_addr[25] ),
+ sky130_fd_sc_hd__inv_2 _06297_ (.A(\u_wb_if.spim_wb_addr[16] ),
     .Y(_01305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06400_ (.A(\u_wb_if.NextPreAddr[6] ),
-    .Y(_01306_),
+ sky130_fd_sc_hd__o22a_4 _06298_ (.A1(_01304_),
+    .A2(\u_wb_if.spim_wb_addr[24] ),
+    .B1(\u_wb_if.NextPreAddr[16] ),
+    .B2(_01305_),
+    .X(_01306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06401_ (.A1(\u_wb_if.NextPreAddr[25] ),
-    .A2(_01305_),
-    .B1(psn_net_51),
+ sky130_fd_sc_hd__inv_2 _06299_ (.A(\u_wb_if.spim_wb_addr[25] ),
+    .Y(_01307_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06300_ (.A(\u_wb_if.NextPreAddr[6] ),
+    .Y(_01308_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _06301_ (.A1(\u_wb_if.NextPreAddr[25] ),
+    .A2(_01307_),
+    .B1(_01308_),
     .B2(\u_wb_if.spim_wb_addr[6] ),
-    .X(_01307_),
+    .X(_01309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06402_ (.A(_01299_),
-    .B(_01301_),
-    .C(_01304_),
-    .D(_01307_),
-    .X(_01308_),
+ sky130_fd_sc_hd__and4_4 _06302_ (.A(_01301_),
+    .B(_01303_),
+    .C(_01306_),
+    .D(_01309_),
+    .X(_01310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06403_ (.A(\u_wb_if.NextPreAddr[15] ),
-    .Y(_01309_),
+ sky130_fd_sc_hd__inv_2 _06303_ (.A(\u_wb_if.NextPreAddr[15] ),
+    .Y(_01311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06404_ (.A(\u_wb_if.spim_wb_addr[13] ),
-    .Y(_01310_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06405_ (.A1(_01309_),
-    .A2(\u_wb_if.spim_wb_addr[15] ),
-    .B1(\u_wb_if.NextPreAddr[13] ),
-    .B2(_01310_),
-    .X(_01311_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06406_ (.A(\u_wb_if.spim_wb_addr[21] ),
+ sky130_fd_sc_hd__inv_2 _06304_ (.A(\u_wb_if.spim_wb_addr[13] ),
     .Y(_01312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06407_ (.A(\u_wb_if.spim_wb_addr[30] ),
-    .Y(_01313_),
+ sky130_fd_sc_hd__o22a_4 _06305_ (.A1(_01311_),
+    .A2(\u_wb_if.spim_wb_addr[15] ),
+    .B1(\u_wb_if.NextPreAddr[13] ),
+    .B2(_01312_),
+    .X(_01313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06408_ (.A1(\u_wb_if.NextPreAddr[21] ),
-    .A2(_01312_),
-    .B1(\u_wb_if.NextPreAddr[30] ),
-    .B2(_01313_),
-    .X(_01314_),
+ sky130_fd_sc_hd__inv_2 _06306_ (.A(\u_wb_if.spim_wb_addr[21] ),
+    .Y(_01314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06409_ (.A(\u_wb_if.spim_wb_addr[1] ),
+ sky130_fd_sc_hd__inv_2 _06307_ (.A(\u_wb_if.spim_wb_addr[30] ),
     .Y(_01315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _06410_ (.A1(\u_wb_if.NextPreAddr[1] ),
-    .A2(_01315_),
-    .B1(\u_wb_if.NextPreAddr[13] ),
-    .B2(_01310_),
-    .Y(_01316_),
+ sky130_fd_sc_hd__o22a_4 _06308_ (.A1(\u_wb_if.NextPreAddr[21] ),
+    .A2(_01314_),
+    .B1(\u_wb_if.NextPreAddr[30] ),
+    .B2(_01315_),
+    .X(_01316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06411_ (.A(\u_wb_if.NextPreAddr[26] ),
+ sky130_fd_sc_hd__inv_2 _06309_ (.A(\u_wb_if.spim_wb_addr[1] ),
     .Y(_01317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06412_ (.A(\u_wb_if.NextPreAddr[8] ),
+ sky130_fd_sc_hd__a22oi_4 _06310_ (.A1(\u_wb_if.NextPreAddr[1] ),
+    .A2(_01317_),
+    .B1(\u_wb_if.NextPreAddr[13] ),
+    .B2(_01312_),
     .Y(_01318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06413_ (.A1(_01317_),
+ sky130_fd_sc_hd__inv_2 _06311_ (.A(\u_wb_if.NextPreAddr[26] ),
+    .Y(_01319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06312_ (.A(\u_wb_if.NextPreAddr[8] ),
+    .Y(_01320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _06313_ (.A1(_01319_),
     .A2(\u_wb_if.spim_wb_addr[26] ),
-    .B1(psn_net_70),
+    .B1(_01320_),
     .B2(\u_wb_if.spim_wb_addr[8] ),
-    .X(_01319_),
+    .X(_01321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06414_ (.A(_01311_),
-    .B(_01314_),
-    .C(_01316_),
-    .D(_01319_),
-    .X(_01320_),
+ sky130_fd_sc_hd__and4_4 _06314_ (.A(_01313_),
+    .B(_01316_),
+    .C(_01318_),
+    .D(_01321_),
+    .X(_01322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06415_ (.A(\u_wb_if.NextPreAddr[9] ),
-    .Y(_01321_),
+ sky130_fd_sc_hd__inv_2 _06315_ (.A(\u_wb_if.NextPreAddr[9] ),
+    .Y(_01323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06416_ (.A(\u_wb_if.NextPreAddr[11] ),
-    .Y(_01322_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06417_ (.A1(psn_net_31),
-    .A2(\u_wb_if.spim_wb_addr[9] ),
-    .B1(_01322_),
-    .B2(\u_wb_if.spim_wb_addr[11] ),
-    .X(_01323_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06418_ (.A(\u_wb_if.NextPreAddr[17] ),
+ sky130_fd_sc_hd__inv_2 _06316_ (.A(\u_wb_if.NextPreAddr[11] ),
     .Y(_01324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06419_ (.A1(\u_wb_if.NextPreAddr[0] ),
-    .A2(_01290_),
+ sky130_fd_sc_hd__o22a_4 _06317_ (.A1(_01323_),
+    .A2(\u_wb_if.spim_wb_addr[9] ),
     .B1(_01324_),
-    .B2(\u_wb_if.spim_wb_addr[17] ),
+    .B2(\u_wb_if.spim_wb_addr[11] ),
     .X(_01325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06420_ (.A(\u_wb_if.NextPreAddr[31] ),
+ sky130_fd_sc_hd__inv_2 _06318_ (.A(\u_wb_if.NextPreAddr[17] ),
     .Y(_01326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06421_ (.A(\u_wb_if.spim_wb_addr[15] ),
-    .Y(_01327_),
+ sky130_fd_sc_hd__o22a_4 _06319_ (.A1(\u_wb_if.NextPreAddr[0] ),
+    .A2(_01292_),
+    .B1(_01326_),
+    .B2(\u_wb_if.spim_wb_addr[17] ),
+    .X(_01327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06422_ (.A1(_01326_),
-    .A2(\u_wb_if.spim_wb_addr[31] ),
-    .B1(\u_wb_if.NextPreAddr[15] ),
-    .B2(_01327_),
-    .X(_01328_),
+ sky130_fd_sc_hd__inv_2 _06320_ (.A(\u_wb_if.NextPreAddr[31] ),
+    .Y(_01328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06423_ (.A(\u_wb_if.spim_wb_addr[28] ),
+ sky130_fd_sc_hd__inv_2 _06321_ (.A(\u_wb_if.spim_wb_addr[15] ),
     .Y(_01329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06424_ (.A(\u_wb_if.NextPreAddr[4] ),
-    .Y(_01330_),
+ sky130_fd_sc_hd__o22a_4 _06322_ (.A1(_01328_),
+    .A2(\u_wb_if.spim_wb_addr[31] ),
+    .B1(\u_wb_if.NextPreAddr[15] ),
+    .B2(_01329_),
+    .X(_01330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06425_ (.A1(\u_wb_if.NextPreAddr[28] ),
-    .A2(_01329_),
-    .B1(_01330_),
+ sky130_fd_sc_hd__inv_2 _06323_ (.A(\u_wb_if.spim_wb_addr[28] ),
+    .Y(_01331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06324_ (.A(\u_wb_if.NextPreAddr[4] ),
+    .Y(_01332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _06325_ (.A1(\u_wb_if.NextPreAddr[28] ),
+    .A2(_01331_),
+    .B1(_01332_),
     .B2(\u_spim_regs.spim_reg_addr[2] ),
-    .X(_01331_),
+    .X(_01333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06426_ (.A(_01323_),
-    .B(_01325_),
-    .C(_01328_),
-    .D(_01331_),
-    .X(_01332_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06427_ (.A(\u_spim_regs.spim_reg_addr[2] ),
-    .Y(_01333_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06428_ (.A(_01333_),
+ sky130_fd_sc_hd__and4_4 _06326_ (.A(_01325_),
+    .B(_01327_),
+    .C(_01330_),
+    .D(_01333_),
     .X(_01334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06429_ (.A1(\u_wb_if.NextPreAddr[4] ),
-    .A2(_01334_),
+ sky130_fd_sc_hd__inv_2 _06327_ (.A(\u_spim_regs.spim_reg_addr[2] ),
+    .Y(_01335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _06328_ (.A(_01335_),
+    .X(_01336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _06329_ (.A1(\u_wb_if.NextPreAddr[4] ),
+    .A2(_01336_),
     .B1(\u_wb_if.NextPreAddr[1] ),
-    .B2(_01315_),
-    .X(_01335_),
+    .B2(_01317_),
+    .X(_01337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06430_ (.A(\u_wb_if.NextPreAddr[10] ),
-    .Y(_01336_),
+ sky130_fd_sc_hd__inv_2 _06330_ (.A(\u_wb_if.NextPreAddr[10] ),
+    .Y(_01338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06431_ (.A(\u_wb_if.spim_wb_addr[27] ),
-    .Y(_01337_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06432_ (.A1(_01336_),
-    .A2(\u_wb_if.spim_wb_addr[10] ),
-    .B1(\u_wb_if.NextPreAddr[27] ),
-    .B2(_01337_),
-    .X(_01338_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06433_ (.A(\u_wb_if.NextPreAddr[5] ),
+ sky130_fd_sc_hd__inv_2 _06331_ (.A(\u_wb_if.spim_wb_addr[27] ),
     .Y(_01339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06434_ (.A(\u_spim_regs.spim_reg_addr[3] ),
+ sky130_fd_sc_hd__o22a_4 _06332_ (.A1(_01338_),
+    .A2(\u_wb_if.spim_wb_addr[10] ),
+    .B1(\u_wb_if.NextPreAddr[27] ),
+    .B2(_01339_),
     .X(_01340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06435_ (.A(\u_wb_if.spim_wb_addr[9] ),
+ sky130_fd_sc_hd__inv_2 _06333_ (.A(\u_wb_if.NextPreAddr[5] ),
     .Y(_01341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06436_ (.A1(_01339_),
-    .A2(_01340_),
-    .B1(psn_net_32),
-    .B2(_01341_),
+ sky130_fd_sc_hd__buf_2 _06334_ (.A(\u_spim_regs.spim_reg_addr[3] ),
     .X(_01342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06437_ (.A(\u_wb_if.spim_wb_addr[24] ),
+ sky130_fd_sc_hd__inv_2 _06335_ (.A(\u_wb_if.spim_wb_addr[9] ),
     .Y(_01343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06438_ (.A(\u_wb_if.NextPreAddr[29] ),
-    .Y(_01344_),
+ sky130_fd_sc_hd__o22a_4 _06336_ (.A1(_01341_),
+    .A2(_01342_),
+    .B1(\u_wb_if.NextPreAddr[9] ),
+    .B2(_01343_),
+    .X(_01344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06439_ (.A1(\u_wb_if.NextPreAddr[24] ),
-    .A2(_01343_),
-    .B1(_01344_),
+ sky130_fd_sc_hd__inv_2 _06337_ (.A(\u_wb_if.spim_wb_addr[24] ),
+    .Y(_01345_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06338_ (.A(\u_wb_if.NextPreAddr[29] ),
+    .Y(_01346_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _06339_ (.A1(\u_wb_if.NextPreAddr[24] ),
+    .A2(_01345_),
+    .B1(_01346_),
     .B2(\u_wb_if.spim_wb_addr[29] ),
-    .X(_01345_),
+    .X(_01347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06440_ (.A(_01335_),
-    .B(_01338_),
-    .C(_01342_),
-    .D(_01345_),
-    .X(_01346_),
+ sky130_fd_sc_hd__and4_4 _06340_ (.A(_01337_),
+    .B(_01340_),
+    .C(_01344_),
+    .D(_01347_),
+    .X(_01348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06441_ (.A(\u_wb_if.spim_wb_addr[11] ),
-    .Y(_01347_),
+ sky130_fd_sc_hd__inv_2 _06341_ (.A(\u_wb_if.spim_wb_addr[11] ),
+    .Y(_01349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06442_ (.A(\u_wb_if.spim_wb_addr[7] ),
-    .Y(_01348_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06443_ (.A1(\u_wb_if.NextPreAddr[11] ),
-    .A2(_01347_),
-    .B1(\u_wb_if.NextPreAddr[7] ),
-    .B2(_01348_),
-    .X(_01349_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06444_ (.A(\u_wb_if.NextPreAddr[7] ),
+ sky130_fd_sc_hd__inv_2 _06342_ (.A(\u_wb_if.spim_wb_addr[7] ),
     .Y(_01350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06445_ (.A(\u_wb_if.NextPreAddr[16] ),
-    .Y(_01351_),
+ sky130_fd_sc_hd__o22a_4 _06343_ (.A1(\u_wb_if.NextPreAddr[11] ),
+    .A2(_01349_),
+    .B1(\u_wb_if.NextPreAddr[7] ),
+    .B2(_01350_),
+    .X(_01351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06446_ (.A1(_01350_),
-    .A2(\u_wb_if.spim_wb_addr[7] ),
-    .B1(_01351_),
-    .B2(\u_wb_if.spim_wb_addr[16] ),
-    .X(_01352_),
+ sky130_fd_sc_hd__inv_2 _06344_ (.A(\u_wb_if.NextPreAddr[7] ),
+    .Y(_01352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06447_ (.A(\u_wb_if.spim_wb_addr[8] ),
+ sky130_fd_sc_hd__inv_2 _06345_ (.A(\u_wb_if.NextPreAddr[16] ),
     .Y(_01353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06448_ (.A(\u_wb_if.NextPreAddr[20] ),
-    .Y(_01354_),
+ sky130_fd_sc_hd__o22a_4 _06346_ (.A1(_01352_),
+    .A2(\u_wb_if.spim_wb_addr[7] ),
+    .B1(_01353_),
+    .B2(\u_wb_if.spim_wb_addr[16] ),
+    .X(_01354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06449_ (.A1(psn_net_73),
-    .A2(_01353_),
-    .B1(_01354_),
-    .B2(\u_wb_if.spim_wb_addr[20] ),
-    .X(_01355_),
+ sky130_fd_sc_hd__inv_2 _06347_ (.A(\u_wb_if.spim_wb_addr[8] ),
+    .Y(_01355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06450_ (.A(\u_wb_if.NextPreAddr[28] ),
+ sky130_fd_sc_hd__inv_2 _06348_ (.A(\u_wb_if.NextPreAddr[20] ),
     .Y(_01356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06451_ (.A(\u_wb_if.spim_wb_addr[17] ),
-    .Y(_01357_),
+ sky130_fd_sc_hd__o22a_4 _06349_ (.A1(\u_wb_if.NextPreAddr[8] ),
+    .A2(_01355_),
+    .B1(_01356_),
+    .B2(\u_wb_if.spim_wb_addr[20] ),
+    .X(_01357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06452_ (.A1(_01356_),
+ sky130_fd_sc_hd__inv_2 _06350_ (.A(\u_wb_if.NextPreAddr[28] ),
+    .Y(_01358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06351_ (.A(\u_wb_if.spim_wb_addr[17] ),
+    .Y(_01359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _06352_ (.A1(_01358_),
     .A2(\u_wb_if.spim_wb_addr[28] ),
-    .B1(\u_wb_if.NextPreAddr[17] ),
-    .B2(_01357_),
-    .X(_01358_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06453_ (.A(_01349_),
-    .B(_01352_),
-    .C(_01355_),
-    .D(_01358_),
-    .X(_01359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06454_ (.A(_01320_),
-    .B(_01332_),
-    .C(_01346_),
-    .D(_01359_),
+    .B1(psn_net_219),
+    .B2(_01359_),
     .X(_01360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06455_ (.A1_N(\u_wb_if.NextPreAddr[3] ),
-    .A2_N(\u_spim_regs.spim_reg_addr[1] ),
-    .B1(\u_wb_if.NextPreAddr[3] ),
-    .B2(\u_spim_regs.spim_reg_addr[1] ),
+ sky130_fd_sc_hd__and4_4 _06353_ (.A(_01351_),
+    .B(_01354_),
+    .C(_01357_),
+    .D(_01360_),
     .X(_01361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06456_ (.A(\u_wb_if.spim_wb_req ),
+ sky130_fd_sc_hd__and4_4 _06354_ (.A(_01322_),
+    .B(_01334_),
+    .C(_01348_),
+    .D(_01361_),
     .X(_01362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06457_ (.A(_01329_),
+ sky130_fd_sc_hd__a2bb2o_4 _06355_ (.A1_N(\u_wb_if.NextPreAddr[3] ),
+    .A2_N(\u_spim_regs.spim_reg_addr[1] ),
+    .B1(\u_wb_if.NextPreAddr[3] ),
+    .B2(\u_spim_regs.spim_reg_addr[1] ),
     .X(_01363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06458_ (.A(\u_wb_if.NextPreAddr[18] ),
-    .Y(_01364_),
+ sky130_fd_sc_hd__buf_2 _06356_ (.A(\u_wb_if.spim_wb_req ),
+    .X(_01364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06459_ (.A(\u_wb_if.spim_wb_addr[18] ),
-    .Y(_01365_),
+ sky130_fd_sc_hd__buf_2 _06357_ (.A(_01331_),
+    .X(_01365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06460_ (.A1(_01364_),
+ sky130_fd_sc_hd__inv_2 _06358_ (.A(\u_wb_if.NextPreAddr[18] ),
+    .Y(_01366_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06359_ (.A(\u_wb_if.spim_wb_addr[18] ),
+    .Y(_01367_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _06360_ (.A1(_01366_),
     .A2(\u_wb_if.spim_wb_addr[18] ),
     .B1(\u_wb_if.NextPreAddr[18] ),
-    .B2(_01365_),
-    .X(_01366_),
+    .B2(_01367_),
+    .X(_01368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06461_ (.A(_01362_),
-    .B(_01363_),
+ sky130_fd_sc_hd__and4_4 _06361_ (.A(_01364_),
+    .B(_01365_),
     .C(\u_wb_if.NextPreDVal ),
-    .D(_01366_),
-    .X(_01367_),
+    .D(_01368_),
+    .X(_01369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06462_ (.A(\u_wb_if.spim_wb_addr[12] ),
-    .Y(_01368_),
+ sky130_fd_sc_hd__inv_2 _06362_ (.A(\u_wb_if.spim_wb_addr[12] ),
+    .Y(_01370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06463_ (.A(\u_wb_if.NextPreAddr[14] ),
-    .Y(_01369_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06464_ (.A1(\u_wb_if.NextPreAddr[12] ),
-    .A2(_01368_),
-    .B1(_01369_),
-    .B2(\u_wb_if.spim_wb_addr[14] ),
-    .X(_01370_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06465_ (.A(\u_wb_if.spim_wb_addr[26] ),
+ sky130_fd_sc_hd__inv_2 _06363_ (.A(\u_wb_if.NextPreAddr[14] ),
     .Y(_01371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06466_ (.A(\u_wb_if.spim_wb_addr[22] ),
-    .Y(_01372_),
+ sky130_fd_sc_hd__o22a_4 _06364_ (.A1(\u_wb_if.NextPreAddr[12] ),
+    .A2(_01370_),
+    .B1(_01371_),
+    .B2(\u_wb_if.spim_wb_addr[14] ),
+    .X(_01372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06467_ (.A1(\u_wb_if.NextPreAddr[26] ),
-    .A2(_01371_),
-    .B1(\u_wb_if.NextPreAddr[22] ),
-    .B2(_01372_),
-    .X(_01373_),
+ sky130_fd_sc_hd__inv_2 _06365_ (.A(\u_wb_if.spim_wb_addr[26] ),
+    .Y(_01373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06468_ (.A(\u_wb_if.NextPreAddr[22] ),
+ sky130_fd_sc_hd__inv_2 _06366_ (.A(\u_wb_if.spim_wb_addr[22] ),
     .Y(_01374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06469_ (.A(\u_wb_if.NextPreAddr[12] ),
-    .Y(_01375_),
+ sky130_fd_sc_hd__o22a_4 _06367_ (.A1(\u_wb_if.NextPreAddr[26] ),
+    .A2(_01373_),
+    .B1(\u_wb_if.NextPreAddr[22] ),
+    .B2(_01374_),
+    .X(_01375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06470_ (.A1(_01374_),
-    .A2(\u_wb_if.spim_wb_addr[22] ),
-    .B1(_01375_),
-    .B2(\u_wb_if.spim_wb_addr[12] ),
-    .X(_01376_),
+ sky130_fd_sc_hd__inv_2 _06368_ (.A(\u_wb_if.NextPreAddr[22] ),
+    .Y(_01376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06471_ (.A(\u_wb_if.NextPreAddr[2] ),
+ sky130_fd_sc_hd__inv_2 _06369_ (.A(\u_wb_if.NextPreAddr[12] ),
     .Y(_01377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06472_ (.A(\u_spim_regs.spim_reg_addr[0] ),
+ sky130_fd_sc_hd__o22a_4 _06370_ (.A1(_01376_),
+    .A2(\u_wb_if.spim_wb_addr[22] ),
+    .B1(_01377_),
+    .B2(\u_wb_if.spim_wb_addr[12] ),
     .X(_01378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06473_ (.A(\u_wb_if.spim_wb_addr[29] ),
+ sky130_fd_sc_hd__inv_2 _06371_ (.A(\u_wb_if.NextPreAddr[2] ),
     .Y(_01379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06474_ (.A1(_01377_),
-    .A2(_01378_),
-    .B1(\u_wb_if.NextPreAddr[29] ),
-    .B2(_01379_),
+ sky130_fd_sc_hd__buf_2 _06372_ (.A(\u_spim_regs.spim_reg_addr[0] ),
     .X(_01380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06475_ (.A(_01370_),
-    .B(_01373_),
-    .C(_01376_),
-    .D(_01380_),
-    .X(_01381_),
+ sky130_fd_sc_hd__inv_2 _06373_ (.A(\u_wb_if.spim_wb_addr[29] ),
+    .Y(_01381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06476_ (.A(\u_wb_if.NextPreAddr[25] ),
-    .Y(_01382_),
+ sky130_fd_sc_hd__o22a_4 _06374_ (.A1(_01379_),
+    .A2(_01380_),
+    .B1(\u_wb_if.NextPreAddr[29] ),
+    .B2(_01381_),
+    .X(_01382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06477_ (.A(\u_wb_if.NextPreAddr[30] ),
-    .Y(_01383_),
+ sky130_fd_sc_hd__and4_4 _06375_ (.A(_01372_),
+    .B(_01375_),
+    .C(_01378_),
+    .D(_01382_),
+    .X(_01383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06478_ (.A1(_01382_),
-    .A2(\u_wb_if.spim_wb_addr[25] ),
-    .B1(_01383_),
-    .B2(\u_wb_if.spim_wb_addr[30] ),
-    .X(_01384_),
+ sky130_fd_sc_hd__inv_2 _06376_ (.A(\u_wb_if.NextPreAddr[25] ),
+    .Y(_01384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06479_ (.A(\u_wb_if.NextPreAddr[27] ),
+ sky130_fd_sc_hd__inv_2 _06377_ (.A(\u_wb_if.NextPreAddr[30] ),
     .Y(_01385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06480_ (.A(\u_wb_if.NextPreAddr[23] ),
-    .Y(_01386_),
+ sky130_fd_sc_hd__o22a_4 _06378_ (.A1(_01384_),
+    .A2(\u_wb_if.spim_wb_addr[25] ),
+    .B1(_01385_),
+    .B2(\u_wb_if.spim_wb_addr[30] ),
+    .X(_01386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06481_ (.A1(_01385_),
-    .A2(\u_wb_if.spim_wb_addr[27] ),
-    .B1(_01386_),
-    .B2(\u_wb_if.spim_wb_addr[23] ),
-    .X(_01387_),
+ sky130_fd_sc_hd__inv_2 _06379_ (.A(\u_wb_if.NextPreAddr[27] ),
+    .Y(_01387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06482_ (.A(\u_wb_if.spim_wb_addr[23] ),
+ sky130_fd_sc_hd__inv_2 _06380_ (.A(\u_wb_if.NextPreAddr[23] ),
     .Y(_01388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06483_ (.A(\u_wb_if.spim_wb_addr[14] ),
-    .Y(_01389_),
+ sky130_fd_sc_hd__o22a_4 _06381_ (.A1(_01387_),
+    .A2(\u_wb_if.spim_wb_addr[27] ),
+    .B1(_01388_),
+    .B2(\u_wb_if.spim_wb_addr[23] ),
+    .X(_01389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06484_ (.A1(\u_wb_if.NextPreAddr[23] ),
-    .A2(_01388_),
-    .B1(\u_wb_if.NextPreAddr[14] ),
-    .B2(_01389_),
-    .X(_01390_),
+ sky130_fd_sc_hd__inv_2 _06382_ (.A(\u_wb_if.spim_wb_addr[23] ),
+    .Y(_01390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06485_ (.A(_01340_),
+ sky130_fd_sc_hd__inv_2 _06383_ (.A(\u_wb_if.spim_wb_addr[14] ),
     .Y(_01391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06486_ (.A(\u_wb_if.spim_wb_addr[20] ),
-    .Y(_01392_),
+ sky130_fd_sc_hd__o22a_4 _06384_ (.A1(\u_wb_if.NextPreAddr[23] ),
+    .A2(_01390_),
+    .B1(\u_wb_if.NextPreAddr[14] ),
+    .B2(_01391_),
+    .X(_01392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06487_ (.A1(\u_wb_if.NextPreAddr[5] ),
-    .A2(_01391_),
+ sky130_fd_sc_hd__inv_2 _06385_ (.A(_01342_),
+    .Y(_01393_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06386_ (.A(\u_wb_if.spim_wb_addr[20] ),
+    .Y(_01394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _06387_ (.A1(\u_wb_if.NextPreAddr[5] ),
+    .A2(_01393_),
     .B1(\u_wb_if.NextPreAddr[20] ),
-    .B2(_01392_),
-    .X(_01393_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06488_ (.A(_01384_),
-    .B(_01387_),
-    .C(_01390_),
-    .D(_01393_),
-    .X(_01394_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06489_ (.A(_01361_),
-    .B(_01367_),
-    .C(_01381_),
-    .D(_01394_),
+    .B2(_01394_),
     .X(_01395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06490_ (.A(_01296_),
-    .B(_01308_),
-    .C(_01360_),
+ sky130_fd_sc_hd__and4_4 _06388_ (.A(_01386_),
+    .B(_01389_),
+    .C(_01392_),
     .D(_01395_),
     .X(_01396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06491_ (.A(spi_debug[14]),
-    .B(spi_debug[13]),
-    .C(_01396_),
+ sky130_fd_sc_hd__and4_4 _06389_ (.A(_01363_),
+    .B(_01369_),
+    .C(_01383_),
+    .D(_01396_),
     .X(_01397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06492_ (.A(_01281_),
-    .B(_01282_),
-    .C(_01289_),
+ sky130_fd_sc_hd__and4_4 _06390_ (.A(_01298_),
+    .B(_01310_),
+    .C(_01362_),
     .D(_01397_),
     .X(_01398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06493_ (.A(_01280_),
-    .B(_01398_),
+ sky130_fd_sc_hd__or3_4 _06391_ (.A(spi_debug[14]),
+    .B(spi_debug[13]),
+    .C(_01398_),
     .X(_01399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06494_ (.A(_01399_),
+ sky130_fd_sc_hd__or4_4 _06392_ (.A(_01283_),
+    .B(_01284_),
+    .C(_01291_),
+    .D(_01399_),
     .X(_01400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06495_ (.A(_01400_),
+ sky130_fd_sc_hd__and2_4 _06393_ (.A(_01281_),
+    .B(_01400_),
     .X(_01401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06496_ (.A(_01401_),
+ sky130_fd_sc_hd__buf_2 _06394_ (.A(_01401_),
     .X(_01402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06497_ (.A(_01399_),
-    .Y(_01403_),
+ sky130_fd_sc_hd__buf_2 _06395_ (.A(_01402_),
+    .X(_01403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06498_ (.A(_01403_),
+ sky130_fd_sc_hd__buf_2 _06396_ (.A(_01403_),
     .X(_01404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06499_ (.A(_01404_),
-    .X(_01405_),
+ sky130_fd_sc_hd__inv_2 _06397_ (.A(_01401_),
+    .Y(_01405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06500_ (.A(spi_debug[14]),
-    .Y(_01406_),
+ sky130_fd_sc_hd__buf_2 _06398_ (.A(_01405_),
+    .X(_01406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06501_ (.A(\u_m0_res_fifo.wr_ptr[2] ),
-    .Y(_01407_),
+ sky130_fd_sc_hd__buf_2 _06399_ (.A(_01406_),
+    .X(_01407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06502_ (.A(_01407_),
-    .B(\u_m0_res_fifo.rd_ptr[2] ),
-    .X(_01408_),
+ sky130_fd_sc_hd__inv_2 _06400_ (.A(spi_debug[14]),
+    .Y(_01408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06503_ (.A(\u_m0_res_fifo.wr_ptr[3] ),
+ sky130_fd_sc_hd__inv_2 _06401_ (.A(\u_m0_res_fifo.wr_ptr[2] ),
     .Y(_01409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06504_ (.A(\u_m0_res_fifo.rd_ptr[3] ),
-    .Y(_01410_),
+ sky130_fd_sc_hd__and2_4 _06402_ (.A(_01409_),
+    .B(\u_m0_res_fifo.rd_ptr[2] ),
+    .X(_01410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06505_ (.A1(_01409_),
+ sky130_fd_sc_hd__inv_2 _06403_ (.A(\u_m0_res_fifo.wr_ptr[3] ),
+    .Y(_01411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06404_ (.A(\u_m0_res_fifo.rd_ptr[3] ),
+    .Y(_01412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _06405_ (.A1(_01411_),
     .A2(\u_m0_res_fifo.rd_ptr[3] ),
-    .B1(\u_m0_res_fifo.wr_ptr[3] ),
-    .B2(_01410_),
-    .X(_01411_),
+    .B1(_01412_),
+    .B2(\u_m0_res_fifo.wr_ptr[3] ),
+    .X(_01413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06506_ (.A1_N(_01408_),
-    .A2_N(_01411_),
-    .B1(_01408_),
-    .B2(_01411_),
-    .X(_01412_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06507_ (.A(\u_m0_res_fifo.wr_ptr[0] ),
-    .Y(_01413_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06508_ (.A(\u_m0_res_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__a2bb2o_4 _06406_ (.A1_N(_01413_),
+    .A2_N(_01410_),
+    .B1(_01410_),
+    .B2(_01413_),
     .X(_01414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06509_ (.A(_01413_),
-    .B(_01414_),
-    .X(_01415_),
+ sky130_fd_sc_hd__inv_2 _06407_ (.A(\u_m0_res_fifo.wr_ptr[0] ),
+    .Y(_01415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06510_ (.A(\u_m0_res_fifo.wr_ptr[1] ),
-    .Y(_01416_),
+ sky130_fd_sc_hd__buf_2 _06408_ (.A(\u_m0_res_fifo.rd_ptr[0] ),
+    .X(_01416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06511_ (.A(\u_m0_res_fifo.rd_ptr[2] ),
-    .Y(_01417_),
+ sky130_fd_sc_hd__and2_4 _06409_ (.A(_01415_),
+    .B(_01416_),
+    .X(_01417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06512_ (.A1_N(_01416_),
-    .A2_N(\u_m0_res_fifo.rd_ptr[1] ),
-    .B1(\u_m0_res_fifo.wr_ptr[2] ),
-    .B2(_01417_),
-    .X(_01418_),
+ sky130_fd_sc_hd__inv_2 _06410_ (.A(\u_m0_res_fifo.wr_ptr[1] ),
+    .Y(_01418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06513_ (.A(\u_m0_res_fifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__inv_2 _06411_ (.A(\u_m0_res_fifo.rd_ptr[2] ),
     .Y(_01419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06514_ (.A(\u_m0_res_fifo.rd_ptr[0] ),
-    .Y(_01420_),
+ sky130_fd_sc_hd__a2bb2o_4 _06412_ (.A1_N(_01418_),
+    .A2_N(\u_m0_res_fifo.rd_ptr[1] ),
+    .B1(\u_m0_res_fifo.wr_ptr[2] ),
+    .B2(_01419_),
+    .X(_01420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06515_ (.A1_N(\u_m0_res_fifo.wr_ptr[1] ),
-    .A2_N(_01419_),
+ sky130_fd_sc_hd__inv_2 _06413_ (.A(\u_m0_res_fifo.rd_ptr[1] ),
+    .Y(_01421_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06414_ (.A(\u_m0_res_fifo.rd_ptr[0] ),
+    .Y(_01422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _06415_ (.A1_N(\u_m0_res_fifo.wr_ptr[1] ),
+    .A2_N(_01421_),
     .B1(\u_m0_res_fifo.wr_ptr[0] ),
-    .B2(_01420_),
-    .X(_01421_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06516_ (.A(_01408_),
-    .B(_01415_),
-    .C(_01418_),
-    .D(_01421_),
-    .X(_01422_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06517_ (.A(_01412_),
-    .B(_01422_),
+    .B2(_01422_),
     .X(_01423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06518_ (.A(_01423_),
-    .Y(\u_m0_res_fifo.empty ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06519_ (.A(_01279_),
-    .B(\u_m0_res_fifo.empty ),
+ sky130_fd_sc_hd__or4_4 _06416_ (.A(_01410_),
+    .B(_01417_),
+    .C(_01420_),
+    .D(_01423_),
     .X(_01424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06520_ (.A(_01406_),
-    .B(_01424_),
+ sky130_fd_sc_hd__or2_4 _06417_ (.A(_01424_),
+    .B(_01414_),
     .X(_01425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06521_ (.A(_01425_),
-    .Y(_01426_),
+ sky130_fd_sc_hd__inv_4 _06418_ (.A(_01425_),
+    .Y(\u_m0_res_fifo.empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06522_ (.A(\u_wb_if.NextPreDCnt[6] ),
-    .B(\u_wb_if.NextPreDCnt[5] ),
+ sky130_fd_sc_hd__or2_4 _06419_ (.A(_01280_),
+    .B(\u_m0_res_fifo.empty ),
+    .X(_01426_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _06420_ (.A(_01408_),
+    .B(_01426_),
     .X(_01427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06523_ (.A(\u_wb_if.NextPreDCnt[2] ),
+ sky130_fd_sc_hd__inv_2 _06421_ (.A(_01427_),
     .Y(_01428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06524_ (.A(_01428_),
-    .B(\u_wb_if.NextPreDCnt[1] ),
-    .C(\u_wb_if.NextPreDCnt[0] ),
-    .D(\u_wb_if.NextPreDCnt[7] ),
+ sky130_fd_sc_hd__or2_4 _06422_ (.A(\u_wb_if.NextPreDCnt[6] ),
+    .B(\u_wb_if.NextPreDCnt[5] ),
     .X(_01429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06525_ (.A(\u_wb_if.NextPreDCnt[4] ),
-    .B(\u_wb_if.NextPreDCnt[3] ),
-    .C(_01427_),
-    .D(_01429_),
-    .X(_01430_),
+ sky130_fd_sc_hd__inv_2 _06423_ (.A(\u_wb_if.NextPreDCnt[2] ),
+    .Y(_01430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06526_ (.A(_01426_),
-    .B(_01430_),
+ sky130_fd_sc_hd__or4_4 _06424_ (.A(_01430_),
+    .B(\u_wb_if.NextPreDCnt[1] ),
+    .C(\u_wb_if.NextPreDCnt[0] ),
+    .D(\u_wb_if.NextPreDCnt[7] ),
     .X(_01431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06527_ (.A(_01431_),
-    .Y(_01432_),
+ sky130_fd_sc_hd__or4_4 _06425_ (.A(\u_wb_if.NextPreDCnt[4] ),
+    .B(\u_wb_if.NextPreDCnt[3] ),
+    .C(_01429_),
+    .D(_01431_),
+    .X(_01432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06528_ (.A(_01432_),
+ sky130_fd_sc_hd__and2_4 _06426_ (.A(_01428_),
+    .B(_01432_),
     .X(_01433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06529_ (.A(\u_wb_if.NextPreDCnt[2] ),
-    .B(_01433_),
-    .X(_01434_),
+ sky130_fd_sc_hd__inv_2 _06427_ (.A(_01433_),
+    .Y(_01434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06530_ (.A(\u_wb_if.NextPreDCnt[3] ),
-    .B(_01434_),
+ sky130_fd_sc_hd__or4_4 _06428_ (.A(\u_wb_if.NextPreDCnt[3] ),
+    .B(\u_wb_if.NextPreDCnt[2] ),
+    .C(\u_wb_if.NextPreDCnt[4] ),
+    .D(psn_net_123),
     .X(_01435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06531_ (.A(\u_wb_if.NextPreDCnt[4] ),
-    .B(_01435_),
+ sky130_fd_sc_hd__buf_2 _06429_ (.A(_01435_),
     .X(_01436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06532_ (.A(\u_wb_if.NextPreDCnt[5] ),
+ sky130_fd_sc_hd__or2_4 _06430_ (.A(\u_wb_if.NextPreDCnt[5] ),
     .B(_01436_),
     .X(_01437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06533_ (.A(\u_wb_if.NextPreDCnt[6] ),
-    .B(_01437_),
+ sky130_fd_sc_hd__nor2_4 _06431_ (.A(_01429_),
+    .B(_01436_),
     .Y(_01438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _06534_ (.A1(\u_wb_if.NextPreDCnt[6] ),
+ sky130_fd_sc_hd__a21oi_4 _06432_ (.A1(\u_wb_if.NextPreDCnt[6] ),
     .A2(_01437_),
     .B1(_01438_),
     .Y(_01439_),
@@ -1188,252 +1182,254 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06535_ (.A1(_01278_),
-    .A2(_01402_),
-    .B1(_01405_),
+ sky130_fd_sc_hd__o22a_4 _06433_ (.A1(_01279_),
+    .A2(_01404_),
+    .B1(_01407_),
     .B2(_01439_),
     .X(_01440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06536_ (.A(_01440_),
-    .Y(_01276_),
+ sky130_fd_sc_hd__inv_2 _06434_ (.A(_01440_),
+    .Y(_01277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06537_ (.A(\u_spim_regs.cfg_m0_data_cnt[5] ),
+ sky130_fd_sc_hd__inv_2 _06435_ (.A(\u_spim_regs.cfg_m0_data_cnt[5] ),
     .Y(_01441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06538_ (.A(_01403_),
-    .X(_01442_),
+ sky130_fd_sc_hd__a21boi_4 _06436_ (.A1(\u_wb_if.NextPreDCnt[5] ),
+    .A2(_01436_),
+    .B1_N(_01437_),
+    .Y(_01442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06539_ (.A(_01442_),
+ sky130_fd_sc_hd__o22a_4 _06437_ (.A1(_01441_),
+    .A2(_01404_),
+    .B1(_01407_),
+    .B2(_01442_),
     .X(_01443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21boi_4 _06540_ (.A1(\u_wb_if.NextPreDCnt[5] ),
-    .A2(_01436_),
-    .B1_N(_01437_),
+ sky130_fd_sc_hd__inv_2 _06438_ (.A(_01443_),
+    .Y(_01276_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06439_ (.A(\u_spim_regs.cfg_m0_data_cnt[4] ),
     .Y(_01444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06541_ (.A1(_01441_),
-    .A2(_01402_),
-    .B1(_01443_),
-    .B2(_01444_),
+ sky130_fd_sc_hd__buf_2 _06440_ (.A(_01405_),
     .X(_01445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06542_ (.A(_01445_),
-    .Y(_01275_),
+ sky130_fd_sc_hd__buf_2 _06441_ (.A(_01427_),
+    .X(_01446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06543_ (.A(\u_spim_regs.cfg_m0_data_cnt[4] ),
-    .Y(_01446_),
+ sky130_fd_sc_hd__or2_4 _06442_ (.A(\u_wb_if.NextPreDCnt[2] ),
+    .B(_01446_),
+    .X(_01447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21boi_4 _06544_ (.A1(\u_wb_if.NextPreDCnt[4] ),
-    .A2(_01435_),
-    .B1_N(_01436_),
-    .Y(_01447_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06545_ (.A1(_01446_),
-    .A2(_01402_),
-    .B1(_01443_),
-    .B2(_01447_),
+ sky130_fd_sc_hd__or2_4 _06443_ (.A(\u_wb_if.NextPreDCnt[3] ),
+    .B(_01447_),
     .X(_01448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06546_ (.A(_01448_),
-    .Y(_01274_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _06547_ (.A(\u_wb_if.NextPreDCnt[3] ),
-    .B(_01434_),
+ sky130_fd_sc_hd__a21boi_4 _06444_ (.A1(\u_wb_if.NextPreDCnt[4] ),
+    .A2(_01448_),
+    .B1_N(_01436_),
     .Y(_01449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06548_ (.A(_01400_),
+ sky130_fd_sc_hd__o22a_4 _06445_ (.A1(_01444_),
+    .A2(_01404_),
+    .B1(_01445_),
+    .B2(_01449_),
     .X(_01450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06549_ (.A(\u_spim_regs.cfg_m0_data_cnt[3] ),
+ sky130_fd_sc_hd__inv_2 _06446_ (.A(_01450_),
+    .Y(_01275_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _06447_ (.A(\u_wb_if.NextPreDCnt[3] ),
+    .B(_01447_),
     .Y(_01451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _06550_ (.A1(_01435_),
-    .A2(_01449_),
-    .A3(_01450_),
-    .B1(_01451_),
-    .B2(_01443_),
-    .X(_01452_),
+ sky130_fd_sc_hd__inv_2 _06448_ (.A(\u_spim_regs.cfg_m0_data_cnt[3] ),
+    .Y(_01452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06551_ (.A(_01452_),
-    .Y(_01273_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06552_ (.A(_01403_),
-    .B(_01431_),
+ sky130_fd_sc_hd__a32o_4 _06449_ (.A1(_01448_),
+    .A2(_01451_),
+    .A3(_01404_),
+    .B1(_01452_),
+    .B2(_01445_),
     .X(_01453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06553_ (.A(_01453_),
-    .Y(_01454_),
+ sky130_fd_sc_hd__inv_2 _06450_ (.A(_01453_),
+    .Y(_01274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06554_ (.A(_01454_),
+ sky130_fd_sc_hd__buf_2 _06451_ (.A(psn_net_124),
+    .X(_01454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _06452_ (.A(_01402_),
+    .B(_01454_),
     .X(_01455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06555_ (.A(_01442_),
+ sky130_fd_sc_hd__buf_2 _06453_ (.A(_01455_),
     .X(_01456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06556_ (.A(\u_spim_regs.cfg_m0_data_cnt[2] ),
-    .B(_01456_),
+ sky130_fd_sc_hd__buf_2 _06454_ (.A(_01456_),
     .X(_01457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06557_ (.A(_01434_),
+ sky130_fd_sc_hd__inv_2 _06455_ (.A(_01447_),
     .Y(_01458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06558_ (.A1(\u_wb_if.NextPreDCnt[2] ),
-    .A2(_01455_),
-    .B1(_01457_),
-    .C1(_01458_),
-    .X(_01272_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06559_ (.A(_01456_),
+ sky130_fd_sc_hd__and2_4 _06456_ (.A(\u_spim_regs.cfg_m0_data_cnt[2] ),
+    .B(_01445_),
     .X(_01459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _06560_ (.A1(_01425_),
-    .A2(_01430_),
-    .B1(\u_wb_if.NextPreDVal ),
+ sky130_fd_sc_hd__a211o_4 _06457_ (.A1(\u_wb_if.NextPreDCnt[2] ),
+    .A2(_01457_),
+    .B1(_01458_),
+    .C1(_01459_),
+    .X(_01273_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _06458_ (.A(_01405_),
     .X(_01460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06561_ (.A(_01459_),
-    .B(_01460_),
-    .X(_01271_),
+ sky130_fd_sc_hd__buf_2 _06459_ (.A(_01460_),
+    .X(_01461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06562_ (.A(\u_spim_regs.spim_reg_rdata[31] ),
-    .Y(_01461_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06563_ (.A(\u_spim_regs.spi_init_done ),
+ sky130_fd_sc_hd__o21a_4 _06460_ (.A1(_01446_),
+    .A2(_01432_),
+    .B1(\u_wb_if.NextPreDVal ),
     .X(_01462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06564_ (.A(_01462_),
+ sky130_fd_sc_hd__or2_4 _06461_ (.A(_01461_),
+    .B(_01462_),
+    .X(_01272_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06462_ (.A(\u_spim_regs.spim_reg_rdata[31] ),
     .Y(_01463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06565_ (.A(_01281_),
-    .X(_01464_),
+ sky130_fd_sc_hd__inv_2 _06463_ (.A(\u_spim_regs.spi_init_done ),
+    .Y(_01464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06566_ (.A(_01363_),
+ sky130_fd_sc_hd__buf_2 _06464_ (.A(_01365_),
     .X(_01465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06567_ (.A(\u_spim_regs.spim_reg_addr[1] ),
+ sky130_fd_sc_hd__inv_2 _06465_ (.A(\u_spim_regs.spim_reg_addr[1] ),
     .Y(_01466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06568_ (.A(_01466_),
+ sky130_fd_sc_hd__buf_2 _06466_ (.A(_01466_),
     .X(_01467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06569_ (.A(\u_spim_regs.spim_reg_addr[3] ),
-    .B(_01333_),
+ sky130_fd_sc_hd__or4_4 _06467_ (.A(\u_spim_regs.spim_reg_addr[3] ),
+    .B(_01335_),
     .C(_01467_),
-    .D(_01293_),
+    .D(_01295_),
     .X(_01468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06570_ (.A(_01468_),
+ sky130_fd_sc_hd__inv_2 _06468_ (.A(_01468_),
     .Y(_01469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06571_ (.A(_01464_),
+ sky130_fd_sc_hd__or4_4 _06469_ (.A(_01283_),
     .B(_01465_),
     .C(\u_spim_regs.spim_reg_we ),
     .D(_01469_),
@@ -1442,2985 +1438,2989 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06572_ (.A(spi_debug[10]),
-    .X(_01471_),
+ sky130_fd_sc_hd__inv_2 _06470_ (.A(spi_debug[10]),
+    .Y(_01471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06573_ (.A(_01471_),
-    .Y(_01472_),
+ sky130_fd_sc_hd__buf_2 _06471_ (.A(_01471_),
+    .X(_01472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06574_ (.A(_01472_),
+ sky130_fd_sc_hd__buf_2 _06472_ (.A(_01472_),
     .X(_01473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06575_ (.A(_01473_),
-    .X(_01474_),
+ sky130_fd_sc_hd__inv_2 _06473_ (.A(spi_debug[9]),
+    .Y(_01474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06576_ (.A(spi_debug[9]),
-    .Y(_01475_),
+ sky130_fd_sc_hd__buf_2 _06474_ (.A(_01474_),
+    .X(_01475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06577_ (.A(_01475_),
+ sky130_fd_sc_hd__buf_2 _06475_ (.A(_01475_),
     .X(_01476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06578_ (.A(_01476_),
+ sky130_fd_sc_hd__buf_2 _06476_ (.A(spi_debug[11]),
     .X(_01477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06579_ (.A(spi_debug[11]),
+ sky130_fd_sc_hd__buf_2 _06477_ (.A(_01477_),
     .X(_01478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06580_ (.A(_01478_),
+ sky130_fd_sc_hd__buf_2 _06478_ (.A(_01478_),
     .X(_01479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06581_ (.A(_01479_),
-    .X(_01480_),
+ sky130_fd_sc_hd__inv_2 _06479_ (.A(\u_m1_res_fifo.wr_ptr[2] ),
+    .Y(_01480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06582_ (.A(\u_m1_res_fifo.wr_ptr[2] ),
-    .Y(_01481_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06583_ (.A(_01481_),
+ sky130_fd_sc_hd__and2_4 _06480_ (.A(_01480_),
     .B(\u_m1_res_fifo.rd_ptr[2] ),
-    .X(_01482_),
+    .X(_01481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06584_ (.A(\u_m1_res_fifo.wr_ptr[3] ),
+ sky130_fd_sc_hd__inv_2 _06481_ (.A(\u_m1_res_fifo.wr_ptr[3] ),
+    .Y(_01482_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06482_ (.A(\u_m1_res_fifo.rd_ptr[3] ),
     .Y(_01483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06585_ (.A(\u_m1_res_fifo.rd_ptr[3] ),
-    .Y(_01484_),
+ sky130_fd_sc_hd__o22a_4 _06483_ (.A1(_01482_),
+    .A2(\u_m1_res_fifo.rd_ptr[3] ),
+    .B1(\u_m1_res_fifo.wr_ptr[3] ),
+    .B2(_01483_),
+    .X(_01484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06586_ (.A1(_01483_),
-    .A2(\u_m1_res_fifo.rd_ptr[3] ),
-    .B1(\u_m1_res_fifo.wr_ptr[3] ),
+ sky130_fd_sc_hd__a2bb2o_4 _06484_ (.A1_N(_01481_),
+    .A2_N(_01484_),
+    .B1(_01481_),
     .B2(_01484_),
     .X(_01485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06587_ (.A1_N(_01482_),
-    .A2_N(_01485_),
-    .B1(_01482_),
-    .B2(_01485_),
-    .X(_01486_),
+ sky130_fd_sc_hd__inv_2 _06485_ (.A(\u_m1_res_fifo.wr_ptr[0] ),
+    .Y(_01486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06588_ (.A(\u_m1_res_fifo.wr_ptr[0] ),
-    .Y(_01487_),
+ sky130_fd_sc_hd__buf_2 _06486_ (.A(\u_m1_res_fifo.rd_ptr[0] ),
+    .X(_01487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06589_ (.A(\u_m1_res_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__and2_4 _06487_ (.A(_01486_),
+    .B(_01487_),
     .X(_01488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06590_ (.A(_01487_),
-    .B(_01488_),
-    .X(_01489_),
+ sky130_fd_sc_hd__inv_2 _06488_ (.A(\u_m1_res_fifo.wr_ptr[1] ),
+    .Y(_01489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06591_ (.A(\u_m1_res_fifo.wr_ptr[1] ),
+ sky130_fd_sc_hd__inv_2 _06489_ (.A(\u_m1_res_fifo.rd_ptr[2] ),
     .Y(_01490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06592_ (.A(\u_m1_res_fifo.rd_ptr[2] ),
-    .Y(_01491_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06593_ (.A1_N(_01490_),
+ sky130_fd_sc_hd__a2bb2o_4 _06490_ (.A1_N(_01489_),
     .A2_N(\u_m1_res_fifo.rd_ptr[1] ),
     .B1(\u_m1_res_fifo.wr_ptr[2] ),
-    .B2(_01491_),
-    .X(_01492_),
+    .B2(_01490_),
+    .X(_01491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06594_ (.A(\u_m1_res_fifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__inv_2 _06491_ (.A(\u_m1_res_fifo.rd_ptr[1] ),
+    .Y(_01492_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06492_ (.A(\u_m1_res_fifo.rd_ptr[0] ),
     .Y(_01493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06595_ (.A(\u_m1_res_fifo.rd_ptr[0] ),
-    .Y(_01494_),
+ sky130_fd_sc_hd__a2bb2o_4 _06493_ (.A1_N(\u_m1_res_fifo.wr_ptr[1] ),
+    .A2_N(_01492_),
+    .B1(\u_m1_res_fifo.wr_ptr[0] ),
+    .B2(_01493_),
+    .X(_01494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06596_ (.A1_N(\u_m1_res_fifo.wr_ptr[1] ),
-    .A2_N(_01493_),
-    .B1(\u_m1_res_fifo.wr_ptr[0] ),
-    .B2(_01494_),
+ sky130_fd_sc_hd__or4_4 _06494_ (.A(_01481_),
+    .B(_01488_),
+    .C(_01491_),
+    .D(_01494_),
     .X(_01495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06597_ (.A(_01482_),
-    .B(_01489_),
-    .C(_01492_),
-    .D(_01495_),
+ sky130_fd_sc_hd__or2_4 _06495_ (.A(_01485_),
+    .B(_01495_),
     .X(_01496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06598_ (.A(_01486_),
-    .B(_01496_),
-    .X(_01497_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06599_ (.A(_01497_),
+ sky130_fd_sc_hd__inv_2 _06496_ (.A(_01496_),
     .Y(\u_m1_res_fifo.empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06600_ (.A(_01281_),
-    .B(_01329_),
+ sky130_fd_sc_hd__or4_4 _06497_ (.A(_01282_),
+    .B(_01331_),
     .C(\u_spim_regs.spim_reg_we ),
     .D(_01468_),
+    .X(_01497_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _06498_ (.A(\u_m1_res_fifo.empty ),
+    .B(_01497_),
     .X(_01498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06601_ (.A(\u_m1_res_fifo.empty ),
-    .B(_01498_),
+ sky130_fd_sc_hd__or4_4 _06499_ (.A(_01473_),
+    .B(_01476_),
+    .C(_01479_),
+    .D(_01498_),
     .X(_01499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06602_ (.A(_01474_),
-    .B(_01477_),
-    .C(_01480_),
-    .D(_01499_),
+ sky130_fd_sc_hd__and2_4 _06500_ (.A(_01470_),
+    .B(_01499_),
     .X(_01500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06603_ (.A(_01470_),
-    .B(_01500_),
+ sky130_fd_sc_hd__or3_4 _06501_ (.A(\u_spim_regs.spim_reg_ack ),
+    .B(_01464_),
+    .C(_01500_),
     .X(_01501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06604_ (.A(\u_spim_regs.spim_reg_ack ),
-    .B(_01463_),
-    .C(_01501_),
-    .X(_01502_),
+ sky130_fd_sc_hd__inv_2 _06502_ (.A(_01501_),
+    .Y(_01502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06605_ (.A(_01502_),
-    .Y(_01503_),
+ sky130_fd_sc_hd__buf_2 _06503_ (.A(_01502_),
+    .X(_01503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06606_ (.A(_01503_),
+ sky130_fd_sc_hd__buf_2 _06504_ (.A(_01342_),
     .X(_01504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06607_ (.A(\u_spim_regs.cfg_m0_data_cnt[7] ),
-    .Y(_01505_),
+ sky130_fd_sc_hd__buf_2 _06505_ (.A(\u_spim_regs.spim_reg_addr[2] ),
+    .X(_01505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06608_ (.A(_01340_),
+ sky130_fd_sc_hd__or4_4 _06506_ (.A(_01467_),
+    .B(_01380_),
+    .C(_01504_),
+    .D(_01505_),
     .X(_01506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06609_ (.A(\u_spim_regs.spim_reg_addr[2] ),
-    .X(_01507_),
+ sky130_fd_sc_hd__inv_2 _06507_ (.A(_01506_),
+    .Y(_01507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06610_ (.A(_01467_),
-    .B(_01378_),
-    .C(_01506_),
-    .D(_01507_),
+ sky130_fd_sc_hd__buf_2 _06508_ (.A(_01507_),
     .X(_01508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06611_ (.A(_01508_),
+ sky130_fd_sc_hd__buf_2 _06509_ (.A(_01508_),
     .X(_01509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06612_ (.A(_01509_),
+ sky130_fd_sc_hd__and2_4 _06510_ (.A(\u_spim_regs.cfg_m0_data_cnt[7] ),
+    .B(_01509_),
     .X(_01510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06613_ (.A(_01505_),
-    .B(_01510_),
+ sky130_fd_sc_hd__inv_2 _06511_ (.A(\u_spim_regs.cfg_m1_addr[31] ),
     .Y(_01511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06614_ (.A(\u_spim_regs.cfg_m1_addr[31] ),
-    .Y(_01512_),
+ sky130_fd_sc_hd__buf_2 _06512_ (.A(\u_spim_regs.spim_reg_addr[1] ),
+    .X(_01512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06615_ (.A(\u_spim_regs.spim_reg_addr[1] ),
+ sky130_fd_sc_hd__buf_2 _06513_ (.A(_01295_),
     .X(_01513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06616_ (.A(_01340_),
-    .B(_01334_),
-    .C(_01513_),
-    .D(_01293_),
+ sky130_fd_sc_hd__or4_4 _06514_ (.A(_01504_),
+    .B(_01336_),
+    .C(_01512_),
+    .D(_01513_),
     .X(_01514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06617_ (.A(_01514_),
+ sky130_fd_sc_hd__buf_2 _06515_ (.A(_01514_),
     .X(_01515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06618_ (.A(_01515_),
+ sky130_fd_sc_hd__buf_2 _06516_ (.A(_01515_),
     .X(_01516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06619_ (.A(_01378_),
+ sky130_fd_sc_hd__or4_4 _06517_ (.A(_01342_),
+    .B(_01336_),
+    .C(_01512_),
+    .D(_01380_),
     .X(_01517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06620_ (.A(_01506_),
-    .B(_01334_),
-    .C(_01513_),
-    .D(_01517_),
-    .X(_01518_),
+ sky130_fd_sc_hd__inv_2 _06518_ (.A(_01517_),
+    .Y(_01518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06621_ (.A(_01518_),
-    .Y(_01519_),
+ sky130_fd_sc_hd__buf_2 _06519_ (.A(_01518_),
+    .X(_01519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06622_ (.A(_01519_),
+ sky130_fd_sc_hd__a2bb2o_4 _06520_ (.A1_N(_01511_),
+    .A2_N(_01516_),
+    .B1(\u_spim_regs.cfg_m1_data_cnt[7] ),
+    .B2(_01519_),
     .X(_01520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06623_ (.A1_N(_01512_),
-    .A2_N(_01516_),
-    .B1(\u_spim_regs.cfg_m1_data_cnt[7] ),
-    .B2(_01520_),
-    .X(_01521_),
+ sky130_fd_sc_hd__inv_2 _06521_ (.A(\u_spictrl.gnt[2] ),
+    .Y(_01521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06624_ (.A(\u_spictrl.gnt[2] ),
-    .Y(_01522_),
+ sky130_fd_sc_hd__buf_8 _06522_ (.A(_01521_),
+    .X(_01522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06625_ (.A(_01522_),
+ sky130_fd_sc_hd__buf_2 _06523_ (.A(_01522_),
     .X(_01523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06626_ (.A(_01523_),
+ sky130_fd_sc_hd__buf_2 _06524_ (.A(_01523_),
     .X(_01524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06627_ (.A(_01524_),
+ sky130_fd_sc_hd__buf_2 _06525_ (.A(_01524_),
     .X(_01525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06628_ (.A(_01525_),
+ sky130_fd_sc_hd__buf_2 _06526_ (.A(_01525_),
     .X(_01526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06629_ (.A(_01526_),
+ sky130_fd_sc_hd__buf_2 _06527_ (.A(_01526_),
     .X(_01527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06630_ (.A(spi_debug[18]),
-    .Y(_01528_),
+ sky130_fd_sc_hd__buf_2 _06528_ (.A(_01527_),
+    .X(_01528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06631_ (.A(_01528_),
+ sky130_fd_sc_hd__buf_2 _06529_ (.A(spi_debug[18]),
     .X(_01529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _06632_ (.A(spi_debug[17]),
-    .X(_01530_),
+ sky130_fd_sc_hd__inv_2 _06530_ (.A(spi_debug[17]),
+    .Y(_01530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _06633_ (.A(spi_debug[20]),
+ sky130_fd_sc_hd__buf_2 _06531_ (.A(_01530_),
     .X(_01531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06634_ (.A(_01531_),
-    .Y(_01532_),
+ sky130_fd_sc_hd__buf_2 _06532_ (.A(spi_debug[20]),
+    .X(_01532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06635_ (.A(spi_debug[19]),
-    .Y(_01533_),
+ sky130_fd_sc_hd__buf_2 _06533_ (.A(spi_debug[19]),
+    .X(_01533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06636_ (.A(_01533_),
+ sky130_fd_sc_hd__or4_4 _06534_ (.A(_01533_),
+    .B(_01529_),
+    .C(_01532_),
+    .D(_01531_),
     .X(_01534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06637_ (.A(_01534_),
-    .B(psn_net_238),
-    .C(_01529_),
-    .D(_01530_),
-    .X(_01535_),
+ sky130_fd_sc_hd__inv_2 _06535_ (.A(_01534_),
+    .Y(_01535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06638_ (.A(_01531_),
-    .X(_01536_),
+ sky130_fd_sc_hd__inv_2 _06536_ (.A(spi_debug[19]),
+    .Y(_01536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06639_ (.A(spi_debug[18]),
+ sky130_fd_sc_hd__buf_2 _06537_ (.A(_01536_),
     .X(_01537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06640_ (.A(_01530_),
+ sky130_fd_sc_hd__and2_4 _06538_ (.A(_01532_),
+    .B(_01537_),
     .X(_01538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _06641_ (.A(_01536_),
-    .B(_01534_),
-    .C(_01537_),
-    .D(_01538_),
+ sky130_fd_sc_hd__buf_2 _06539_ (.A(spi_debug[17]),
     .X(_01539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06642_ (.A(_01539_),
-    .B(_01535_),
+ sky130_fd_sc_hd__and2_4 _06540_ (.A(psn_net_194),
+    .B(_01539_),
     .X(_01540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06643_ (.A(_01540_),
-    .Y(_01541_),
+ sky130_fd_sc_hd__and2_4 _06541_ (.A(_01538_),
+    .B(_01540_),
+    .X(_01541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06644_ (.A(_01541_),
+ sky130_fd_sc_hd__or2_4 _06542_ (.A(_01541_),
+    .B(_01535_),
     .X(_01542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06645_ (.A(_01527_),
-    .B(psn_net_230),
-    .X(_01543_),
+ sky130_fd_sc_hd__inv_2 _06543_ (.A(_01542_),
+    .Y(_01543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06646_ (.A(_01513_),
-    .B(_01517_),
-    .C(_01391_),
-    .D(_01507_),
+ sky130_fd_sc_hd__or2_4 _06544_ (.A(_01543_),
+    .B(_01528_),
     .X(_01544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06647_ (.A(_01544_),
+ sky130_fd_sc_hd__buf_2 _06545_ (.A(_01380_),
     .X(_01545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06648_ (.A(_01545_),
+ sky130_fd_sc_hd__or4_4 _06546_ (.A(_01512_),
+    .B(_01545_),
+    .C(_01393_),
+    .D(_01505_),
     .X(_01546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06649_ (.A(psn_net_228),
-    .B(_01546_),
-    .Y(_01547_),
+ sky130_fd_sc_hd__buf_2 _06547_ (.A(_01546_),
+    .X(_01547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06650_ (.A(\u_m1_res_fifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__buf_2 _06548_ (.A(_01547_),
     .X(_01548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06651_ (.A(_01548_),
-    .X(_01549_),
+ sky130_fd_sc_hd__nor2_4 _06549_ (.A(psn_net_151),
+    .B(_01548_),
+    .Y(_01549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06652_ (.A(_01493_),
+ sky130_fd_sc_hd__buf_2 _06550_ (.A(\u_m1_res_fifo.rd_ptr[2] ),
     .X(_01550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06653_ (.A(_01550_),
+ sky130_fd_sc_hd__buf_2 _06551_ (.A(_01550_),
     .X(_01551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06654_ (.A(_01551_),
+ sky130_fd_sc_hd__buf_2 _06552_ (.A(_01492_),
     .X(_01552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06655_ (.A(_01488_),
+ sky130_fd_sc_hd__buf_2 _06553_ (.A(_01552_),
     .X(_01553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06656_ (.A(_01553_),
+ sky130_fd_sc_hd__buf_2 _06554_ (.A(_01553_),
     .X(_01554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06657_ (.A(_01554_),
+ sky130_fd_sc_hd__buf_2 _06555_ (.A(_01487_),
     .X(_01555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06658_ (.A(_01555_),
-    .B(\u_m1_res_fifo.mem[0][31] ),
+ sky130_fd_sc_hd__buf_2 _06556_ (.A(_01555_),
     .X(_01556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06659_ (.A(_01494_),
+ sky130_fd_sc_hd__buf_2 _06557_ (.A(_01556_),
     .X(_01557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06660_ (.A(_01557_),
+ sky130_fd_sc_hd__or2_4 _06558_ (.A(_01557_),
+    .B(\u_m1_res_fifo.mem[0][31] ),
     .X(_01558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06661_ (.A(_01558_),
+ sky130_fd_sc_hd__buf_2 _06559_ (.A(_01493_),
     .X(_01559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06662_ (.A(_01559_),
-    .B(\u_m1_res_fifo.mem[1][31] ),
+ sky130_fd_sc_hd__buf_2 _06560_ (.A(_01559_),
     .X(_01560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06663_ (.A(_01552_),
-    .B(_01556_),
-    .C(_01560_),
+ sky130_fd_sc_hd__buf_2 _06561_ (.A(_01560_),
     .X(_01561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06664_ (.A(\u_m1_res_fifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__or2_4 _06562_ (.A(_01561_),
+    .B(\u_m1_res_fifo.mem[1][31] ),
     .X(_01562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06665_ (.A(_01562_),
+ sky130_fd_sc_hd__and3_4 _06563_ (.A(_01554_),
+    .B(_01558_),
+    .C(_01562_),
     .X(_01563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06666_ (.A(_01563_),
+ sky130_fd_sc_hd__buf_2 _06564_ (.A(\u_m1_res_fifo.rd_ptr[1] ),
     .X(_01564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06667_ (.A(_01558_),
+ sky130_fd_sc_hd__buf_2 _06565_ (.A(_01564_),
     .X(_01565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06668_ (.A(_01565_),
-    .B(\u_m1_res_fifo.mem[3][31] ),
+ sky130_fd_sc_hd__buf_2 _06566_ (.A(_01565_),
     .X(_01566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06669_ (.A(_01553_),
+ sky130_fd_sc_hd__buf_2 _06567_ (.A(_01560_),
     .X(_01567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06670_ (.A(_01567_),
+ sky130_fd_sc_hd__or2_4 _06568_ (.A(_01567_),
+    .B(\u_m1_res_fifo.mem[3][31] ),
     .X(_01568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06671_ (.A(_01568_),
-    .B(\u_m1_res_fifo.mem[2][31] ),
+ sky130_fd_sc_hd__buf_2 _06569_ (.A(_01555_),
     .X(_01569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06672_ (.A(_01564_),
-    .B(_01566_),
-    .C(_01569_),
+ sky130_fd_sc_hd__buf_2 _06570_ (.A(_01569_),
     .X(_01570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06673_ (.A(_01549_),
-    .B(_01561_),
-    .C(_01570_),
+ sky130_fd_sc_hd__or2_4 _06571_ (.A(_01570_),
+    .B(\u_m1_res_fifo.mem[2][31] ),
     .X(_01571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06674_ (.A(_01491_),
+ sky130_fd_sc_hd__and3_4 _06572_ (.A(_01566_),
+    .B(_01568_),
+    .C(_01571_),
     .X(_01572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06675_ (.A(_01572_),
+ sky130_fd_sc_hd__or3_4 _06573_ (.A(_01551_),
+    .B(_01563_),
+    .C(_01572_),
     .X(_01573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06676_ (.A(_01573_),
+ sky130_fd_sc_hd__buf_2 _06574_ (.A(_01490_),
     .X(_01574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06677_ (.A(_01550_),
+ sky130_fd_sc_hd__buf_2 _06575_ (.A(_01574_),
     .X(_01575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06678_ (.A(_01575_),
+ sky130_fd_sc_hd__buf_2 _06576_ (.A(_01575_),
     .X(_01576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06679_ (.A(_01553_),
+ sky130_fd_sc_hd__buf_2 _06577_ (.A(_01552_),
     .X(_01577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06680_ (.A(_01577_),
+ sky130_fd_sc_hd__buf_2 _06578_ (.A(_01577_),
     .X(_01578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06681_ (.A(_01578_),
-    .B(\u_m1_res_fifo.mem[4][31] ),
+ sky130_fd_sc_hd__buf_2 _06579_ (.A(_01555_),
     .X(_01579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06682_ (.A(_01557_),
+ sky130_fd_sc_hd__buf_2 _06580_ (.A(_01579_),
     .X(_01580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06683_ (.A(_01580_),
+ sky130_fd_sc_hd__or2_4 _06581_ (.A(_01580_),
+    .B(\u_m1_res_fifo.mem[4][31] ),
     .X(_01581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06684_ (.A(_01581_),
-    .B(\u_m1_res_fifo.mem[5][31] ),
+ sky130_fd_sc_hd__buf_2 _06582_ (.A(_01559_),
     .X(_01582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06685_ (.A(_01576_),
-    .B(_01579_),
-    .C(_01582_),
+ sky130_fd_sc_hd__buf_2 _06583_ (.A(_01582_),
     .X(_01583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06686_ (.A(_01563_),
+ sky130_fd_sc_hd__or2_4 _06584_ (.A(_01583_),
+    .B(\u_m1_res_fifo.mem[5][31] ),
     .X(_01584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06687_ (.A(_01557_),
+ sky130_fd_sc_hd__and3_4 _06585_ (.A(_01578_),
+    .B(_01581_),
+    .C(_01584_),
     .X(_01585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06688_ (.A(_01585_),
+ sky130_fd_sc_hd__buf_2 _06586_ (.A(_01565_),
     .X(_01586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06689_ (.A(_01586_),
-    .B(\u_m1_res_fifo.mem[7][31] ),
+ sky130_fd_sc_hd__buf_2 _06587_ (.A(_01559_),
     .X(_01587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06690_ (.A(_01567_),
+ sky130_fd_sc_hd__buf_2 _06588_ (.A(_01587_),
     .X(_01588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06691_ (.A(_01588_),
-    .B(\u_m1_res_fifo.mem[6][31] ),
+ sky130_fd_sc_hd__or2_4 _06589_ (.A(_01588_),
+    .B(\u_m1_res_fifo.mem[7][31] ),
     .X(_01589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06692_ (.A(_01584_),
-    .B(_01587_),
-    .C(_01589_),
+ sky130_fd_sc_hd__buf_2 _06590_ (.A(_01569_),
     .X(_01590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06693_ (.A(_01574_),
-    .B(_01583_),
-    .C(_01590_),
+ sky130_fd_sc_hd__or2_4 _06591_ (.A(_01590_),
+    .B(\u_m1_res_fifo.mem[6][31] ),
     .X(_01591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06694_ (.A(_01500_),
-    .Y(_01592_),
+ sky130_fd_sc_hd__and3_4 _06592_ (.A(_01586_),
+    .B(_01589_),
+    .C(_01591_),
+    .X(_01592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06695_ (.A(_01592_),
+ sky130_fd_sc_hd__or3_4 _06593_ (.A(_01576_),
+    .B(_01585_),
+    .C(_01592_),
     .X(_01593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06696_ (.A(_01593_),
-    .X(_01594_),
+ sky130_fd_sc_hd__inv_2 _06594_ (.A(_01499_),
+    .Y(_01594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06697_ (.A(_01571_),
-    .B(_01591_),
-    .C(_01594_),
+ sky130_fd_sc_hd__buf_2 _06595_ (.A(_01594_),
     .X(_01595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06698_ (.A(_01511_),
-    .B(_01521_),
-    .C(_01547_),
-    .D(_01595_),
+ sky130_fd_sc_hd__buf_2 _06596_ (.A(_01595_),
     .X(_01596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06699_ (.A1_N(_01461_),
-    .A2_N(_01504_),
-    .B1(_01504_),
-    .B2(_01596_),
-    .X(_01270_),
+ sky130_fd_sc_hd__and3_4 _06597_ (.A(_01573_),
+    .B(_01593_),
+    .C(_01596_),
+    .X(_01597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06700_ (.A(\u_spim_regs.spim_reg_rdata[30] ),
-    .Y(_01597_),
+ sky130_fd_sc_hd__or4_4 _06598_ (.A(_01510_),
+    .B(_01520_),
+    .C(_01549_),
+    .D(_01597_),
+    .X(_01598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06701_ (.A(_01278_),
-    .B(_01510_),
-    .Y(_01598_),
+ sky130_fd_sc_hd__a2bb2o_4 _06599_ (.A1_N(_01463_),
+    .A2_N(_01503_),
+    .B1(_01503_),
+    .B2(_01598_),
+    .X(_01271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06702_ (.A(\u_spim_regs.cfg_m1_addr[30] ),
+ sky130_fd_sc_hd__inv_2 _06600_ (.A(\u_spim_regs.spim_reg_rdata[30] ),
     .Y(_01599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06703_ (.A(\u_spim_regs.cfg_m1_data_cnt[6] ),
+ sky130_fd_sc_hd__and2_4 _06601_ (.A(\u_spim_regs.cfg_m0_data_cnt[6] ),
+    .B(_01509_),
     .X(_01600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06704_ (.A1_N(_01599_),
+ sky130_fd_sc_hd__inv_2 _06602_ (.A(\u_spim_regs.cfg_m1_addr[30] ),
+    .Y(_01601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _06603_ (.A(\u_spim_regs.cfg_m1_data_cnt[6] ),
+    .X(_01602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _06604_ (.A1_N(_01601_),
     .A2_N(_01516_),
-    .B1(_01600_),
-    .B2(_01520_),
-    .X(_01601_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06705_ (.A(\u_spictrl.gnt[1] ),
-    .Y(_01602_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06706_ (.A(_01602_),
-    .B(_01542_),
+    .B1(_01602_),
+    .B2(_01519_),
     .X(_01603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06707_ (.A(_01546_),
-    .B(psn_net_241),
+ sky130_fd_sc_hd__inv_2 _06605_ (.A(\u_spictrl.gnt[1] ),
     .Y(_01604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06708_ (.A(_01555_),
-    .B(\u_m1_res_fifo.mem[0][30] ),
+ sky130_fd_sc_hd__or2_4 _06606_ (.A(_01604_),
+    .B(psn_net_182),
     .X(_01605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06709_ (.A(_01559_),
-    .B(\u_m1_res_fifo.mem[1][30] ),
-    .X(_01606_),
+ sky130_fd_sc_hd__nor2_4 _06607_ (.A(_01548_),
+    .B(psn_net_179),
+    .Y(_01606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06710_ (.A(_01552_),
-    .B(_01605_),
-    .C(_01606_),
+ sky130_fd_sc_hd__or2_4 _06608_ (.A(_01557_),
+    .B(\u_m1_res_fifo.mem[0][30] ),
     .X(_01607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06711_ (.A(_01565_),
-    .B(\u_m1_res_fifo.mem[3][30] ),
+ sky130_fd_sc_hd__or2_4 _06609_ (.A(_01561_),
+    .B(\u_m1_res_fifo.mem[1][30] ),
     .X(_01608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06712_ (.A(_01568_),
-    .B(\u_m1_res_fifo.mem[2][30] ),
+ sky130_fd_sc_hd__and3_4 _06610_ (.A(_01554_),
+    .B(_01607_),
+    .C(_01608_),
     .X(_01609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06713_ (.A(_01564_),
-    .B(_01608_),
-    .C(_01609_),
+ sky130_fd_sc_hd__or2_4 _06611_ (.A(_01567_),
+    .B(\u_m1_res_fifo.mem[3][30] ),
     .X(_01610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06714_ (.A(_01549_),
-    .B(_01607_),
-    .C(_01610_),
+ sky130_fd_sc_hd__or2_4 _06612_ (.A(_01570_),
+    .B(\u_m1_res_fifo.mem[2][30] ),
     .X(_01611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06715_ (.A(_01578_),
-    .B(\u_m1_res_fifo.mem[4][30] ),
+ sky130_fd_sc_hd__and3_4 _06613_ (.A(_01566_),
+    .B(_01610_),
+    .C(_01611_),
     .X(_01612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06716_ (.A(_01581_),
-    .B(\u_m1_res_fifo.mem[5][30] ),
+ sky130_fd_sc_hd__or3_4 _06614_ (.A(_01551_),
+    .B(_01609_),
+    .C(_01612_),
     .X(_01613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06717_ (.A(_01576_),
-    .B(_01612_),
-    .C(_01613_),
+ sky130_fd_sc_hd__or2_4 _06615_ (.A(_01580_),
+    .B(\u_m1_res_fifo.mem[4][30] ),
     .X(_01614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06718_ (.A(_01586_),
-    .B(\u_m1_res_fifo.mem[7][30] ),
+ sky130_fd_sc_hd__or2_4 _06616_ (.A(_01583_),
+    .B(\u_m1_res_fifo.mem[5][30] ),
     .X(_01615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06719_ (.A(_01588_),
-    .B(\u_m1_res_fifo.mem[6][30] ),
+ sky130_fd_sc_hd__and3_4 _06617_ (.A(_01578_),
+    .B(_01614_),
+    .C(_01615_),
     .X(_01616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06720_ (.A(_01584_),
-    .B(_01615_),
-    .C(_01616_),
+ sky130_fd_sc_hd__or2_4 _06618_ (.A(_01588_),
+    .B(\u_m1_res_fifo.mem[7][30] ),
     .X(_01617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06721_ (.A(_01574_),
-    .B(_01614_),
-    .C(_01617_),
+ sky130_fd_sc_hd__or2_4 _06619_ (.A(_01590_),
+    .B(\u_m1_res_fifo.mem[6][30] ),
     .X(_01618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06722_ (.A(_01611_),
-    .B(_01618_),
-    .C(_01594_),
+ sky130_fd_sc_hd__and3_4 _06620_ (.A(_01586_),
+    .B(_01617_),
+    .C(_01618_),
     .X(_01619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06723_ (.A(_01598_),
-    .B(_01601_),
-    .C(_01604_),
-    .D(_01619_),
+ sky130_fd_sc_hd__or3_4 _06621_ (.A(_01576_),
+    .B(_01616_),
+    .C(_01619_),
     .X(_01620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06724_ (.A1_N(_01597_),
-    .A2_N(_01504_),
-    .B1(_01504_),
-    .B2(_01620_),
-    .X(_01269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06725_ (.A(_01502_),
+ sky130_fd_sc_hd__and3_4 _06622_ (.A(_01613_),
+    .B(_01620_),
+    .C(_01596_),
     .X(_01621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06726_ (.A(_01621_),
+ sky130_fd_sc_hd__or4_4 _06623_ (.A(_01600_),
+    .B(_01603_),
+    .C(_01606_),
+    .D(_01621_),
     .X(_01622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06727_ (.A(\u_spim_regs.cfg_m1_addr[29] ),
-    .Y(_01623_),
+ sky130_fd_sc_hd__a2bb2o_4 _06624_ (.A1_N(_01599_),
+    .A2_N(_01503_),
+    .B1(_01503_),
+    .B2(_01622_),
+    .X(_01270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06728_ (.A(_01515_),
+ sky130_fd_sc_hd__buf_2 _06625_ (.A(_01501_),
+    .X(_01623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _06626_ (.A(_01623_),
     .X(_01624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06729_ (.A(_01463_),
-    .X(_01625_),
+ sky130_fd_sc_hd__inv_2 _06627_ (.A(\u_spim_regs.cfg_m1_addr[29] ),
+    .Y(_01625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06730_ (.A(_01625_),
+ sky130_fd_sc_hd__buf_2 _06628_ (.A(_01515_),
     .X(_01626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06731_ (.A(_01544_),
+ sky130_fd_sc_hd__buf_2 _06629_ (.A(_01464_),
     .X(_01627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06732_ (.A(_01627_),
+ sky130_fd_sc_hd__buf_2 _06630_ (.A(_01627_),
     .X(_01628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06733_ (.A1(_01623_),
-    .A2(_01624_),
-    .B1(_01626_),
-    .B2(_01628_),
+ sky130_fd_sc_hd__buf_2 _06631_ (.A(_01546_),
     .X(_01629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06734_ (.A(\u_spim_regs.cfg_m1_data_cnt[5] ),
-    .Y(_01630_),
+ sky130_fd_sc_hd__buf_2 _06632_ (.A(_01629_),
+    .X(_01630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06735_ (.A(_01518_),
+ sky130_fd_sc_hd__o22a_4 _06633_ (.A1(_01625_),
+    .A2(_01626_),
+    .B1(_01628_),
+    .B2(_01630_),
     .X(_01631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06736_ (.A(_01631_),
-    .X(_01632_),
+ sky130_fd_sc_hd__inv_2 _06634_ (.A(\u_spim_regs.cfg_m1_data_cnt[5] ),
+    .Y(_01632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06737_ (.A(_01508_),
+ sky130_fd_sc_hd__buf_2 _06635_ (.A(_01517_),
     .X(_01633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06738_ (.A(_01633_),
+ sky130_fd_sc_hd__buf_2 _06636_ (.A(_01633_),
     .X(_01634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06739_ (.A1(_01630_),
-    .A2(_01632_),
-    .B1(_01441_),
-    .B2(_01634_),
+ sky130_fd_sc_hd__buf_2 _06637_ (.A(_01506_),
     .X(_01635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06740_ (.A(_01572_),
+ sky130_fd_sc_hd__buf_2 _06638_ (.A(_01635_),
     .X(_01636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06741_ (.A(_01636_),
+ sky130_fd_sc_hd__o22a_4 _06639_ (.A1(_01632_),
+    .A2(_01634_),
+    .B1(_01441_),
+    .B2(_01636_),
     .X(_01637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06742_ (.A(_01575_),
+ sky130_fd_sc_hd__buf_2 _06640_ (.A(_01574_),
     .X(_01638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06743_ (.A(_01577_),
+ sky130_fd_sc_hd__buf_2 _06641_ (.A(_01638_),
     .X(_01639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06744_ (.A(_01639_),
-    .B(\u_m1_res_fifo.mem[4][29] ),
+ sky130_fd_sc_hd__buf_2 _06642_ (.A(_01577_),
     .X(_01640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06745_ (.A(_01580_),
+ sky130_fd_sc_hd__buf_2 _06643_ (.A(_01579_),
     .X(_01641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06746_ (.A(_01641_),
-    .B(\u_m1_res_fifo.mem[5][29] ),
+ sky130_fd_sc_hd__or2_4 _06644_ (.A(_01641_),
+    .B(\u_m1_res_fifo.mem[4][29] ),
     .X(_01642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06747_ (.A(_01638_),
-    .B(_01640_),
-    .C(_01642_),
+ sky130_fd_sc_hd__buf_2 _06645_ (.A(_01582_),
     .X(_01643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06748_ (.A(_01562_),
+ sky130_fd_sc_hd__or2_4 _06646_ (.A(_01643_),
+    .B(\u_m1_res_fifo.mem[5][29] ),
     .X(_01644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06749_ (.A(_01644_),
+ sky130_fd_sc_hd__and3_4 _06647_ (.A(_01640_),
+    .B(_01642_),
+    .C(_01644_),
     .X(_01645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06750_ (.A(_01585_),
+ sky130_fd_sc_hd__buf_2 _06648_ (.A(_01564_),
     .X(_01646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06751_ (.A(_01646_),
-    .B(\u_m1_res_fifo.mem[7][29] ),
+ sky130_fd_sc_hd__buf_2 _06649_ (.A(_01646_),
     .X(_01647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06752_ (.A(_01554_),
+ sky130_fd_sc_hd__buf_2 _06650_ (.A(_01587_),
     .X(_01648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06753_ (.A(_01648_),
-    .B(\u_m1_res_fifo.mem[6][29] ),
+ sky130_fd_sc_hd__or2_4 _06651_ (.A(_01648_),
+    .B(\u_m1_res_fifo.mem[7][29] ),
     .X(_01649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06754_ (.A(_01645_),
-    .B(_01647_),
-    .C(_01649_),
+ sky130_fd_sc_hd__buf_2 _06652_ (.A(_01556_),
     .X(_01650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06755_ (.A(_01637_),
-    .B(_01643_),
-    .C(_01650_),
+ sky130_fd_sc_hd__or2_4 _06653_ (.A(_01650_),
+    .B(\u_m1_res_fifo.mem[6][29] ),
     .X(_01651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06756_ (.A(_01548_),
+ sky130_fd_sc_hd__and3_4 _06654_ (.A(_01647_),
+    .B(_01649_),
+    .C(_01651_),
     .X(_01652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06757_ (.A(_01575_),
+ sky130_fd_sc_hd__or3_4 _06655_ (.A(_01639_),
+    .B(_01645_),
+    .C(_01652_),
     .X(_01653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06758_ (.A(_01488_),
+ sky130_fd_sc_hd__buf_2 _06656_ (.A(_01550_),
     .X(_01654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06759_ (.A(_01654_),
+ sky130_fd_sc_hd__buf_2 _06657_ (.A(_01577_),
     .X(_01655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06760_ (.A(_01655_),
+ sky130_fd_sc_hd__buf_2 _06658_ (.A(_01487_),
     .X(_01656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06761_ (.A(_01656_),
-    .B(\u_m1_res_fifo.mem[0][29] ),
+ sky130_fd_sc_hd__buf_2 _06659_ (.A(_01656_),
     .X(_01657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06762_ (.A(_01494_),
+ sky130_fd_sc_hd__buf_2 _06660_ (.A(_01657_),
     .X(_01658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06763_ (.A(_01658_),
+ sky130_fd_sc_hd__or2_4 _06661_ (.A(_01658_),
+    .B(\u_m1_res_fifo.mem[0][29] ),
     .X(_01659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06764_ (.A(_01659_),
+ sky130_fd_sc_hd__buf_2 _06662_ (.A(_01493_),
     .X(_01660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06765_ (.A(_01660_),
-    .B(\u_m1_res_fifo.mem[1][29] ),
+ sky130_fd_sc_hd__buf_2 _06663_ (.A(_01660_),
     .X(_01661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06766_ (.A(_01653_),
-    .B(_01657_),
-    .C(_01661_),
+ sky130_fd_sc_hd__buf_2 _06664_ (.A(_01661_),
     .X(_01662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06767_ (.A(_01644_),
+ sky130_fd_sc_hd__or2_4 _06665_ (.A(_01662_),
+    .B(\u_m1_res_fifo.mem[1][29] ),
     .X(_01663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06768_ (.A(_01580_),
+ sky130_fd_sc_hd__and3_4 _06666_ (.A(_01655_),
+    .B(_01659_),
+    .C(_01663_),
     .X(_01664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06769_ (.A(_01664_),
-    .B(\u_m1_res_fifo.mem[3][29] ),
+ sky130_fd_sc_hd__buf_2 _06667_ (.A(_01646_),
     .X(_01665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06770_ (.A(_01577_),
+ sky130_fd_sc_hd__buf_2 _06668_ (.A(_01582_),
     .X(_01666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06771_ (.A(_01666_),
-    .B(\u_m1_res_fifo.mem[2][29] ),
+ sky130_fd_sc_hd__or2_4 _06669_ (.A(_01666_),
+    .B(\u_m1_res_fifo.mem[3][29] ),
     .X(_01667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06772_ (.A(_01663_),
-    .B(_01665_),
-    .C(_01667_),
+ sky130_fd_sc_hd__buf_2 _06670_ (.A(_01579_),
     .X(_01668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06773_ (.A(_01652_),
-    .B(_01662_),
-    .C(_01668_),
+ sky130_fd_sc_hd__or2_4 _06671_ (.A(_01668_),
+    .B(\u_m1_res_fifo.mem[2][29] ),
     .X(_01669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06774_ (.A(_01593_),
+ sky130_fd_sc_hd__and3_4 _06672_ (.A(_01665_),
+    .B(_01667_),
+    .C(_01669_),
     .X(_01670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06775_ (.A(_01651_),
-    .B(_01669_),
+ sky130_fd_sc_hd__or3_4 _06673_ (.A(_01654_),
+    .B(_01664_),
     .C(_01670_),
     .X(_01671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06776_ (.A(_01671_),
-    .Y(_01672_),
+ sky130_fd_sc_hd__buf_2 _06674_ (.A(_01595_),
+    .X(_01672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06777_ (.A(_01629_),
-    .B(_01635_),
+ sky130_fd_sc_hd__and3_4 _06675_ (.A(_01653_),
+    .B(_01671_),
     .C(_01672_),
     .X(_01673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06778_ (.A1_N(_01622_),
-    .A2_N(_01673_),
+ sky130_fd_sc_hd__inv_2 _06676_ (.A(_01673_),
+    .Y(_01674_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _06677_ (.A(_01631_),
+    .B(_01637_),
+    .C(_01674_),
+    .X(_01675_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _06678_ (.A1_N(_01624_),
+    .A2_N(_01675_),
     .B1(\u_spim_regs.spim_reg_rdata[29] ),
-    .B2(_01622_),
-    .X(_01268_),
+    .B2(_01624_),
+    .X(_01269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06779_ (.A(_01633_),
-    .X(_01674_),
+ sky130_fd_sc_hd__buf_2 _06679_ (.A(_01507_),
+    .X(_01676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06780_ (.A(_01446_),
-    .B(_01674_),
-    .Y(_01675_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06781_ (.A(\u_spim_regs.cfg_m1_addr[28] ),
-    .Y(_01676_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06782_ (.A(_01514_),
+ sky130_fd_sc_hd__and2_4 _06680_ (.A(\u_spim_regs.cfg_m0_data_cnt[4] ),
+    .B(_01676_),
     .X(_01677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06783_ (.A(_01677_),
-    .X(_01678_),
+ sky130_fd_sc_hd__inv_2 _06681_ (.A(\u_spim_regs.cfg_m1_addr[28] ),
+    .Y(_01678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06784_ (.A(\u_spim_regs.cfg_m1_data_cnt[4] ),
+ sky130_fd_sc_hd__buf_2 _06682_ (.A(_01514_),
     .X(_01679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06785_ (.A(_01519_),
+ sky130_fd_sc_hd__buf_2 _06683_ (.A(\u_spim_regs.cfg_m1_data_cnt[4] ),
     .X(_01680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06786_ (.A1_N(_01676_),
-    .A2_N(_01678_),
-    .B1(_01679_),
-    .B2(_01680_),
+ sky130_fd_sc_hd__buf_2 _06684_ (.A(_01518_),
     .X(_01681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06787_ (.A(_01285_),
-    .Y(_01682_),
+ sky130_fd_sc_hd__a2bb2o_4 _06685_ (.A1_N(_01678_),
+    .A2_N(_01679_),
+    .B1(_01680_),
+    .B2(_01681_),
+    .X(_01682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06788_ (.A(_01682_),
-    .B(_01288_),
-    .X(_01683_),
+ sky130_fd_sc_hd__inv_2 _06686_ (.A(_01287_),
+    .Y(_01683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06789_ (.A(_01545_),
-    .B(_01683_),
-    .Y(_01684_),
+ sky130_fd_sc_hd__or2_4 _06687_ (.A(_01683_),
+    .B(_01290_),
+    .X(_01684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06790_ (.A(_01572_),
-    .X(_01685_),
+ sky130_fd_sc_hd__nor2_4 _06688_ (.A(_01547_),
+    .B(_01684_),
+    .Y(_01685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06791_ (.A(_01493_),
+ sky130_fd_sc_hd__buf_2 _06689_ (.A(_01574_),
     .X(_01686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06792_ (.A(_01686_),
+ sky130_fd_sc_hd__buf_2 _06690_ (.A(_01492_),
     .X(_01687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06793_ (.A(_01654_),
+ sky130_fd_sc_hd__buf_2 _06691_ (.A(_01687_),
     .X(_01688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06794_ (.A(_01688_),
+ sky130_fd_sc_hd__buf_2 _06692_ (.A(_01656_),
     .X(_01689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06795_ (.A(_01689_),
-    .B(\u_m1_res_fifo.mem[4][28] ),
+ sky130_fd_sc_hd__buf_2 _06693_ (.A(_01689_),
     .X(_01690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06796_ (.A(_01658_),
+ sky130_fd_sc_hd__or2_4 _06694_ (.A(_01690_),
+    .B(\u_m1_res_fifo.mem[4][28] ),
     .X(_01691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06797_ (.A(_01691_),
+ sky130_fd_sc_hd__buf_2 _06695_ (.A(_01660_),
     .X(_01692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06798_ (.A(_01692_),
-    .B(\u_m1_res_fifo.mem[5][28] ),
+ sky130_fd_sc_hd__buf_2 _06696_ (.A(_01692_),
     .X(_01693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06799_ (.A(_01687_),
-    .B(_01690_),
-    .C(_01693_),
+ sky130_fd_sc_hd__or2_4 _06697_ (.A(_01693_),
+    .B(\u_m1_res_fifo.mem[5][28] ),
     .X(_01694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06800_ (.A(_01562_),
+ sky130_fd_sc_hd__and3_4 _06698_ (.A(_01688_),
+    .B(_01691_),
+    .C(_01694_),
     .X(_01695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06801_ (.A(_01695_),
+ sky130_fd_sc_hd__buf_2 _06699_ (.A(_01564_),
     .X(_01696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06802_ (.A(_01658_),
+ sky130_fd_sc_hd__buf_2 _06700_ (.A(_01696_),
     .X(_01697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06803_ (.A(_01697_),
+ sky130_fd_sc_hd__buf_2 _06701_ (.A(_01660_),
     .X(_01698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06804_ (.A(_01698_),
-    .B(\u_m1_res_fifo.mem[7][28] ),
+ sky130_fd_sc_hd__buf_2 _06702_ (.A(_01698_),
     .X(_01699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06805_ (.A(_01654_),
+ sky130_fd_sc_hd__or2_4 _06703_ (.A(_01699_),
+    .B(\u_m1_res_fifo.mem[7][28] ),
     .X(_01700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06806_ (.A(_01700_),
+ sky130_fd_sc_hd__buf_2 _06704_ (.A(_01656_),
     .X(_01701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06807_ (.A(_01701_),
-    .B(\u_m1_res_fifo.mem[6][28] ),
+ sky130_fd_sc_hd__buf_2 _06705_ (.A(_01701_),
     .X(_01702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06808_ (.A(_01696_),
-    .B(_01699_),
-    .C(_01702_),
+ sky130_fd_sc_hd__or2_4 _06706_ (.A(_01702_),
+    .B(\u_m1_res_fifo.mem[6][28] ),
     .X(_01703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06809_ (.A(_01685_),
-    .B(_01694_),
+ sky130_fd_sc_hd__and3_4 _06707_ (.A(_01697_),
+    .B(_01700_),
     .C(_01703_),
     .X(_01704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06810_ (.A(\u_m1_res_fifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__or3_4 _06708_ (.A(_01686_),
+    .B(_01695_),
+    .C(_01704_),
     .X(_01705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06811_ (.A(_01705_),
+ sky130_fd_sc_hd__buf_2 _06709_ (.A(\u_m1_res_fifo.rd_ptr[2] ),
     .X(_01706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06812_ (.A(_01686_),
+ sky130_fd_sc_hd__buf_2 _06710_ (.A(_01706_),
     .X(_01707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06813_ (.A(_01688_),
+ sky130_fd_sc_hd__buf_2 _06711_ (.A(_01687_),
     .X(_01708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06814_ (.A(_01708_),
-    .B(\u_m1_res_fifo.mem[0][28] ),
+ sky130_fd_sc_hd__buf_2 _06712_ (.A(_01689_),
     .X(_01709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06815_ (.A(_01691_),
+ sky130_fd_sc_hd__or2_4 _06713_ (.A(_01709_),
+    .B(\u_m1_res_fifo.mem[0][28] ),
     .X(_01710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06816_ (.A(_01710_),
-    .B(\u_m1_res_fifo.mem[1][28] ),
+ sky130_fd_sc_hd__buf_2 _06714_ (.A(_01692_),
     .X(_01711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06817_ (.A(_01707_),
-    .B(_01709_),
-    .C(_01711_),
+ sky130_fd_sc_hd__or2_4 _06715_ (.A(_01711_),
+    .B(\u_m1_res_fifo.mem[1][28] ),
     .X(_01712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06818_ (.A(_01562_),
+ sky130_fd_sc_hd__and3_4 _06716_ (.A(_01708_),
+    .B(_01710_),
+    .C(_01712_),
     .X(_01713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06819_ (.A(_01713_),
+ sky130_fd_sc_hd__buf_2 _06717_ (.A(_01564_),
     .X(_01714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06820_ (.A(_01691_),
+ sky130_fd_sc_hd__buf_2 _06718_ (.A(_01714_),
     .X(_01715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06821_ (.A(_01715_),
-    .B(\u_m1_res_fifo.mem[3][28] ),
+ sky130_fd_sc_hd__buf_2 _06719_ (.A(_01692_),
     .X(_01716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06822_ (.A(_01688_),
+ sky130_fd_sc_hd__or2_4 _06720_ (.A(_01716_),
+    .B(\u_m1_res_fifo.mem[3][28] ),
     .X(_01717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06823_ (.A(_01717_),
-    .B(\u_m1_res_fifo.mem[2][28] ),
+ sky130_fd_sc_hd__buf_2 _06721_ (.A(_01689_),
     .X(_01718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06824_ (.A(_01714_),
-    .B(_01716_),
-    .C(_01718_),
+ sky130_fd_sc_hd__or2_4 _06722_ (.A(_01718_),
+    .B(\u_m1_res_fifo.mem[2][28] ),
     .X(_01719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06825_ (.A(_01706_),
-    .B(_01712_),
+ sky130_fd_sc_hd__and3_4 _06723_ (.A(_01715_),
+    .B(_01717_),
     .C(_01719_),
     .X(_01720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06826_ (.A(_01592_),
+ sky130_fd_sc_hd__or3_4 _06724_ (.A(_01707_),
+    .B(_01713_),
+    .C(_01720_),
     .X(_01721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06827_ (.A(_01704_),
-    .B(_01720_),
-    .C(_01721_),
+ sky130_fd_sc_hd__buf_2 _06725_ (.A(_01594_),
     .X(_01722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06828_ (.A(_01675_),
-    .B(_01681_),
-    .C(_01684_),
-    .D(_01722_),
+ sky130_fd_sc_hd__and3_4 _06726_ (.A(_01705_),
+    .B(_01721_),
+    .C(_01722_),
     .X(_01723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06829_ (.A(_01723_),
-    .Y(_01724_),
+ sky130_fd_sc_hd__or4_4 _06727_ (.A(_01677_),
+    .B(_01682_),
+    .C(_01685_),
+    .D(_01723_),
+    .X(_01724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06830_ (.A1_N(_01622_),
-    .A2_N(_01724_),
+ sky130_fd_sc_hd__inv_2 _06728_ (.A(_01724_),
+    .Y(_01725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _06729_ (.A1_N(_01624_),
+    .A2_N(_01725_),
     .B1(\u_spim_regs.spim_reg_rdata[28] ),
-    .B2(_01622_),
-    .X(_01267_),
+    .B2(_01624_),
+    .X(_01268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06831_ (.A(_01621_),
-    .X(_01725_),
+ sky130_fd_sc_hd__buf_2 _06730_ (.A(_01623_),
+    .X(_01726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06832_ (.A(_01451_),
-    .B(_01674_),
-    .Y(_01726_),
+ sky130_fd_sc_hd__buf_2 _06731_ (.A(_01507_),
+    .X(_01727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06833_ (.A(\u_spim_regs.cfg_m1_addr[27] ),
-    .Y(_01727_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06834_ (.A(\u_spim_regs.cfg_m1_data_cnt[3] ),
+ sky130_fd_sc_hd__and2_4 _06732_ (.A(\u_spim_regs.cfg_m0_data_cnt[3] ),
+    .B(_01727_),
     .X(_01728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06835_ (.A1_N(_01727_),
-    .A2_N(_01678_),
-    .B1(_01728_),
-    .B2(_01680_),
-    .X(_01729_),
+ sky130_fd_sc_hd__inv_2 _06733_ (.A(\u_spim_regs.cfg_m1_addr[27] ),
+    .Y(_01729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06836_ (.A(_01289_),
+ sky130_fd_sc_hd__buf_2 _06734_ (.A(\u_spim_regs.cfg_m1_data_cnt[3] ),
     .X(_01730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06837_ (.A(_01545_),
+ sky130_fd_sc_hd__a2bb2o_4 _06735_ (.A1_N(_01729_),
+    .A2_N(_01679_),
+    .B1(_01730_),
+    .B2(_01681_),
     .X(_01731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06838_ (.A(_01730_),
-    .B(_01731_),
-    .Y(_01732_),
+ sky130_fd_sc_hd__buf_2 _06736_ (.A(_01291_),
+    .X(_01732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06839_ (.A(_01689_),
-    .B(\u_m1_res_fifo.mem[4][27] ),
+ sky130_fd_sc_hd__buf_2 _06737_ (.A(_01547_),
     .X(_01733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06840_ (.A(_01691_),
-    .X(_01734_),
+ sky130_fd_sc_hd__nor2_4 _06738_ (.A(_01732_),
+    .B(_01733_),
+    .Y(_01734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06841_ (.A(_01734_),
-    .B(\u_m1_res_fifo.mem[5][27] ),
+ sky130_fd_sc_hd__or2_4 _06739_ (.A(_01690_),
+    .B(\u_m1_res_fifo.mem[4][27] ),
     .X(_01735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06842_ (.A(_01687_),
-    .B(_01733_),
-    .C(_01735_),
+ sky130_fd_sc_hd__buf_2 _06740_ (.A(_01692_),
     .X(_01736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06843_ (.A(_01698_),
-    .B(\u_m1_res_fifo.mem[7][27] ),
+ sky130_fd_sc_hd__or2_4 _06741_ (.A(_01736_),
+    .B(\u_m1_res_fifo.mem[5][27] ),
     .X(_01737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06844_ (.A(_01701_),
-    .B(\u_m1_res_fifo.mem[6][27] ),
+ sky130_fd_sc_hd__and3_4 _06742_ (.A(_01688_),
+    .B(_01735_),
+    .C(_01737_),
     .X(_01738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06845_ (.A(_01696_),
-    .B(_01737_),
-    .C(_01738_),
+ sky130_fd_sc_hd__or2_4 _06743_ (.A(_01699_),
+    .B(\u_m1_res_fifo.mem[7][27] ),
     .X(_01739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06846_ (.A(_01685_),
-    .B(_01736_),
-    .C(_01739_),
+ sky130_fd_sc_hd__or2_4 _06744_ (.A(_01702_),
+    .B(\u_m1_res_fifo.mem[6][27] ),
     .X(_01740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06847_ (.A(_01488_),
+ sky130_fd_sc_hd__and3_4 _06745_ (.A(_01697_),
+    .B(_01739_),
+    .C(_01740_),
     .X(_01741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06848_ (.A(_01741_),
+ sky130_fd_sc_hd__or3_4 _06746_ (.A(_01686_),
+    .B(_01738_),
+    .C(_01741_),
     .X(_01742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06849_ (.A(_01742_),
-    .B(\u_m1_res_fifo.mem[0][27] ),
+ sky130_fd_sc_hd__buf_2 _06747_ (.A(_01487_),
     .X(_01743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06850_ (.A(_01494_),
+ sky130_fd_sc_hd__buf_2 _06748_ (.A(_01743_),
     .X(_01744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06851_ (.A(_01744_),
+ sky130_fd_sc_hd__or2_4 _06749_ (.A(_01744_),
+    .B(\u_m1_res_fifo.mem[0][27] ),
     .X(_01745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06852_ (.A(_01745_),
-    .B(\u_m1_res_fifo.mem[1][27] ),
+ sky130_fd_sc_hd__buf_2 _06750_ (.A(_01493_),
     .X(_01746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06853_ (.A(_01707_),
-    .B(_01743_),
-    .C(_01746_),
+ sky130_fd_sc_hd__buf_2 _06751_ (.A(_01746_),
     .X(_01747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06854_ (.A(_01715_),
-    .B(\u_m1_res_fifo.mem[3][27] ),
+ sky130_fd_sc_hd__or2_4 _06752_ (.A(_01747_),
+    .B(\u_m1_res_fifo.mem[1][27] ),
     .X(_01748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06855_ (.A(_01688_),
+ sky130_fd_sc_hd__and3_4 _06753_ (.A(_01708_),
+    .B(_01745_),
+    .C(_01748_),
     .X(_01749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06856_ (.A(_01749_),
-    .B(\u_m1_res_fifo.mem[2][27] ),
+ sky130_fd_sc_hd__or2_4 _06754_ (.A(_01716_),
+    .B(\u_m1_res_fifo.mem[3][27] ),
     .X(_01750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06857_ (.A(_01714_),
-    .B(_01748_),
-    .C(_01750_),
+ sky130_fd_sc_hd__buf_2 _06755_ (.A(_01689_),
     .X(_01751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06858_ (.A(_01706_),
-    .B(_01747_),
-    .C(_01751_),
+ sky130_fd_sc_hd__or2_4 _06756_ (.A(_01751_),
+    .B(\u_m1_res_fifo.mem[2][27] ),
     .X(_01752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06859_ (.A(_01740_),
-    .B(_01752_),
-    .C(_01721_),
+ sky130_fd_sc_hd__and3_4 _06757_ (.A(_01715_),
+    .B(_01750_),
+    .C(_01752_),
     .X(_01753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06860_ (.A(_01726_),
-    .B(_01729_),
-    .C(_01732_),
-    .D(_01753_),
+ sky130_fd_sc_hd__or3_4 _06758_ (.A(_01707_),
+    .B(_01749_),
+    .C(_01753_),
     .X(_01754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06861_ (.A(_01754_),
-    .Y(_01755_),
+ sky130_fd_sc_hd__and3_4 _06759_ (.A(_01742_),
+    .B(_01754_),
+    .C(_01722_),
+    .X(_01755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06862_ (.A1_N(_01725_),
-    .A2_N(_01755_),
+ sky130_fd_sc_hd__or4_4 _06760_ (.A(_01728_),
+    .B(_01731_),
+    .C(_01734_),
+    .D(_01755_),
+    .X(_01756_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06761_ (.A(_01756_),
+    .Y(_01757_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _06762_ (.A1_N(_01726_),
+    .A2_N(_01757_),
     .B1(\u_spim_regs.spim_reg_rdata[27] ),
-    .B2(_01725_),
-    .X(_01266_),
+    .B2(_01726_),
+    .X(_01267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06863_ (.A(\u_spim_regs.spim_reg_rdata[26] ),
-    .Y(_01756_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06864_ (.A(_01503_),
-    .X(_01757_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06865_ (.A(\u_spim_regs.cfg_m0_data_cnt[2] ),
+ sky130_fd_sc_hd__inv_2 _06763_ (.A(\u_spim_regs.spim_reg_rdata[26] ),
     .Y(_01758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06866_ (.A(_01758_),
-    .B(_01510_),
-    .Y(_01759_),
+ sky130_fd_sc_hd__buf_2 _06764_ (.A(_01502_),
+    .X(_01759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06867_ (.A(\u_spim_regs.cfg_m1_addr[26] ),
-    .Y(_01760_),
+ sky130_fd_sc_hd__buf_2 _06765_ (.A(_01507_),
+    .X(_01760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06868_ (.A(_01515_),
+ sky130_fd_sc_hd__and2_4 _06766_ (.A(\u_spim_regs.cfg_m0_data_cnt[2] ),
+    .B(_01760_),
     .X(_01761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06869_ (.A(\u_spim_regs.cfg_m1_data_cnt[2] ),
-    .X(_01762_),
+ sky130_fd_sc_hd__inv_2 _06767_ (.A(\u_spim_regs.cfg_m1_addr[26] ),
+    .Y(_01762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06870_ (.A(_01519_),
+ sky130_fd_sc_hd__buf_2 _06768_ (.A(_01515_),
     .X(_01763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06871_ (.A1_N(_01760_),
-    .A2_N(_01761_),
-    .B1(_01762_),
-    .B2(_01763_),
+ sky130_fd_sc_hd__buf_2 _06769_ (.A(\u_spim_regs.cfg_m1_data_cnt[2] ),
     .X(_01764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06872_ (.A(_01545_),
+ sky130_fd_sc_hd__a2bb2o_4 _06770_ (.A1_N(_01762_),
+    .A2_N(_01763_),
+    .B1(_01764_),
+    .B2(_01519_),
     .X(_01765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06873_ (.A(_01412_),
-    .Y(_01766_),
+ sky130_fd_sc_hd__buf_2 _06771_ (.A(_01547_),
+    .X(_01766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06874_ (.A(_01766_),
-    .B(_01422_),
-    .X(_01767_),
+ sky130_fd_sc_hd__inv_2 _06772_ (.A(psn_net_128),
+    .Y(_01767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06875_ (.A(_01765_),
-    .B(_01767_),
-    .Y(_01768_),
+ sky130_fd_sc_hd__or2_4 _06773_ (.A(_01767_),
+    .B(_01424_),
+    .X(_01768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06876_ (.A(_01551_),
-    .X(_01769_),
+ sky130_fd_sc_hd__nor2_4 _06774_ (.A(_01766_),
+    .B(_01768_),
+    .Y(_01769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06877_ (.A(_01555_),
-    .B(\u_m1_res_fifo.mem[0][26] ),
+ sky130_fd_sc_hd__buf_2 _06775_ (.A(_01553_),
     .X(_01770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06878_ (.A(_01559_),
-    .B(\u_m1_res_fifo.mem[1][26] ),
+ sky130_fd_sc_hd__or2_4 _06776_ (.A(_01557_),
+    .B(\u_m1_res_fifo.mem[0][26] ),
     .X(_01771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06879_ (.A(_01769_),
-    .B(_01770_),
-    .C(_01771_),
+ sky130_fd_sc_hd__or2_4 _06777_ (.A(_01561_),
+    .B(\u_m1_res_fifo.mem[1][26] ),
     .X(_01772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06880_ (.A(_01565_),
-    .B(\u_m1_res_fifo.mem[3][26] ),
+ sky130_fd_sc_hd__and3_4 _06778_ (.A(_01770_),
+    .B(_01771_),
+    .C(_01772_),
     .X(_01773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06881_ (.A(_01568_),
-    .B(\u_m1_res_fifo.mem[2][26] ),
+ sky130_fd_sc_hd__or2_4 _06779_ (.A(_01567_),
+    .B(\u_m1_res_fifo.mem[3][26] ),
     .X(_01774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06882_ (.A(_01564_),
-    .B(_01773_),
-    .C(_01774_),
+ sky130_fd_sc_hd__or2_4 _06780_ (.A(_01570_),
+    .B(\u_m1_res_fifo.mem[2][26] ),
     .X(_01775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06883_ (.A(_01549_),
-    .B(_01772_),
+ sky130_fd_sc_hd__and3_4 _06781_ (.A(_01566_),
+    .B(_01774_),
     .C(_01775_),
     .X(_01776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06884_ (.A(_01636_),
+ sky130_fd_sc_hd__or3_4 _06782_ (.A(_01551_),
+    .B(_01773_),
+    .C(_01776_),
     .X(_01777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06885_ (.A(_01575_),
+ sky130_fd_sc_hd__buf_2 _06783_ (.A(_01638_),
     .X(_01778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06886_ (.A(_01577_),
+ sky130_fd_sc_hd__buf_2 _06784_ (.A(_01577_),
     .X(_01779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06887_ (.A(_01779_),
-    .B(\u_m1_res_fifo.mem[4][26] ),
+ sky130_fd_sc_hd__buf_2 _06785_ (.A(_01579_),
     .X(_01780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06888_ (.A(_01580_),
+ sky130_fd_sc_hd__or2_4 _06786_ (.A(_01780_),
+    .B(\u_m1_res_fifo.mem[4][26] ),
     .X(_01781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06889_ (.A(_01781_),
-    .B(\u_m1_res_fifo.mem[5][26] ),
+ sky130_fd_sc_hd__buf_2 _06787_ (.A(_01582_),
     .X(_01782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06890_ (.A(_01778_),
-    .B(_01780_),
-    .C(_01782_),
+ sky130_fd_sc_hd__or2_4 _06788_ (.A(_01782_),
+    .B(\u_m1_res_fifo.mem[5][26] ),
     .X(_01783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06891_ (.A(_01586_),
-    .B(\u_m1_res_fifo.mem[7][26] ),
+ sky130_fd_sc_hd__and3_4 _06789_ (.A(_01779_),
+    .B(_01781_),
+    .C(_01783_),
     .X(_01784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06892_ (.A(_01588_),
-    .B(\u_m1_res_fifo.mem[6][26] ),
+ sky130_fd_sc_hd__or2_4 _06790_ (.A(_01588_),
+    .B(\u_m1_res_fifo.mem[7][26] ),
     .X(_01785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06893_ (.A(_01584_),
-    .B(_01784_),
-    .C(_01785_),
+ sky130_fd_sc_hd__or2_4 _06791_ (.A(_01590_),
+    .B(\u_m1_res_fifo.mem[6][26] ),
     .X(_01786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06894_ (.A(_01777_),
-    .B(_01783_),
+ sky130_fd_sc_hd__and3_4 _06792_ (.A(_01586_),
+    .B(_01785_),
     .C(_01786_),
     .X(_01787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06895_ (.A(_01776_),
-    .B(_01787_),
-    .C(_01594_),
+ sky130_fd_sc_hd__or3_4 _06793_ (.A(_01778_),
+    .B(_01784_),
+    .C(_01787_),
     .X(_01788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06896_ (.A(_01759_),
-    .B(_01764_),
-    .C(_01768_),
-    .D(_01788_),
+ sky130_fd_sc_hd__and3_4 _06794_ (.A(_01777_),
+    .B(_01788_),
+    .C(_01596_),
     .X(_01789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06897_ (.A1_N(_01756_),
-    .A2_N(_01757_),
-    .B1(_01757_),
-    .B2(_01789_),
-    .X(_01265_),
+ sky130_fd_sc_hd__or4_4 _06795_ (.A(_01761_),
+    .B(_01765_),
+    .C(_01769_),
+    .D(_01789_),
+    .X(_01790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06898_ (.A(\u_spim_regs.spim_reg_rdata[25] ),
-    .Y(_01790_),
+ sky130_fd_sc_hd__a2bb2o_4 _06796_ (.A1_N(_01758_),
+    .A2_N(_01759_),
+    .B1(_01759_),
+    .B2(_01790_),
+    .X(_01266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06899_ (.A(\u_spim_regs.cfg_m0_data_cnt[1] ),
+ sky130_fd_sc_hd__inv_2 _06797_ (.A(\u_spim_regs.spim_reg_rdata[25] ),
     .Y(_01791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06900_ (.A(_01509_),
+ sky130_fd_sc_hd__and2_4 _06798_ (.A(\u_spim_regs.cfg_m0_data_cnt[1] ),
+    .B(_01760_),
     .X(_01792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06901_ (.A(_01791_),
-    .B(_01792_),
+ sky130_fd_sc_hd__inv_2 _06799_ (.A(\u_spim_regs.cfg_m1_addr[25] ),
     .Y(_01793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06902_ (.A(\u_spim_regs.cfg_m1_addr[25] ),
-    .Y(_01794_),
+ sky130_fd_sc_hd__buf_2 _06800_ (.A(\u_spim_regs.cfg_m1_data_cnt[1] ),
+    .X(_01794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06903_ (.A(\u_spim_regs.cfg_m1_data_cnt[1] ),
+ sky130_fd_sc_hd__a2bb2o_4 _06801_ (.A1_N(_01793_),
+    .A2_N(_01763_),
+    .B1(_01794_),
+    .B2(_01519_),
     .X(_01795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06904_ (.A1_N(_01794_),
-    .A2_N(_01761_),
-    .B1(_01795_),
-    .B2(_01763_),
-    .X(_01796_),
+ sky130_fd_sc_hd__nor2_4 _06802_ (.A(psn_net_127),
+    .B(_01548_),
+    .Y(_01796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06905_ (.A(_01423_),
-    .B(_01546_),
-    .Y(_01797_),
+ sky130_fd_sc_hd__buf_2 _06803_ (.A(_01556_),
+    .X(_01797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06906_ (.A(_01554_),
+ sky130_fd_sc_hd__or2_4 _06804_ (.A(_01797_),
+    .B(\u_m1_res_fifo.mem[0][25] ),
     .X(_01798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06907_ (.A(_01798_),
-    .B(\u_m1_res_fifo.mem[0][25] ),
+ sky130_fd_sc_hd__buf_2 _06805_ (.A(_01587_),
     .X(_01799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06908_ (.A(_01585_),
+ sky130_fd_sc_hd__or2_4 _06806_ (.A(_01799_),
+    .B(\u_m1_res_fifo.mem[1][25] ),
     .X(_01800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06909_ (.A(_01800_),
-    .B(\u_m1_res_fifo.mem[1][25] ),
+ sky130_fd_sc_hd__and3_4 _06807_ (.A(_01770_),
+    .B(_01798_),
+    .C(_01800_),
     .X(_01801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06910_ (.A(_01769_),
-    .B(_01799_),
-    .C(_01801_),
+ sky130_fd_sc_hd__buf_2 _06808_ (.A(_01560_),
     .X(_01802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06911_ (.A(_01558_),
+ sky130_fd_sc_hd__or2_4 _06809_ (.A(_01802_),
+    .B(\u_m1_res_fifo.mem[3][25] ),
     .X(_01803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06912_ (.A(_01803_),
-    .B(\u_m1_res_fifo.mem[3][25] ),
+ sky130_fd_sc_hd__buf_2 _06810_ (.A(_01569_),
     .X(_01804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06913_ (.A(_01567_),
+ sky130_fd_sc_hd__or2_4 _06811_ (.A(_01804_),
+    .B(\u_m1_res_fifo.mem[2][25] ),
     .X(_01805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06914_ (.A(_01805_),
-    .B(\u_m1_res_fifo.mem[2][25] ),
+ sky130_fd_sc_hd__and3_4 _06812_ (.A(_01566_),
+    .B(_01803_),
+    .C(_01805_),
     .X(_01806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06915_ (.A(_01564_),
-    .B(_01804_),
+ sky130_fd_sc_hd__or3_4 _06813_ (.A(_01551_),
+    .B(_01801_),
     .C(_01806_),
     .X(_01807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06916_ (.A(_01549_),
-    .B(_01802_),
-    .C(_01807_),
+ sky130_fd_sc_hd__or2_4 _06814_ (.A(_01780_),
+    .B(\u_m1_res_fifo.mem[4][25] ),
     .X(_01808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06917_ (.A(_01779_),
-    .B(\u_m1_res_fifo.mem[4][25] ),
+ sky130_fd_sc_hd__or2_4 _06815_ (.A(_01782_),
+    .B(\u_m1_res_fifo.mem[5][25] ),
     .X(_01809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06918_ (.A(_01781_),
-    .B(\u_m1_res_fifo.mem[5][25] ),
+ sky130_fd_sc_hd__and3_4 _06816_ (.A(_01779_),
+    .B(_01808_),
+    .C(_01809_),
     .X(_01810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06919_ (.A(_01778_),
-    .B(_01809_),
-    .C(_01810_),
+ sky130_fd_sc_hd__buf_2 _06817_ (.A(_01587_),
     .X(_01811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06920_ (.A(_01585_),
+ sky130_fd_sc_hd__or2_4 _06818_ (.A(_01811_),
+    .B(\u_m1_res_fifo.mem[7][25] ),
     .X(_01812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06921_ (.A(_01812_),
-    .B(\u_m1_res_fifo.mem[7][25] ),
+ sky130_fd_sc_hd__buf_2 _06819_ (.A(_01556_),
     .X(_01813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06922_ (.A(_01554_),
+ sky130_fd_sc_hd__or2_4 _06820_ (.A(_01813_),
+    .B(\u_m1_res_fifo.mem[6][25] ),
     .X(_01814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06923_ (.A(_01814_),
-    .B(\u_m1_res_fifo.mem[6][25] ),
+ sky130_fd_sc_hd__and3_4 _06821_ (.A(_01586_),
+    .B(_01812_),
+    .C(_01814_),
     .X(_01815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06924_ (.A(_01584_),
-    .B(_01813_),
+ sky130_fd_sc_hd__or3_4 _06822_ (.A(_01778_),
+    .B(_01810_),
     .C(_01815_),
     .X(_01816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06925_ (.A(_01777_),
-    .B(_01811_),
-    .C(_01816_),
+ sky130_fd_sc_hd__buf_2 _06823_ (.A(_01595_),
     .X(_01817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06926_ (.A(_01593_),
+ sky130_fd_sc_hd__and3_4 _06824_ (.A(_01807_),
+    .B(_01816_),
+    .C(_01817_),
     .X(_01818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06927_ (.A(_01808_),
-    .B(_01817_),
-    .C(_01818_),
+ sky130_fd_sc_hd__or4_4 _06825_ (.A(_01792_),
+    .B(_01795_),
+    .C(_01796_),
+    .D(_01818_),
     .X(_01819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06928_ (.A(_01793_),
-    .B(_01796_),
-    .C(_01797_),
-    .D(_01819_),
-    .X(_01820_),
+ sky130_fd_sc_hd__a2bb2o_4 _06826_ (.A1_N(_01791_),
+    .A2_N(_01759_),
+    .B1(_01759_),
+    .B2(_01819_),
+    .X(_01265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06929_ (.A1_N(_01790_),
-    .A2_N(_01757_),
-    .B1(_01757_),
-    .B2(_01820_),
-    .X(_01264_),
+ sky130_fd_sc_hd__inv_2 _06827_ (.A(\u_spim_regs.spim_reg_rdata[24] ),
+    .Y(_01820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06930_ (.A(\u_spim_regs.spim_reg_rdata[24] ),
-    .Y(_01821_),
+ sky130_fd_sc_hd__buf_2 _06828_ (.A(_01502_),
+    .X(_01821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06931_ (.A(_01503_),
+ sky130_fd_sc_hd__and2_4 _06829_ (.A(\u_spim_regs.cfg_m0_data_cnt[0] ),
+    .B(_01760_),
     .X(_01822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06932_ (.A(\u_spim_regs.cfg_m0_data_cnt[0] ),
+ sky130_fd_sc_hd__inv_2 _06830_ (.A(\u_spim_regs.cfg_m1_addr[24] ),
     .Y(_01823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06933_ (.A(_01823_),
-    .B(_01792_),
-    .Y(_01824_),
+ sky130_fd_sc_hd__buf_2 _06831_ (.A(\u_spim_regs.cfg_m1_data_cnt[0] ),
+    .X(_01824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06934_ (.A(\u_spim_regs.cfg_m1_addr[24] ),
-    .Y(_01825_),
+ sky130_fd_sc_hd__buf_2 _06832_ (.A(_01518_),
+    .X(_01825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06935_ (.A(\u_spim_regs.cfg_m1_data_cnt[0] ),
+ sky130_fd_sc_hd__a2bb2o_4 _06833_ (.A1_N(_01823_),
+    .A2_N(_01763_),
+    .B1(_01824_),
+    .B2(_01825_),
     .X(_01826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06936_ (.A1_N(_01825_),
-    .A2_N(_01761_),
-    .B1(_01826_),
-    .B2(_01763_),
-    .X(_01827_),
+ sky130_fd_sc_hd__inv_2 _06834_ (.A(\u_m1_cmd_fifo.wr_ptr[2] ),
+    .Y(_01827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06937_ (.A(\u_m1_cmd_fifo.wr_ptr[2] ),
+ sky130_fd_sc_hd__inv_2 _06835_ (.A(\u_m1_cmd_fifo.rd_ptr[2] ),
     .Y(_01828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06938_ (.A(\u_m1_cmd_fifo.rd_ptr[2] ),
-    .Y(_01829_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06939_ (.A1(\u_m1_cmd_fifo.wr_ptr[2] ),
+ sky130_fd_sc_hd__o22a_4 _06836_ (.A1(\u_m1_cmd_fifo.wr_ptr[2] ),
     .A2(\u_m1_cmd_fifo.rd_ptr[2] ),
-    .B1(_01828_),
-    .B2(_01829_),
-    .X(_01830_),
+    .B1(_01827_),
+    .B2(_01828_),
+    .X(_01829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06940_ (.A(_01830_),
-    .Y(_01831_),
+ sky130_fd_sc_hd__inv_2 _06837_ (.A(_01829_),
+    .Y(_01830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06941_ (.A(\u_m1_cmd_fifo.wr_ptr[0] ),
-    .X(_01832_),
+ sky130_fd_sc_hd__buf_2 _06838_ (.A(\u_m1_cmd_fifo.wr_ptr[0] ),
+    .X(_01831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06942_ (.A(\u_m1_cmd_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__inv_2 _06839_ (.A(\u_m1_cmd_fifo.rd_ptr[0] ),
+    .Y(_01832_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06840_ (.A(\u_m1_cmd_fifo.wr_ptr[0] ),
     .Y(_01833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06943_ (.A(\u_m1_cmd_fifo.wr_ptr[0] ),
-    .Y(_01834_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _06944_ (.A(_01834_),
+ sky130_fd_sc_hd__and2_4 _06841_ (.A(_01833_),
     .B(\u_m1_cmd_fifo.rd_ptr[0] ),
-    .X(_01835_),
+    .X(_01834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06945_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
+ sky130_fd_sc_hd__inv_2 _06842_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
+    .Y(_01835_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _06843_ (.A(\u_m1_cmd_fifo.rd_ptr[1] ),
     .Y(_01836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06946_ (.A(\u_m1_cmd_fifo.rd_ptr[1] ),
-    .Y(_01837_),
+ sky130_fd_sc_hd__o22a_4 _06844_ (.A1(\u_m1_cmd_fifo.wr_ptr[1] ),
+    .A2(\u_m1_cmd_fifo.rd_ptr[1] ),
+    .B1(_01835_),
+    .B2(_01836_),
+    .X(_01837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _06947_ (.A1(\u_m1_cmd_fifo.wr_ptr[1] ),
-    .A2(\u_m1_cmd_fifo.rd_ptr[1] ),
-    .B1(_01836_),
-    .B2(_01837_),
+ sky130_fd_sc_hd__a211o_4 _06845_ (.A1(_01831_),
+    .A2(_01832_),
+    .B1(_01834_),
+    .C1(_01837_),
     .X(_01838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _06948_ (.A1(_01832_),
-    .A2(_01833_),
-    .B1(_01835_),
-    .C1(_01838_),
+ sky130_fd_sc_hd__or2_4 _06846_ (.A(_01830_),
+    .B(_01838_),
     .X(_01839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06949_ (.A(_01831_),
+ sky130_fd_sc_hd__nor2_4 _06847_ (.A(_01766_),
     .B(_01839_),
-    .X(_01840_),
+    .Y(_01840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06950_ (.A(_01765_),
-    .B(_01840_),
-    .Y(_01841_),
+ sky130_fd_sc_hd__buf_2 _06848_ (.A(_01550_),
+    .X(_01841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06951_ (.A(_01548_),
+ sky130_fd_sc_hd__or2_4 _06849_ (.A(_01797_),
+    .B(\u_m1_res_fifo.mem[0][24] ),
     .X(_01842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06952_ (.A(_01798_),
-    .B(\u_m1_res_fifo.mem[0][24] ),
+ sky130_fd_sc_hd__or2_4 _06850_ (.A(_01799_),
+    .B(\u_m1_res_fifo.mem[1][24] ),
     .X(_01843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06953_ (.A(_01800_),
-    .B(\u_m1_res_fifo.mem[1][24] ),
+ sky130_fd_sc_hd__and3_4 _06851_ (.A(_01770_),
+    .B(_01842_),
+    .C(_01843_),
     .X(_01844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06954_ (.A(_01769_),
-    .B(_01843_),
-    .C(_01844_),
+ sky130_fd_sc_hd__buf_2 _06852_ (.A(_01565_),
     .X(_01845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06955_ (.A(_01563_),
+ sky130_fd_sc_hd__or2_4 _06853_ (.A(_01802_),
+    .B(\u_m1_res_fifo.mem[3][24] ),
     .X(_01846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06956_ (.A(_01803_),
-    .B(\u_m1_res_fifo.mem[3][24] ),
+ sky130_fd_sc_hd__or2_4 _06854_ (.A(_01804_),
+    .B(\u_m1_res_fifo.mem[2][24] ),
     .X(_01847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06957_ (.A(_01805_),
-    .B(\u_m1_res_fifo.mem[2][24] ),
+ sky130_fd_sc_hd__and3_4 _06855_ (.A(_01845_),
+    .B(_01846_),
+    .C(_01847_),
     .X(_01848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06958_ (.A(_01846_),
-    .B(_01847_),
+ sky130_fd_sc_hd__or3_4 _06856_ (.A(_01841_),
+    .B(_01844_),
     .C(_01848_),
     .X(_01849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06959_ (.A(_01842_),
-    .B(_01845_),
-    .C(_01849_),
+ sky130_fd_sc_hd__or2_4 _06857_ (.A(_01780_),
+    .B(\u_m1_res_fifo.mem[4][24] ),
     .X(_01850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06960_ (.A(_01779_),
-    .B(\u_m1_res_fifo.mem[4][24] ),
+ sky130_fd_sc_hd__or2_4 _06858_ (.A(_01782_),
+    .B(\u_m1_res_fifo.mem[5][24] ),
     .X(_01851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06961_ (.A(_01781_),
-    .B(\u_m1_res_fifo.mem[5][24] ),
+ sky130_fd_sc_hd__and3_4 _06859_ (.A(_01779_),
+    .B(_01850_),
+    .C(_01851_),
     .X(_01852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06962_ (.A(_01778_),
-    .B(_01851_),
-    .C(_01852_),
+ sky130_fd_sc_hd__buf_2 _06860_ (.A(_01565_),
     .X(_01853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06963_ (.A(_01563_),
+ sky130_fd_sc_hd__or2_4 _06861_ (.A(_01811_),
+    .B(\u_m1_res_fifo.mem[7][24] ),
     .X(_01854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06964_ (.A(_01812_),
-    .B(\u_m1_res_fifo.mem[7][24] ),
+ sky130_fd_sc_hd__or2_4 _06862_ (.A(_01813_),
+    .B(\u_m1_res_fifo.mem[6][24] ),
     .X(_01855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06965_ (.A(_01814_),
-    .B(\u_m1_res_fifo.mem[6][24] ),
+ sky130_fd_sc_hd__and3_4 _06863_ (.A(_01853_),
+    .B(_01854_),
+    .C(_01855_),
     .X(_01856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06966_ (.A(_01854_),
-    .B(_01855_),
+ sky130_fd_sc_hd__or3_4 _06864_ (.A(_01778_),
+    .B(_01852_),
     .C(_01856_),
     .X(_01857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06967_ (.A(_01777_),
-    .B(_01853_),
-    .C(_01857_),
+ sky130_fd_sc_hd__and3_4 _06865_ (.A(_01849_),
+    .B(_01857_),
+    .C(_01817_),
     .X(_01858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06968_ (.A(_01850_),
-    .B(_01858_),
-    .C(_01818_),
+ sky130_fd_sc_hd__or4_4 _06866_ (.A(_01822_),
+    .B(_01826_),
+    .C(_01840_),
+    .D(_01858_),
     .X(_01859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06969_ (.A(_01824_),
-    .B(_01827_),
-    .C(_01841_),
-    .D(_01859_),
-    .X(_01860_),
+ sky130_fd_sc_hd__a2bb2o_4 _06867_ (.A1_N(_01820_),
+    .A2_N(_01821_),
+    .B1(_01821_),
+    .B2(_01859_),
+    .X(_01264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06970_ (.A1_N(_01821_),
-    .A2_N(_01822_),
-    .B1(_01822_),
-    .B2(_01860_),
-    .X(_01263_),
+ sky130_fd_sc_hd__inv_2 _06868_ (.A(\u_spim_regs.spim_reg_rdata[23] ),
+    .Y(_01860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06971_ (.A(\u_spim_regs.spim_reg_rdata[23] ),
-    .Y(_01861_),
+ sky130_fd_sc_hd__and2_4 _06869_ (.A(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
+    .B(_01760_),
+    .X(_01861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06972_ (.A(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
+ sky130_fd_sc_hd__inv_2 _06870_ (.A(\u_spim_regs.cfg_m1_addr[23] ),
     .Y(_01862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06973_ (.A(_01862_),
-    .B(_01792_),
-    .Y(_01863_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06974_ (.A(\u_spim_regs.cfg_m1_addr[23] ),
-    .Y(_01864_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06975_ (.A1_N(_01864_),
-    .A2_N(_01761_),
+ sky130_fd_sc_hd__a2bb2o_4 _06871_ (.A1_N(_01862_),
+    .A2_N(_01763_),
     .B1(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
-    .B2(_01763_),
-    .X(_01865_),
+    .B2(_01825_),
+    .X(_01863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06976_ (.A(_01830_),
-    .B(_01839_),
+ sky130_fd_sc_hd__or2_4 _06872_ (.A(_01829_),
+    .B(_01838_),
+    .X(_01864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _06873_ (.A(_01766_),
+    .B(_01864_),
+    .Y(_01865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _06874_ (.A(_01797_),
+    .B(\u_m1_res_fifo.mem[0][23] ),
     .X(_01866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06977_ (.A(_01765_),
-    .B(_01866_),
-    .Y(_01867_),
+ sky130_fd_sc_hd__or2_4 _06875_ (.A(_01799_),
+    .B(\u_m1_res_fifo.mem[1][23] ),
+    .X(_01867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06978_ (.A(_01798_),
-    .B(\u_m1_res_fifo.mem[0][23] ),
+ sky130_fd_sc_hd__and3_4 _06876_ (.A(_01770_),
+    .B(_01866_),
+    .C(_01867_),
     .X(_01868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06979_ (.A(_01800_),
-    .B(\u_m1_res_fifo.mem[1][23] ),
+ sky130_fd_sc_hd__or2_4 _06877_ (.A(_01802_),
+    .B(\u_m1_res_fifo.mem[3][23] ),
     .X(_01869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06980_ (.A(_01769_),
-    .B(_01868_),
-    .C(_01869_),
+ sky130_fd_sc_hd__or2_4 _06878_ (.A(_01804_),
+    .B(\u_m1_res_fifo.mem[2][23] ),
     .X(_01870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06981_ (.A(_01803_),
-    .B(\u_m1_res_fifo.mem[3][23] ),
+ sky130_fd_sc_hd__and3_4 _06879_ (.A(_01845_),
+    .B(_01869_),
+    .C(_01870_),
     .X(_01871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06982_ (.A(_01805_),
-    .B(\u_m1_res_fifo.mem[2][23] ),
+ sky130_fd_sc_hd__or3_4 _06880_ (.A(_01841_),
+    .B(_01868_),
+    .C(_01871_),
     .X(_01872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06983_ (.A(_01846_),
-    .B(_01871_),
-    .C(_01872_),
+ sky130_fd_sc_hd__or2_4 _06881_ (.A(_01780_),
+    .B(\u_m1_res_fifo.mem[4][23] ),
     .X(_01873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06984_ (.A(_01842_),
-    .B(_01870_),
-    .C(_01873_),
+ sky130_fd_sc_hd__or2_4 _06882_ (.A(_01782_),
+    .B(\u_m1_res_fifo.mem[5][23] ),
     .X(_01874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06985_ (.A(_01779_),
-    .B(\u_m1_res_fifo.mem[4][23] ),
+ sky130_fd_sc_hd__and3_4 _06883_ (.A(_01779_),
+    .B(_01873_),
+    .C(_01874_),
     .X(_01875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06986_ (.A(_01781_),
-    .B(\u_m1_res_fifo.mem[5][23] ),
+ sky130_fd_sc_hd__or2_4 _06884_ (.A(_01811_),
+    .B(\u_m1_res_fifo.mem[7][23] ),
     .X(_01876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06987_ (.A(_01778_),
-    .B(_01875_),
-    .C(_01876_),
+ sky130_fd_sc_hd__or2_4 _06885_ (.A(_01813_),
+    .B(\u_m1_res_fifo.mem[6][23] ),
     .X(_01877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06988_ (.A(_01812_),
-    .B(\u_m1_res_fifo.mem[7][23] ),
+ sky130_fd_sc_hd__and3_4 _06886_ (.A(_01853_),
+    .B(_01876_),
+    .C(_01877_),
     .X(_01878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _06989_ (.A(_01814_),
-    .B(\u_m1_res_fifo.mem[6][23] ),
+ sky130_fd_sc_hd__or3_4 _06887_ (.A(_01778_),
+    .B(_01875_),
+    .C(_01878_),
     .X(_01879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06990_ (.A(_01854_),
-    .B(_01878_),
-    .C(_01879_),
+ sky130_fd_sc_hd__and3_4 _06888_ (.A(_01872_),
+    .B(_01879_),
+    .C(_01817_),
     .X(_01880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _06991_ (.A(_01777_),
-    .B(_01877_),
-    .C(_01880_),
+ sky130_fd_sc_hd__or4_4 _06889_ (.A(_01861_),
+    .B(_01863_),
+    .C(_01865_),
+    .D(_01880_),
     .X(_01881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _06992_ (.A(_01874_),
-    .B(_01881_),
-    .C(_01818_),
-    .X(_01882_),
+ sky130_fd_sc_hd__a2bb2o_4 _06890_ (.A1_N(_01860_),
+    .A2_N(_01821_),
+    .B1(_01821_),
+    .B2(_01881_),
+    .X(_01263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _06993_ (.A(_01863_),
-    .B(_01865_),
-    .C(_01867_),
-    .D(_01882_),
+ sky130_fd_sc_hd__inv_2 _06891_ (.A(\u_spim_regs.spim_reg_rdata[22] ),
+    .Y(_01882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _06892_ (.A(_01502_),
     .X(_01883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _06994_ (.A1_N(_01861_),
-    .A2_N(_01822_),
-    .B1(_01822_),
-    .B2(_01883_),
-    .X(_01262_),
+ sky130_fd_sc_hd__and2_4 _06893_ (.A(\u_spim_regs.cfg_m0_dummy_cnt[0] ),
+    .B(_01676_),
+    .X(_01884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06995_ (.A(\u_spim_regs.spim_reg_rdata[22] ),
-    .Y(_01884_),
+ sky130_fd_sc_hd__inv_2 _06894_ (.A(\u_spim_regs.cfg_m1_addr[22] ),
+    .Y(_01885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _06996_ (.A(_01503_),
-    .X(_01885_),
+ sky130_fd_sc_hd__buf_2 _06895_ (.A(_01515_),
+    .X(_01886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06997_ (.A(\u_spim_regs.cfg_m0_dummy_cnt[0] ),
-    .Y(_01886_),
+ sky130_fd_sc_hd__a2bb2o_4 _06896_ (.A1_N(_01885_),
+    .A2_N(_01886_),
+    .B1(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
+    .B2(_01825_),
+    .X(_01887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _06998_ (.A(_01886_),
-    .B(_01792_),
-    .Y(_01887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _06999_ (.A(\u_spim_regs.cfg_m1_addr[22] ),
+ sky130_fd_sc_hd__inv_2 _06897_ (.A(_01485_),
     .Y(_01888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07000_ (.A(_01677_),
+ sky130_fd_sc_hd__or2_4 _06898_ (.A(_01888_),
+    .B(_01495_),
     .X(_01889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07001_ (.A1_N(_01888_),
-    .A2_N(_01889_),
-    .B1(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
-    .B2(_01680_),
-    .X(_01890_),
+ sky130_fd_sc_hd__nor2_4 _06899_ (.A(_01766_),
+    .B(_01889_),
+    .Y(_01890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07002_ (.A(_01486_),
-    .Y(_01891_),
+ sky130_fd_sc_hd__or2_4 _06900_ (.A(_01797_),
+    .B(\u_m1_res_fifo.mem[0][22] ),
+    .X(_01891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07003_ (.A(_01891_),
-    .B(_01496_),
+ sky130_fd_sc_hd__or2_4 _06901_ (.A(_01799_),
+    .B(\u_m1_res_fifo.mem[1][22] ),
     .X(_01892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07004_ (.A(_01765_),
-    .B(_01892_),
-    .Y(_01893_),
+ sky130_fd_sc_hd__and3_4 _06902_ (.A(_01578_),
+    .B(_01891_),
+    .C(_01892_),
+    .X(_01893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07005_ (.A(_01798_),
-    .B(\u_m1_res_fifo.mem[0][22] ),
+ sky130_fd_sc_hd__or2_4 _06903_ (.A(_01802_),
+    .B(\u_m1_res_fifo.mem[3][22] ),
     .X(_01894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07006_ (.A(_01800_),
-    .B(\u_m1_res_fifo.mem[1][22] ),
+ sky130_fd_sc_hd__or2_4 _06904_ (.A(_01804_),
+    .B(\u_m1_res_fifo.mem[2][22] ),
     .X(_01895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07007_ (.A(_01576_),
+ sky130_fd_sc_hd__and3_4 _06905_ (.A(_01845_),
     .B(_01894_),
     .C(_01895_),
     .X(_01896_),
@@ -4428,51 +4428,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07008_ (.A(_01803_),
-    .B(\u_m1_res_fifo.mem[3][22] ),
+ sky130_fd_sc_hd__or3_4 _06906_ (.A(_01841_),
+    .B(_01893_),
+    .C(_01896_),
     .X(_01897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07009_ (.A(_01805_),
-    .B(\u_m1_res_fifo.mem[2][22] ),
+ sky130_fd_sc_hd__or2_4 _06907_ (.A(_01668_),
+    .B(\u_m1_res_fifo.mem[4][22] ),
     .X(_01898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07010_ (.A(_01846_),
-    .B(_01897_),
-    .C(_01898_),
+ sky130_fd_sc_hd__or2_4 _06908_ (.A(_01643_),
+    .B(\u_m1_res_fifo.mem[5][22] ),
     .X(_01899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07011_ (.A(_01842_),
-    .B(_01896_),
+ sky130_fd_sc_hd__and3_4 _06909_ (.A(_01640_),
+    .B(_01898_),
     .C(_01899_),
     .X(_01900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07012_ (.A(_01666_),
-    .B(\u_m1_res_fifo.mem[4][22] ),
+ sky130_fd_sc_hd__or2_4 _06910_ (.A(_01811_),
+    .B(\u_m1_res_fifo.mem[7][22] ),
     .X(_01901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07013_ (.A(_01641_),
-    .B(\u_m1_res_fifo.mem[5][22] ),
+ sky130_fd_sc_hd__or2_4 _06911_ (.A(_01813_),
+    .B(\u_m1_res_fifo.mem[6][22] ),
     .X(_01902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07014_ (.A(_01638_),
+ sky130_fd_sc_hd__and3_4 _06912_ (.A(_01853_),
     .B(_01901_),
     .C(_01902_),
     .X(_01903_),
@@ -4480,146 +4480,148 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07015_ (.A(_01812_),
-    .B(\u_m1_res_fifo.mem[7][22] ),
+ sky130_fd_sc_hd__or3_4 _06913_ (.A(_01639_),
+    .B(_01900_),
+    .C(_01903_),
     .X(_01904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07016_ (.A(_01814_),
-    .B(\u_m1_res_fifo.mem[6][22] ),
+ sky130_fd_sc_hd__and3_4 _06914_ (.A(_01897_),
+    .B(_01904_),
+    .C(_01817_),
     .X(_01905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07017_ (.A(_01854_),
-    .B(_01904_),
-    .C(_01905_),
+ sky130_fd_sc_hd__or4_4 _06915_ (.A(_01884_),
+    .B(_01887_),
+    .C(_01890_),
+    .D(_01905_),
     .X(_01906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07018_ (.A(_01637_),
-    .B(_01903_),
-    .C(_01906_),
-    .X(_01907_),
+ sky130_fd_sc_hd__a2bb2o_4 _06916_ (.A1_N(_01882_),
+    .A2_N(_01883_),
+    .B1(_01883_),
+    .B2(_01906_),
+    .X(_01262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07019_ (.A(_01900_),
-    .B(_01907_),
-    .C(_01818_),
+ sky130_fd_sc_hd__inv_2 _06917_ (.A(\u_spim_regs.spim_reg_rdata[21] ),
+    .Y(_01907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _06918_ (.A(\u_spim_regs.cfg_m0_addr_cnt[1] ),
+    .B(_01676_),
     .X(_01908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07020_ (.A(_01887_),
-    .B(_01890_),
-    .C(_01893_),
-    .D(_01908_),
-    .X(_01909_),
+ sky130_fd_sc_hd__inv_2 _06919_ (.A(\u_spim_regs.cfg_m1_addr[21] ),
+    .Y(_01909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07021_ (.A1_N(_01884_),
-    .A2_N(_01885_),
-    .B1(_01885_),
-    .B2(_01909_),
-    .X(_01261_),
+ sky130_fd_sc_hd__a2bb2o_4 _06920_ (.A1_N(_01909_),
+    .A2_N(_01886_),
+    .B1(\u_spim_regs.cfg_m1_addr_cnt[1] ),
+    .B2(_01825_),
+    .X(_01910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07022_ (.A(\u_spim_regs.spim_reg_rdata[21] ),
-    .Y(_01910_),
+ sky130_fd_sc_hd__buf_2 _06921_ (.A(_01496_),
+    .X(_01911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07023_ (.A(\u_spim_regs.cfg_m0_addr_cnt[1] ),
-    .Y(_01911_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07024_ (.A(_01911_),
-    .B(_01634_),
+ sky130_fd_sc_hd__nor2_4 _06922_ (.A(_01911_),
+    .B(_01548_),
     .Y(_01912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07025_ (.A(\u_spim_regs.cfg_m1_addr[21] ),
-    .Y(_01913_),
+ sky130_fd_sc_hd__or2_4 _06923_ (.A(_01650_),
+    .B(\u_m1_res_fifo.mem[0][21] ),
+    .X(_01913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07026_ (.A1_N(_01913_),
-    .A2_N(_01889_),
-    .B1(\u_spim_regs.cfg_m1_addr_cnt[1] ),
-    .B2(_01680_),
+ sky130_fd_sc_hd__or2_4 _06924_ (.A(_01588_),
+    .B(\u_m1_res_fifo.mem[1][21] ),
     .X(_01914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07027_ (.A(_01497_),
+ sky130_fd_sc_hd__and3_4 _06925_ (.A(_01578_),
+    .B(_01913_),
+    .C(_01914_),
     .X(_01915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07028_ (.A(_01915_),
-    .B(_01546_),
-    .Y(_01916_),
+ sky130_fd_sc_hd__or2_4 _06926_ (.A(_01561_),
+    .B(\u_m1_res_fifo.mem[3][21] ),
+    .X(_01916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07029_ (.A(_01648_),
-    .B(\u_m1_res_fifo.mem[0][21] ),
+ sky130_fd_sc_hd__or2_4 _06927_ (.A(_01590_),
+    .B(\u_m1_res_fifo.mem[2][21] ),
     .X(_01917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07030_ (.A(_01586_),
-    .B(\u_m1_res_fifo.mem[1][21] ),
+ sky130_fd_sc_hd__and3_4 _06928_ (.A(_01845_),
+    .B(_01916_),
+    .C(_01917_),
     .X(_01918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07031_ (.A(_01576_),
-    .B(_01917_),
+ sky130_fd_sc_hd__or3_4 _06929_ (.A(_01841_),
+    .B(_01915_),
     .C(_01918_),
     .X(_01919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07032_ (.A(_01559_),
-    .B(\u_m1_res_fifo.mem[3][21] ),
+ sky130_fd_sc_hd__or2_4 _06930_ (.A(_01668_),
+    .B(\u_m1_res_fifo.mem[4][21] ),
     .X(_01920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07033_ (.A(_01588_),
-    .B(\u_m1_res_fifo.mem[2][21] ),
+ sky130_fd_sc_hd__or2_4 _06931_ (.A(_01643_),
+    .B(\u_m1_res_fifo.mem[5][21] ),
     .X(_01921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07034_ (.A(_01846_),
+ sky130_fd_sc_hd__and3_4 _06932_ (.A(_01640_),
     .B(_01920_),
     .C(_01921_),
     .X(_01922_),
@@ -4627,161 +4629,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07035_ (.A(_01842_),
-    .B(_01919_),
-    .C(_01922_),
+ sky130_fd_sc_hd__or2_4 _06933_ (.A(_01648_),
+    .B(\u_m1_res_fifo.mem[7][21] ),
     .X(_01923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07036_ (.A(_01666_),
-    .B(\u_m1_res_fifo.mem[4][21] ),
+ sky130_fd_sc_hd__or2_4 _06934_ (.A(_01557_),
+    .B(\u_m1_res_fifo.mem[6][21] ),
     .X(_01924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07037_ (.A(_01641_),
-    .B(\u_m1_res_fifo.mem[5][21] ),
+ sky130_fd_sc_hd__and3_4 _06935_ (.A(_01853_),
+    .B(_01923_),
+    .C(_01924_),
     .X(_01925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07038_ (.A(_01638_),
-    .B(_01924_),
+ sky130_fd_sc_hd__or3_4 _06936_ (.A(_01639_),
+    .B(_01922_),
     .C(_01925_),
     .X(_01926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07039_ (.A(_01646_),
-    .B(\u_m1_res_fifo.mem[7][21] ),
+ sky130_fd_sc_hd__and3_4 _06937_ (.A(_01919_),
+    .B(_01926_),
+    .C(_01672_),
     .X(_01927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07040_ (.A(_01555_),
-    .B(\u_m1_res_fifo.mem[6][21] ),
+ sky130_fd_sc_hd__or4_4 _06938_ (.A(_01908_),
+    .B(_01910_),
+    .C(_01912_),
+    .D(_01927_),
     .X(_01928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07041_ (.A(_01854_),
-    .B(_01927_),
-    .C(_01928_),
-    .X(_01929_),
+ sky130_fd_sc_hd__a2bb2o_4 _06939_ (.A1_N(_01907_),
+    .A2_N(_01883_),
+    .B1(_01883_),
+    .B2(_01928_),
+    .X(_01261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07042_ (.A(_01637_),
-    .B(_01926_),
-    .C(_01929_),
-    .X(_01930_),
+ sky130_fd_sc_hd__inv_2 _06940_ (.A(\u_spim_regs.cfg_m1_addr[20] ),
+    .Y(_01929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07043_ (.A(_01923_),
-    .B(_01930_),
-    .C(_01670_),
+ sky130_fd_sc_hd__inv_2 _06941_ (.A(_01532_),
+    .Y(_01930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _06942_ (.A(_01930_),
     .X(_01931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07044_ (.A(_01912_),
-    .B(_01914_),
-    .C(_01916_),
-    .D(_01931_),
+ sky130_fd_sc_hd__o22a_4 _06943_ (.A1(_01929_),
+    .A2(_01626_),
+    .B1(_01931_),
+    .B2(_01630_),
     .X(_01932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07045_ (.A1_N(_01910_),
-    .A2_N(_01885_),
-    .B1(_01885_),
-    .B2(_01932_),
-    .X(_01260_),
+ sky130_fd_sc_hd__buf_2 _06944_ (.A(_01518_),
+    .X(_01933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07046_ (.A(\u_spim_regs.cfg_m1_addr[20] ),
-    .Y(_01933_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07047_ (.A(psn_net_238),
+ sky130_fd_sc_hd__buf_2 _06945_ (.A(_01933_),
     .X(_01934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07048_ (.A(_01934_),
+ sky130_fd_sc_hd__buf_2 _06946_ (.A(_01676_),
     .X(_01935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07049_ (.A1(_01933_),
-    .A2(_01624_),
-    .B1(_01935_),
-    .B2(_01628_),
-    .X(_01936_),
+ sky130_fd_sc_hd__a22oi_4 _06947_ (.A1(\u_spim_regs.cfg_m1_addr_cnt[0] ),
+    .A2(_01934_),
+    .B1(\u_spim_regs.cfg_m0_addr_cnt[0] ),
+    .B2(_01935_),
+    .Y(_01936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07050_ (.A(\u_spim_regs.cfg_m1_addr_cnt[0] ),
-    .Y(_01937_),
+ sky130_fd_sc_hd__buf_2 _06948_ (.A(_01657_),
+    .X(_01937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07051_ (.A(\u_spim_regs.cfg_m0_addr_cnt[0] ),
-    .Y(_01938_),
+ sky130_fd_sc_hd__or2_4 _06949_ (.A(_01937_),
+    .B(\u_m1_res_fifo.mem[4][20] ),
+    .X(_01938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07052_ (.A1(_01937_),
-    .A2(_01632_),
-    .B1(_01938_),
-    .B2(_01634_),
+ sky130_fd_sc_hd__or2_4 _06950_ (.A(_01643_),
+    .B(\u_m1_res_fifo.mem[5][20] ),
     .X(_01939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07053_ (.A(_01655_),
+ sky130_fd_sc_hd__and3_4 _06951_ (.A(_01640_),
+    .B(_01938_),
+    .C(_01939_),
     .X(_01940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07054_ (.A(_01940_),
-    .B(\u_m1_res_fifo.mem[4][20] ),
+ sky130_fd_sc_hd__or2_4 _06952_ (.A(_01648_),
+    .B(\u_m1_res_fifo.mem[7][20] ),
     .X(_01941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07055_ (.A(_01641_),
-    .B(\u_m1_res_fifo.mem[5][20] ),
+ sky130_fd_sc_hd__or2_4 _06953_ (.A(_01650_),
+    .B(\u_m1_res_fifo.mem[6][20] ),
     .X(_01942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07056_ (.A(_01638_),
+ sky130_fd_sc_hd__and3_4 _06954_ (.A(_01647_),
     .B(_01941_),
     .C(_01942_),
     .X(_01943_),
@@ -4789,218 +4789,218 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07057_ (.A(_01646_),
-    .B(\u_m1_res_fifo.mem[7][20] ),
+ sky130_fd_sc_hd__or3_4 _06955_ (.A(_01639_),
+    .B(_01940_),
+    .C(_01943_),
     .X(_01944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07058_ (.A(_01648_),
-    .B(\u_m1_res_fifo.mem[6][20] ),
+ sky130_fd_sc_hd__buf_2 _06956_ (.A(_01492_),
     .X(_01945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07059_ (.A(_01645_),
-    .B(_01944_),
-    .C(_01945_),
+ sky130_fd_sc_hd__buf_2 _06957_ (.A(_01945_),
     .X(_01946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07060_ (.A(_01637_),
-    .B(_01943_),
-    .C(_01946_),
+ sky130_fd_sc_hd__or2_4 _06958_ (.A(_01658_),
+    .B(\u_m1_res_fifo.mem[0][20] ),
     .X(_01947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07061_ (.A(_01493_),
+ sky130_fd_sc_hd__or2_4 _06959_ (.A(_01662_),
+    .B(\u_m1_res_fifo.mem[1][20] ),
     .X(_01948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07062_ (.A(_01948_),
+ sky130_fd_sc_hd__and3_4 _06960_ (.A(_01946_),
+    .B(_01947_),
+    .C(_01948_),
     .X(_01949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07063_ (.A(_01656_),
-    .B(\u_m1_res_fifo.mem[0][20] ),
+ sky130_fd_sc_hd__buf_2 _06961_ (.A(_01661_),
     .X(_01950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07064_ (.A(_01660_),
-    .B(\u_m1_res_fifo.mem[1][20] ),
+ sky130_fd_sc_hd__or2_4 _06962_ (.A(_01950_),
+    .B(\u_m1_res_fifo.mem[3][20] ),
     .X(_01951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07065_ (.A(_01949_),
-    .B(_01950_),
-    .C(_01951_),
+ sky130_fd_sc_hd__or2_4 _06963_ (.A(_01668_),
+    .B(\u_m1_res_fifo.mem[2][20] ),
     .X(_01952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07066_ (.A(_01659_),
+ sky130_fd_sc_hd__and3_4 _06964_ (.A(_01665_),
+    .B(_01951_),
+    .C(_01952_),
     .X(_01953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07067_ (.A(_01953_),
-    .B(\u_m1_res_fifo.mem[3][20] ),
+ sky130_fd_sc_hd__or3_4 _06965_ (.A(_01654_),
+    .B(_01949_),
+    .C(_01953_),
     .X(_01954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07068_ (.A(_01666_),
-    .B(\u_m1_res_fifo.mem[2][20] ),
+ sky130_fd_sc_hd__and3_4 _06966_ (.A(_01944_),
+    .B(_01954_),
+    .C(_01672_),
     .X(_01955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07069_ (.A(_01663_),
-    .B(_01954_),
-    .C(_01955_),
-    .X(_01956_),
+ sky130_fd_sc_hd__inv_2 _06967_ (.A(_01955_),
+    .Y(_01956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07070_ (.A(_01652_),
-    .B(_01952_),
+ sky130_fd_sc_hd__and3_4 _06968_ (.A(_01932_),
+    .B(_01936_),
     .C(_01956_),
     .X(_01957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07071_ (.A(_01947_),
-    .B(_01957_),
-    .C(_01670_),
+ sky130_fd_sc_hd__a2bb2o_4 _06969_ (.A1_N(_01726_),
+    .A2_N(_01957_),
+    .B1(\u_spim_regs.spim_reg_rdata[20] ),
+    .B2(_01726_),
+    .X(_01260_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _06970_ (.A(_01623_),
     .X(_01958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07072_ (.A(_01958_),
+ sky130_fd_sc_hd__inv_2 _06971_ (.A(\u_spim_regs.cfg_m1_addr[19] ),
     .Y(_01959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07073_ (.A(_01936_),
-    .B(_01939_),
-    .C(_01959_),
+ sky130_fd_sc_hd__buf_2 _06972_ (.A(_01537_),
     .X(_01960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07074_ (.A1_N(_01725_),
-    .A2_N(_01960_),
-    .B1(\u_spim_regs.spim_reg_rdata[20] ),
-    .B2(_01725_),
-    .X(_01259_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07075_ (.A(_01621_),
+ sky130_fd_sc_hd__o22a_4 _06973_ (.A1(_01959_),
+    .A2(_01626_),
+    .B1(_01960_),
+    .B2(_01630_),
     .X(_01961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07076_ (.A(\u_spim_regs.cfg_m1_addr[19] ),
-    .Y(_01962_),
+ sky130_fd_sc_hd__buf_2 _06974_ (.A(\u_spim_regs.cfg_m1_spi_seq[3] ),
+    .X(_01962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07077_ (.A(_01534_),
-    .X(_01963_),
+ sky130_fd_sc_hd__inv_2 _06975_ (.A(_01962_),
+    .Y(_01963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07078_ (.A1(_01962_),
-    .A2(_01624_),
-    .B1(_01963_),
-    .B2(_01628_),
+ sky130_fd_sc_hd__buf_2 _06976_ (.A(_01963_),
     .X(_01964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07079_ (.A(\u_spim_regs.cfg_m1_spi_seq[3] ),
-    .X(_01965_),
+ sky130_fd_sc_hd__inv_2 _06977_ (.A(\u_spim_regs.cfg_m0_spi_seq[3] ),
+    .Y(_01965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07080_ (.A(_01965_),
-    .Y(_01966_),
+ sky130_fd_sc_hd__o22a_4 _06978_ (.A1(_01964_),
+    .A2(_01634_),
+    .B1(_01965_),
+    .B2(_01636_),
+    .X(_01966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07081_ (.A(_01966_),
+ sky130_fd_sc_hd__buf_2 _06979_ (.A(_01575_),
     .X(_01967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07082_ (.A(\u_spim_regs.cfg_m0_spi_seq[3] ),
-    .Y(_01968_),
+ sky130_fd_sc_hd__or2_4 _06980_ (.A(_01937_),
+    .B(\u_m1_res_fifo.mem[4][19] ),
+    .X(_01968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07083_ (.A1(_01967_),
-    .A2(_01632_),
-    .B1(_01968_),
-    .B2(_01634_),
+ sky130_fd_sc_hd__or2_4 _06981_ (.A(_01666_),
+    .B(\u_m1_res_fifo.mem[5][19] ),
     .X(_01969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07084_ (.A(_01573_),
+ sky130_fd_sc_hd__and3_4 _06982_ (.A(_01655_),
+    .B(_01968_),
+    .C(_01969_),
     .X(_01970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07085_ (.A(_01940_),
-    .B(\u_m1_res_fifo.mem[4][19] ),
+ sky130_fd_sc_hd__or2_4 _06983_ (.A(_01648_),
+    .B(\u_m1_res_fifo.mem[7][19] ),
     .X(_01971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07086_ (.A(_01664_),
-    .B(\u_m1_res_fifo.mem[5][19] ),
+ sky130_fd_sc_hd__or2_4 _06984_ (.A(_01650_),
+    .B(\u_m1_res_fifo.mem[6][19] ),
     .X(_01972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07087_ (.A(_01653_),
+ sky130_fd_sc_hd__and3_4 _06985_ (.A(_01647_),
     .B(_01971_),
     .C(_01972_),
     .X(_01973_),
@@ -5008,2071 +5008,2099 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07088_ (.A(_01646_),
-    .B(\u_m1_res_fifo.mem[7][19] ),
+ sky130_fd_sc_hd__or3_4 _06986_ (.A(_01967_),
+    .B(_01970_),
+    .C(_01973_),
     .X(_01974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07089_ (.A(_01648_),
-    .B(\u_m1_res_fifo.mem[6][19] ),
+ sky130_fd_sc_hd__buf_2 _06987_ (.A(_01656_),
     .X(_01975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07090_ (.A(_01645_),
-    .B(_01974_),
-    .C(_01975_),
+ sky130_fd_sc_hd__buf_2 _06988_ (.A(_01975_),
     .X(_01976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07091_ (.A(_01970_),
-    .B(_01973_),
-    .C(_01976_),
+ sky130_fd_sc_hd__or2_4 _06989_ (.A(_01976_),
+    .B(\u_m1_res_fifo.mem[0][19] ),
     .X(_01977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07092_ (.A(_01654_),
+ sky130_fd_sc_hd__buf_2 _06990_ (.A(_01660_),
     .X(_01978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07093_ (.A(_01978_),
+ sky130_fd_sc_hd__buf_2 _06991_ (.A(_01978_),
     .X(_01979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07094_ (.A(_01979_),
-    .B(\u_m1_res_fifo.mem[0][19] ),
+ sky130_fd_sc_hd__or2_4 _06992_ (.A(_01979_),
+    .B(\u_m1_res_fifo.mem[1][19] ),
     .X(_01980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07095_ (.A(_01658_),
+ sky130_fd_sc_hd__and3_4 _06993_ (.A(_01946_),
+    .B(_01977_),
+    .C(_01980_),
     .X(_01981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07096_ (.A(_01981_),
+ sky130_fd_sc_hd__or2_4 _06994_ (.A(_01950_),
+    .B(\u_m1_res_fifo.mem[3][19] ),
     .X(_01982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07097_ (.A(_01982_),
-    .B(\u_m1_res_fifo.mem[1][19] ),
+ sky130_fd_sc_hd__or2_4 _06995_ (.A(_01641_),
+    .B(\u_m1_res_fifo.mem[2][19] ),
     .X(_01983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07098_ (.A(_01949_),
-    .B(_01980_),
+ sky130_fd_sc_hd__and3_4 _06996_ (.A(_01665_),
+    .B(_01982_),
     .C(_01983_),
     .X(_01984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07099_ (.A(_01953_),
-    .B(\u_m1_res_fifo.mem[3][19] ),
+ sky130_fd_sc_hd__or3_4 _06997_ (.A(_01654_),
+    .B(_01981_),
+    .C(_01984_),
     .X(_01985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07100_ (.A(_01639_),
-    .B(\u_m1_res_fifo.mem[2][19] ),
+ sky130_fd_sc_hd__and3_4 _06998_ (.A(_01974_),
+    .B(_01985_),
+    .C(_01672_),
     .X(_01986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07101_ (.A(_01663_),
-    .B(_01985_),
-    .C(_01986_),
-    .X(_01987_),
+ sky130_fd_sc_hd__inv_2 _06999_ (.A(_01986_),
+    .Y(_01987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07102_ (.A(_01652_),
-    .B(_01984_),
+ sky130_fd_sc_hd__and3_4 _07000_ (.A(_01961_),
+    .B(_01966_),
     .C(_01987_),
     .X(_01988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07103_ (.A(_01977_),
-    .B(_01988_),
-    .C(_01670_),
-    .X(_01989_),
+ sky130_fd_sc_hd__a2bb2o_4 _07001_ (.A1_N(_01958_),
+    .A2_N(_01988_),
+    .B1(\u_spim_regs.spim_reg_rdata[19] ),
+    .B2(_01958_),
+    .X(_01259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07104_ (.A(_01989_),
+ sky130_fd_sc_hd__inv_2 _07002_ (.A(\u_spim_regs.cfg_m1_addr[18] ),
+    .Y(_01989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07003_ (.A(psn_net_192),
     .Y(_01990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07105_ (.A(_01964_),
-    .B(_01969_),
-    .C(_01990_),
+ sky130_fd_sc_hd__buf_2 _07004_ (.A(_01990_),
     .X(_01991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07106_ (.A1_N(_01961_),
-    .A2_N(_01991_),
-    .B1(\u_spim_regs.spim_reg_rdata[19] ),
-    .B2(_01961_),
-    .X(_01258_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07107_ (.A(\u_spim_regs.cfg_m1_addr[18] ),
-    .Y(_01992_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07108_ (.A(_01529_),
-    .X(_01993_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07109_ (.A1(_01992_),
+ sky130_fd_sc_hd__o22a_4 _07005_ (.A1(_01989_),
     .A2(_01516_),
-    .B1(_01993_),
-    .B2(_01628_),
+    .B1(_01991_),
+    .B2(_01630_),
+    .X(_01992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07006_ (.A(\u_spim_regs.cfg_m1_spi_seq[2] ),
+    .Y(_01993_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07007_ (.A(_01993_),
     .X(_01994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07110_ (.A(\u_spim_regs.cfg_m1_spi_seq[2] ),
+ sky130_fd_sc_hd__inv_2 _07008_ (.A(\u_spim_regs.cfg_m0_spi_seq[2] ),
     .Y(_01995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07111_ (.A(_01995_),
+ sky130_fd_sc_hd__o22a_4 _07009_ (.A1(_01994_),
+    .A2(_01634_),
+    .B1(_01995_),
+    .B2(_01636_),
     .X(_01996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07112_ (.A(_01631_),
+ sky130_fd_sc_hd__or2_4 _07010_ (.A(_01937_),
+    .B(\u_m1_res_fifo.mem[4][18] ),
     .X(_01997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07113_ (.A(\u_spim_regs.cfg_m0_spi_seq[2] ),
-    .Y(_01998_),
+ sky130_fd_sc_hd__or2_4 _07011_ (.A(_01666_),
+    .B(\u_m1_res_fifo.mem[5][18] ),
+    .X(_01998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07114_ (.A(_01508_),
+ sky130_fd_sc_hd__and3_4 _07012_ (.A(_01655_),
+    .B(_01997_),
+    .C(_01998_),
     .X(_01999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07115_ (.A(_01999_),
+ sky130_fd_sc_hd__or2_4 _07013_ (.A(_01583_),
+    .B(\u_m1_res_fifo.mem[7][18] ),
     .X(_02000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07116_ (.A1(_01996_),
-    .A2(_01997_),
-    .B1(_01998_),
-    .B2(_02000_),
+ sky130_fd_sc_hd__or2_4 _07014_ (.A(_01580_),
+    .B(\u_m1_res_fifo.mem[6][18] ),
     .X(_02001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07117_ (.A(_01940_),
-    .B(\u_m1_res_fifo.mem[4][18] ),
+ sky130_fd_sc_hd__and3_4 _07015_ (.A(_01647_),
+    .B(_02000_),
+    .C(_02001_),
     .X(_02002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07118_ (.A(_01664_),
-    .B(\u_m1_res_fifo.mem[5][18] ),
+ sky130_fd_sc_hd__or3_4 _07016_ (.A(_01967_),
+    .B(_01999_),
+    .C(_02002_),
     .X(_02003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07119_ (.A(_01653_),
-    .B(_02002_),
-    .C(_02003_),
+ sky130_fd_sc_hd__or2_4 _07017_ (.A(_01976_),
+    .B(\u_m1_res_fifo.mem[0][18] ),
     .X(_02004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07120_ (.A(_01581_),
-    .B(\u_m1_res_fifo.mem[7][18] ),
+ sky130_fd_sc_hd__or2_4 _07018_ (.A(_01979_),
+    .B(\u_m1_res_fifo.mem[1][18] ),
     .X(_02005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07121_ (.A(_01578_),
-    .B(\u_m1_res_fifo.mem[6][18] ),
+ sky130_fd_sc_hd__and3_4 _07019_ (.A(_01946_),
+    .B(_02004_),
+    .C(_02005_),
     .X(_02006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07122_ (.A(_01645_),
-    .B(_02005_),
-    .C(_02006_),
+ sky130_fd_sc_hd__buf_2 _07020_ (.A(_01646_),
     .X(_02007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07123_ (.A(_01970_),
-    .B(_02004_),
-    .C(_02007_),
+ sky130_fd_sc_hd__or2_4 _07021_ (.A(_01950_),
+    .B(\u_m1_res_fifo.mem[3][18] ),
     .X(_02008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07124_ (.A(_01979_),
-    .B(\u_m1_res_fifo.mem[0][18] ),
+ sky130_fd_sc_hd__or2_4 _07022_ (.A(_01641_),
+    .B(\u_m1_res_fifo.mem[2][18] ),
     .X(_02009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07125_ (.A(_01982_),
-    .B(\u_m1_res_fifo.mem[1][18] ),
+ sky130_fd_sc_hd__and3_4 _07023_ (.A(_02007_),
+    .B(_02008_),
+    .C(_02009_),
     .X(_02010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07126_ (.A(_01949_),
-    .B(_02009_),
+ sky130_fd_sc_hd__or3_4 _07024_ (.A(_01654_),
+    .B(_02006_),
     .C(_02010_),
     .X(_02011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07127_ (.A(_01644_),
+ sky130_fd_sc_hd__buf_2 _07025_ (.A(_01595_),
     .X(_02012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07128_ (.A(_01953_),
-    .B(\u_m1_res_fifo.mem[3][18] ),
+ sky130_fd_sc_hd__and3_4 _07026_ (.A(_02003_),
+    .B(_02011_),
+    .C(_02012_),
     .X(_02013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07129_ (.A(_01639_),
-    .B(\u_m1_res_fifo.mem[2][18] ),
-    .X(_02014_),
+ sky130_fd_sc_hd__inv_2 _07027_ (.A(_02013_),
+    .Y(_02014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07130_ (.A(_02012_),
-    .B(_02013_),
+ sky130_fd_sc_hd__and3_4 _07028_ (.A(_01992_),
+    .B(_01996_),
     .C(_02014_),
     .X(_02015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07131_ (.A(_01652_),
-    .B(_02011_),
-    .C(_02015_),
+ sky130_fd_sc_hd__a2bb2o_4 _07029_ (.A1_N(_01958_),
+    .A2_N(_02015_),
+    .B1(\u_spim_regs.spim_reg_rdata[18] ),
+    .B2(_01958_),
+    .X(_01258_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07030_ (.A(_01623_),
     .X(_02016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07132_ (.A(_01593_),
-    .X(_02017_),
+ sky130_fd_sc_hd__inv_2 _07031_ (.A(\u_spim_regs.cfg_m1_addr[17] ),
+    .Y(_02017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07133_ (.A(_02008_),
-    .B(_02016_),
-    .C(_02017_),
+ sky130_fd_sc_hd__buf_2 _07032_ (.A(_01531_),
     .X(_02018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07134_ (.A(_02018_),
-    .Y(_02019_),
+ sky130_fd_sc_hd__o22a_4 _07033_ (.A1(_02017_),
+    .A2(_01516_),
+    .B1(_02018_),
+    .B2(_01733_),
+    .X(_02019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07135_ (.A(_01994_),
-    .B(_02001_),
-    .C(_02019_),
-    .X(_02020_),
+ sky130_fd_sc_hd__inv_2 _07034_ (.A(\u_spim_regs.cfg_m1_spi_seq[1] ),
+    .Y(_02020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07136_ (.A1_N(_01961_),
-    .A2_N(_02020_),
-    .B1(\u_spim_regs.spim_reg_rdata[18] ),
-    .B2(_01961_),
-    .X(_01257_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07137_ (.A(_01621_),
+ sky130_fd_sc_hd__buf_2 _07035_ (.A(_02020_),
     .X(_02021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07138_ (.A(\u_spim_regs.cfg_m1_addr[17] ),
-    .Y(_02022_),
+ sky130_fd_sc_hd__buf_2 _07036_ (.A(_01633_),
+    .X(_02022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07139_ (.A(spi_debug[17]),
+ sky130_fd_sc_hd__inv_2 _07037_ (.A(\u_spim_regs.cfg_m0_spi_seq[1] ),
     .Y(_02023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07140_ (.A(_02023_),
+ sky130_fd_sc_hd__o22a_4 _07038_ (.A1(_02021_),
+    .A2(_02022_),
+    .B1(_02023_),
+    .B2(_01636_),
     .X(_02024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07141_ (.A(_02024_),
+ sky130_fd_sc_hd__or2_4 _07039_ (.A(_01937_),
+    .B(\u_m1_res_fifo.mem[4][17] ),
     .X(_02025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07142_ (.A1(_02022_),
-    .A2(_01516_),
-    .B1(_02025_),
-    .B2(_01731_),
+ sky130_fd_sc_hd__or2_4 _07040_ (.A(_01666_),
+    .B(\u_m1_res_fifo.mem[5][17] ),
     .X(_02026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07143_ (.A(\u_spim_regs.cfg_m1_spi_seq[1] ),
-    .Y(_02027_),
+ sky130_fd_sc_hd__and3_4 _07041_ (.A(_01655_),
+    .B(_02025_),
+    .C(_02026_),
+    .X(_02027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07144_ (.A(_02027_),
+ sky130_fd_sc_hd__or2_4 _07042_ (.A(_01583_),
+    .B(\u_m1_res_fifo.mem[7][17] ),
     .X(_02028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07145_ (.A(\u_spim_regs.cfg_m0_spi_seq[1] ),
-    .Y(_02029_),
+ sky130_fd_sc_hd__or2_4 _07043_ (.A(_01580_),
+    .B(\u_m1_res_fifo.mem[6][17] ),
+    .X(_02029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07146_ (.A1(_02028_),
-    .A2(_01997_),
-    .B1(_02029_),
-    .B2(_02000_),
+ sky130_fd_sc_hd__and3_4 _07044_ (.A(_01665_),
+    .B(_02028_),
+    .C(_02029_),
     .X(_02030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07147_ (.A(_01940_),
-    .B(\u_m1_res_fifo.mem[4][17] ),
+ sky130_fd_sc_hd__or3_4 _07045_ (.A(_01967_),
+    .B(_02027_),
+    .C(_02030_),
     .X(_02031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07148_ (.A(_01664_),
-    .B(\u_m1_res_fifo.mem[5][17] ),
+ sky130_fd_sc_hd__buf_2 _07046_ (.A(_01550_),
     .X(_02032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07149_ (.A(_01653_),
-    .B(_02031_),
-    .C(_02032_),
+ sky130_fd_sc_hd__or2_4 _07047_ (.A(_01976_),
+    .B(\u_m1_res_fifo.mem[0][17] ),
     .X(_02033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07150_ (.A(_01581_),
-    .B(\u_m1_res_fifo.mem[7][17] ),
+ sky130_fd_sc_hd__or2_4 _07048_ (.A(_01979_),
+    .B(\u_m1_res_fifo.mem[1][17] ),
     .X(_02034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07151_ (.A(_01578_),
-    .B(\u_m1_res_fifo.mem[6][17] ),
+ sky130_fd_sc_hd__and3_4 _07049_ (.A(_01946_),
+    .B(_02033_),
+    .C(_02034_),
     .X(_02035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07152_ (.A(_01663_),
-    .B(_02034_),
-    .C(_02035_),
+ sky130_fd_sc_hd__or2_4 _07050_ (.A(_01950_),
+    .B(\u_m1_res_fifo.mem[3][17] ),
     .X(_02036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07153_ (.A(_01970_),
-    .B(_02033_),
-    .C(_02036_),
+ sky130_fd_sc_hd__or2_4 _07051_ (.A(_01641_),
+    .B(\u_m1_res_fifo.mem[2][17] ),
     .X(_02037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07154_ (.A(_01548_),
+ sky130_fd_sc_hd__and3_4 _07052_ (.A(_02007_),
+    .B(_02036_),
+    .C(_02037_),
     .X(_02038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07155_ (.A(_01979_),
-    .B(\u_m1_res_fifo.mem[0][17] ),
+ sky130_fd_sc_hd__or3_4 _07053_ (.A(_02032_),
+    .B(_02035_),
+    .C(_02038_),
     .X(_02039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07156_ (.A(_01982_),
-    .B(\u_m1_res_fifo.mem[1][17] ),
+ sky130_fd_sc_hd__and3_4 _07054_ (.A(_02031_),
+    .B(_02039_),
+    .C(_02012_),
     .X(_02040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07157_ (.A(_01949_),
-    .B(_02039_),
-    .C(_02040_),
-    .X(_02041_),
+ sky130_fd_sc_hd__inv_2 _07055_ (.A(_02040_),
+    .Y(_02041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07158_ (.A(_01953_),
-    .B(\u_m1_res_fifo.mem[3][17] ),
+ sky130_fd_sc_hd__and3_4 _07056_ (.A(_02019_),
+    .B(_02024_),
+    .C(_02041_),
     .X(_02042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07159_ (.A(_01639_),
-    .B(\u_m1_res_fifo.mem[2][17] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07057_ (.A1_N(_02016_),
+    .A2_N(_02042_),
+    .B1(\u_spim_regs.spim_reg_rdata[17] ),
+    .B2(_02016_),
+    .X(_01257_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07058_ (.A(\u_spim_regs.cfg_m1_spi_seq[0] ),
     .X(_02043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07160_ (.A(_02012_),
-    .B(_02042_),
-    .C(_02043_),
+ sky130_fd_sc_hd__buf_2 _07059_ (.A(_02043_),
     .X(_02044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07161_ (.A(_02038_),
-    .B(_02041_),
-    .C(_02044_),
-    .X(_02045_),
+ sky130_fd_sc_hd__inv_2 _07060_ (.A(\u_spim_regs.cfg_m0_spi_seq[0] ),
+    .Y(_02045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07162_ (.A(_02037_),
-    .B(_02045_),
-    .C(_02017_),
+ sky130_fd_sc_hd__buf_2 _07061_ (.A(_01506_),
     .X(_02046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07163_ (.A(_02046_),
+ sky130_fd_sc_hd__inv_2 _07062_ (.A(_01514_),
     .Y(_02047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07164_ (.A(_02026_),
-    .B(_02030_),
-    .C(_02047_),
+ sky130_fd_sc_hd__buf_2 _07063_ (.A(_02047_),
     .X(_02048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07165_ (.A1_N(_02021_),
-    .A2_N(_02048_),
-    .B1(\u_spim_regs.spim_reg_rdata[17] ),
-    .B2(_02021_),
-    .X(_01256_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07166_ (.A(\u_spim_regs.cfg_m1_spi_seq[0] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07064_ (.A1_N(_02045_),
+    .A2_N(_02046_),
+    .B1(\u_spim_regs.cfg_m1_addr[16] ),
+    .B2(_02048_),
     .X(_02049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07167_ (.A(_02049_),
-    .X(_02050_),
+ sky130_fd_sc_hd__inv_2 _07065_ (.A(_01497_),
+    .Y(_02050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07168_ (.A(\u_spim_regs.cfg_m0_spi_seq[0] ),
-    .Y(_02051_),
+ sky130_fd_sc_hd__buf_2 _07066_ (.A(_02050_),
+    .X(_02051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07169_ (.A(_01999_),
+ sky130_fd_sc_hd__buf_2 _07067_ (.A(_01575_),
     .X(_02052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07170_ (.A(_01677_),
-    .Y(_02053_),
+ sky130_fd_sc_hd__buf_2 _07068_ (.A(_01945_),
+    .X(_02053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07171_ (.A(_02053_),
+ sky130_fd_sc_hd__buf_2 _07069_ (.A(_01701_),
     .X(_02054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07172_ (.A1_N(_02051_),
-    .A2_N(_02052_),
-    .B1(\u_spim_regs.cfg_m1_addr[16] ),
-    .B2(_02054_),
+ sky130_fd_sc_hd__or2_4 _07070_ (.A(_02054_),
+    .B(\u_m1_res_fifo.mem[4][16] ),
     .X(_02055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07173_ (.A(_01498_),
-    .Y(_02056_),
+ sky130_fd_sc_hd__buf_2 _07071_ (.A(_01698_),
+    .X(_02056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07174_ (.A(_02056_),
+ sky130_fd_sc_hd__or2_4 _07072_ (.A(_02056_),
+    .B(\u_m1_res_fifo.mem[5][16] ),
     .X(_02057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07175_ (.A(_01573_),
+ sky130_fd_sc_hd__and3_4 _07073_ (.A(_02053_),
+    .B(_02055_),
+    .C(_02057_),
     .X(_02058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07176_ (.A(_01948_),
+ sky130_fd_sc_hd__buf_2 _07074_ (.A(_01696_),
     .X(_02059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07177_ (.A(_01700_),
+ sky130_fd_sc_hd__or2_4 _07075_ (.A(_01662_),
+    .B(\u_m1_res_fifo.mem[7][16] ),
     .X(_02060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07178_ (.A(_02060_),
-    .B(\u_m1_res_fifo.mem[4][16] ),
+ sky130_fd_sc_hd__or2_4 _07076_ (.A(_01658_),
+    .B(\u_m1_res_fifo.mem[6][16] ),
     .X(_02061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07179_ (.A(_01697_),
+ sky130_fd_sc_hd__and3_4 _07077_ (.A(_02059_),
+    .B(_02060_),
+    .C(_02061_),
     .X(_02062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07180_ (.A(_02062_),
-    .B(\u_m1_res_fifo.mem[5][16] ),
+ sky130_fd_sc_hd__or3_4 _07078_ (.A(_02052_),
+    .B(_02058_),
+    .C(_02062_),
     .X(_02063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07181_ (.A(_02059_),
-    .B(_02061_),
-    .C(_02063_),
+ sky130_fd_sc_hd__buf_2 _07079_ (.A(_01701_),
     .X(_02064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07182_ (.A(_01695_),
+ sky130_fd_sc_hd__or2_4 _07080_ (.A(_02064_),
+    .B(\u_m1_res_fifo.mem[0][16] ),
     .X(_02065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07183_ (.A(_01660_),
-    .B(\u_m1_res_fifo.mem[7][16] ),
+ sky130_fd_sc_hd__buf_2 _07081_ (.A(_01698_),
     .X(_02066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07184_ (.A(_01656_),
-    .B(\u_m1_res_fifo.mem[6][16] ),
+ sky130_fd_sc_hd__or2_4 _07082_ (.A(_02066_),
+    .B(\u_m1_res_fifo.mem[1][16] ),
     .X(_02067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07185_ (.A(_02065_),
-    .B(_02066_),
+ sky130_fd_sc_hd__and3_4 _07083_ (.A(_02053_),
+    .B(_02065_),
     .C(_02067_),
     .X(_02068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07186_ (.A(_02058_),
-    .B(_02064_),
-    .C(_02068_),
+ sky130_fd_sc_hd__or2_4 _07084_ (.A(_01662_),
+    .B(\u_m1_res_fifo.mem[3][16] ),
     .X(_02069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07187_ (.A(_01700_),
+ sky130_fd_sc_hd__or2_4 _07085_ (.A(_01658_),
+    .B(\u_m1_res_fifo.mem[2][16] ),
     .X(_02070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07188_ (.A(_02070_),
-    .B(\u_m1_res_fifo.mem[0][16] ),
+ sky130_fd_sc_hd__and3_4 _07086_ (.A(_02059_),
+    .B(_02069_),
+    .C(_02070_),
     .X(_02071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07189_ (.A(_01697_),
+ sky130_fd_sc_hd__or3_4 _07087_ (.A(_02032_),
+    .B(_02068_),
+    .C(_02071_),
     .X(_02072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07190_ (.A(_02072_),
-    .B(\u_m1_res_fifo.mem[1][16] ),
+ sky130_fd_sc_hd__and4_4 _07088_ (.A(_01911_),
+    .B(_02051_),
+    .C(_02063_),
+    .D(_02072_),
     .X(_02073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07191_ (.A(_02059_),
-    .B(_02071_),
-    .C(_02073_),
+ sky130_fd_sc_hd__a211o_4 _07089_ (.A1(_02044_),
+    .A2(_01934_),
+    .B1(_02049_),
+    .C1(_02073_),
     .X(_02074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07192_ (.A(_01660_),
-    .B(\u_m1_res_fifo.mem[3][16] ),
-    .X(_02075_),
+ sky130_fd_sc_hd__inv_2 _07090_ (.A(_02074_),
+    .Y(_02075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07193_ (.A(_01656_),
-    .B(\u_m1_res_fifo.mem[2][16] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07091_ (.A1_N(_02016_),
+    .A2_N(_02075_),
+    .B1(\u_spim_regs.spim_reg_rdata[16] ),
+    .B2(_02016_),
+    .X(_01256_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07092_ (.A(_01501_),
     .X(_02076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07194_ (.A(_02065_),
-    .B(_02075_),
-    .C(_02076_),
+ sky130_fd_sc_hd__buf_2 _07093_ (.A(_02076_),
     .X(_02077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07195_ (.A(_02038_),
-    .B(_02074_),
-    .C(_02077_),
-    .X(_02078_),
+ sky130_fd_sc_hd__inv_2 _07094_ (.A(\u_spictrl.spi_clk_div[7] ),
+    .Y(_02078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07196_ (.A(_01915_),
-    .B(_02057_),
-    .C(_02069_),
-    .D(_02078_),
+ sky130_fd_sc_hd__buf_2 _07095_ (.A(_01512_),
     .X(_02079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07197_ (.A1(_02050_),
-    .A2(_01520_),
-    .B1(_02055_),
-    .C1(_02079_),
+ sky130_fd_sc_hd__buf_2 _07096_ (.A(_01504_),
     .X(_02080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07198_ (.A(_02080_),
-    .Y(_02081_),
+ sky130_fd_sc_hd__buf_2 _07097_ (.A(_01505_),
+    .X(_02081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07199_ (.A1_N(_02021_),
-    .A2_N(_02081_),
-    .B1(\u_spim_regs.spim_reg_rdata[16] ),
-    .B2(_02021_),
-    .X(_01255_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07200_ (.A(_01502_),
+ sky130_fd_sc_hd__or4_4 _07098_ (.A(_02079_),
+    .B(_01545_),
+    .C(_02080_),
+    .D(_02081_),
     .X(_02082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07201_ (.A(_02082_),
+ sky130_fd_sc_hd__buf_2 _07099_ (.A(_02082_),
     .X(_02083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07202_ (.A(\u_spictrl.spi_clk_div[7] ),
-    .Y(_02084_),
+ sky130_fd_sc_hd__buf_2 _07100_ (.A(_02083_),
+    .X(_02084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07203_ (.A(_01513_),
+ sky130_fd_sc_hd__or2_4 _07101_ (.A(_02078_),
+    .B(_02084_),
     .X(_02085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07204_ (.A(_01506_),
+ sky130_fd_sc_hd__buf_2 _07102_ (.A(_02048_),
     .X(_02086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07205_ (.A(_01507_),
-    .X(_02087_),
+ sky130_fd_sc_hd__nand2_4 _07103_ (.A(\u_spim_regs.cfg_m1_addr[15] ),
+    .B(_02086_),
+    .Y(_02087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07206_ (.A(_02085_),
-    .B(_01517_),
-    .C(_02086_),
-    .D(_02087_),
-    .X(_02088_),
+ sky130_fd_sc_hd__a22oi_4 _07104_ (.A1(\u_spim_regs.cfg_m1_mode_reg[7] ),
+    .A2(_01934_),
+    .B1(\u_spim_regs.cfg_m0_mode_reg[7] ),
+    .B2(_01935_),
+    .Y(_02088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07207_ (.A(_02088_),
+ sky130_fd_sc_hd__buf_2 _07105_ (.A(_01945_),
     .X(_02089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07208_ (.A(_02089_),
+ sky130_fd_sc_hd__buf_2 _07106_ (.A(_01975_),
     .X(_02090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07209_ (.A(_02084_),
-    .B(_02090_),
+ sky130_fd_sc_hd__or2_4 _07107_ (.A(_02090_),
+    .B(\u_m1_res_fifo.mem[4][15] ),
     .X(_02091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07210_ (.A(_02054_),
+ sky130_fd_sc_hd__or2_4 _07108_ (.A(_01979_),
+    .B(\u_m1_res_fifo.mem[5][15] ),
     .X(_02092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _07211_ (.A(\u_spim_regs.cfg_m1_addr[15] ),
-    .B(_02092_),
-    .Y(_02093_),
+ sky130_fd_sc_hd__and3_4 _07109_ (.A(_02089_),
+    .B(_02091_),
+    .C(_02092_),
+    .X(_02093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07212_ (.A(\u_spim_regs.cfg_m1_mode_reg[7] ),
-    .Y(_02094_),
+ sky130_fd_sc_hd__buf_2 _07110_ (.A(_01661_),
+    .X(_02094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07213_ (.A(\u_spim_regs.cfg_m0_mode_reg[7] ),
-    .Y(_02095_),
+ sky130_fd_sc_hd__or2_4 _07111_ (.A(_02094_),
+    .B(\u_m1_res_fifo.mem[7][15] ),
+    .X(_02095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07214_ (.A1(_02094_),
-    .A2(_01997_),
-    .B1(_02095_),
-    .B2(_02000_),
+ sky130_fd_sc_hd__buf_2 _07112_ (.A(_01657_),
     .X(_02096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07215_ (.A(_01948_),
+ sky130_fd_sc_hd__or2_4 _07113_ (.A(_02096_),
+    .B(\u_m1_res_fifo.mem[6][15] ),
     .X(_02097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07216_ (.A(_01978_),
+ sky130_fd_sc_hd__and3_4 _07114_ (.A(_02007_),
+    .B(_02095_),
+    .C(_02097_),
     .X(_02098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07217_ (.A(_02098_),
-    .B(\u_m1_res_fifo.mem[4][15] ),
+ sky130_fd_sc_hd__or3_4 _07115_ (.A(_01967_),
+    .B(_02093_),
+    .C(_02098_),
     .X(_02099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07218_ (.A(_01982_),
-    .B(\u_m1_res_fifo.mem[5][15] ),
+ sky130_fd_sc_hd__or2_4 _07116_ (.A(_02064_),
+    .B(\u_m1_res_fifo.mem[0][15] ),
     .X(_02100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07219_ (.A(_02097_),
-    .B(_02099_),
-    .C(_02100_),
+ sky130_fd_sc_hd__or2_4 _07117_ (.A(_02066_),
+    .B(\u_m1_res_fifo.mem[1][15] ),
     .X(_02101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07220_ (.A(_01659_),
+ sky130_fd_sc_hd__and3_4 _07118_ (.A(_02053_),
+    .B(_02100_),
+    .C(_02101_),
     .X(_02102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07221_ (.A(_02102_),
-    .B(\u_m1_res_fifo.mem[7][15] ),
+ sky130_fd_sc_hd__buf_2 _07119_ (.A(_01696_),
     .X(_02103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07222_ (.A(_01655_),
+ sky130_fd_sc_hd__buf_2 _07120_ (.A(_01978_),
     .X(_02104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07223_ (.A(_02104_),
-    .B(\u_m1_res_fifo.mem[6][15] ),
+ sky130_fd_sc_hd__or2_4 _07121_ (.A(_02104_),
+    .B(\u_m1_res_fifo.mem[3][15] ),
     .X(_02105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07224_ (.A(_02012_),
-    .B(_02103_),
-    .C(_02105_),
+ sky130_fd_sc_hd__or2_4 _07122_ (.A(_01976_),
+    .B(\u_m1_res_fifo.mem[2][15] ),
     .X(_02106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07225_ (.A(_01970_),
-    .B(_02101_),
+ sky130_fd_sc_hd__and3_4 _07123_ (.A(_02103_),
+    .B(_02105_),
     .C(_02106_),
     .X(_02107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07226_ (.A(_02070_),
-    .B(\u_m1_res_fifo.mem[0][15] ),
+ sky130_fd_sc_hd__or3_4 _07124_ (.A(_02032_),
+    .B(_02102_),
+    .C(_02107_),
     .X(_02108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07227_ (.A(_02072_),
-    .B(\u_m1_res_fifo.mem[1][15] ),
+ sky130_fd_sc_hd__and3_4 _07125_ (.A(_02099_),
+    .B(_02108_),
+    .C(_02012_),
     .X(_02109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07228_ (.A(_02059_),
-    .B(_02108_),
-    .C(_02109_),
-    .X(_02110_),
+ sky130_fd_sc_hd__inv_2 _07126_ (.A(_02109_),
+    .Y(_02110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07229_ (.A(_01695_),
+ sky130_fd_sc_hd__and4_4 _07127_ (.A(_02085_),
+    .B(_02087_),
+    .C(_02088_),
+    .D(_02110_),
     .X(_02111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07230_ (.A(_01981_),
+ sky130_fd_sc_hd__a2bb2o_4 _07128_ (.A1_N(_02077_),
+    .A2_N(_02111_),
+    .B1(\u_spim_regs.spim_reg_rdata[15] ),
+    .B2(_02077_),
+    .X(_01255_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07129_ (.A(\u_spim_regs.cfg_m0_mode_reg[6] ),
+    .B(_01727_),
     .X(_02112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07231_ (.A(_02112_),
-    .B(\u_m1_res_fifo.mem[3][15] ),
-    .X(_02113_),
+ sky130_fd_sc_hd__inv_2 _07130_ (.A(\u_spim_regs.cfg_m1_mode_reg[6] ),
+    .Y(_02113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07232_ (.A(_01979_),
-    .B(\u_m1_res_fifo.mem[2][15] ),
+ sky130_fd_sc_hd__buf_2 _07131_ (.A(_02047_),
     .X(_02114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07233_ (.A(_02111_),
-    .B(_02113_),
-    .C(_02114_),
+ sky130_fd_sc_hd__a2bb2o_4 _07132_ (.A1_N(_02113_),
+    .A2_N(_02022_),
+    .B1(\u_spim_regs.cfg_m1_addr[14] ),
+    .B2(_02114_),
     .X(_02115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07234_ (.A(_02038_),
-    .B(_02110_),
-    .C(_02115_),
-    .X(_02116_),
+ sky130_fd_sc_hd__inv_2 _07133_ (.A(\u_spictrl.spi_clk_div[6] ),
+    .Y(_02116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07235_ (.A(_02107_),
-    .B(_02116_),
-    .C(_02017_),
+ sky130_fd_sc_hd__buf_2 _07134_ (.A(_02082_),
     .X(_02117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07236_ (.A(_02117_),
-    .Y(_02118_),
+ sky130_fd_sc_hd__o22a_4 _07135_ (.A1(_02116_),
+    .A2(_02117_),
+    .B1(_01408_),
+    .B2(_01629_),
+    .X(_02118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07237_ (.A(_02091_),
-    .B(_02093_),
-    .C(_02096_),
-    .D(_02118_),
-    .X(_02119_),
+ sky130_fd_sc_hd__inv_2 _07136_ (.A(_02118_),
+    .Y(_02119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07238_ (.A1_N(_02083_),
-    .A2_N(_02119_),
-    .B1(\u_spim_regs.spim_reg_rdata[15] ),
-    .B2(_02083_),
-    .X(_01254_),
+ sky130_fd_sc_hd__buf_2 _07137_ (.A(_01687_),
+    .X(_02120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07239_ (.A(\u_spim_regs.cfg_m0_mode_reg[6] ),
-    .Y(_02120_),
+ sky130_fd_sc_hd__or2_4 _07138_ (.A(_01690_),
+    .B(\u_m1_res_fifo.mem[4][14] ),
+    .X(_02121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07240_ (.A(_02120_),
-    .B(_01674_),
-    .Y(_02121_),
+ sky130_fd_sc_hd__or2_4 _07139_ (.A(_01736_),
+    .B(\u_m1_res_fifo.mem[5][14] ),
+    .X(_02122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07241_ (.A(\u_spim_regs.cfg_m1_mode_reg[6] ),
-    .Y(_02122_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07242_ (.A(_01631_),
+ sky130_fd_sc_hd__and3_4 _07140_ (.A(_02120_),
+    .B(_02121_),
+    .C(_02122_),
     .X(_02123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07243_ (.A(_02053_),
+ sky130_fd_sc_hd__or2_4 _07141_ (.A(_01699_),
+    .B(\u_m1_res_fifo.mem[7][14] ),
     .X(_02124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07244_ (.A1_N(_02122_),
-    .A2_N(_02123_),
-    .B1(\u_spim_regs.cfg_m1_addr[14] ),
-    .B2(_02124_),
+ sky130_fd_sc_hd__or2_4 _07142_ (.A(_01702_),
+    .B(\u_m1_res_fifo.mem[6][14] ),
     .X(_02125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07245_ (.A(\u_spictrl.spi_clk_div[6] ),
-    .Y(_02126_),
+ sky130_fd_sc_hd__and3_4 _07143_ (.A(_01697_),
+    .B(_02124_),
+    .C(_02125_),
+    .X(_02126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07246_ (.A(_02088_),
+ sky130_fd_sc_hd__or3_4 _07144_ (.A(_01686_),
+    .B(_02123_),
+    .C(_02126_),
     .X(_02127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07247_ (.A1(_02126_),
-    .A2(_02127_),
-    .B1(_01406_),
-    .B2(_01627_),
+ sky130_fd_sc_hd__buf_2 _07145_ (.A(_01706_),
     .X(_02128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07248_ (.A(_02128_),
-    .Y(_02129_),
+ sky130_fd_sc_hd__or2_4 _07146_ (.A(_01744_),
+    .B(\u_m1_res_fifo.mem[0][14] ),
+    .X(_02129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07249_ (.A(_01686_),
+ sky130_fd_sc_hd__or2_4 _07147_ (.A(_01747_),
+    .B(\u_m1_res_fifo.mem[1][14] ),
     .X(_02130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07250_ (.A(_01689_),
-    .B(\u_m1_res_fifo.mem[4][14] ),
+ sky130_fd_sc_hd__and3_4 _07148_ (.A(_01708_),
+    .B(_02129_),
+    .C(_02130_),
     .X(_02131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07251_ (.A(_01734_),
-    .B(\u_m1_res_fifo.mem[5][14] ),
+ sky130_fd_sc_hd__buf_2 _07149_ (.A(_01714_),
     .X(_02132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07252_ (.A(_02130_),
-    .B(_02131_),
-    .C(_02132_),
+ sky130_fd_sc_hd__or2_4 _07150_ (.A(_01716_),
+    .B(\u_m1_res_fifo.mem[3][14] ),
     .X(_02133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07253_ (.A(_01698_),
-    .B(\u_m1_res_fifo.mem[7][14] ),
+ sky130_fd_sc_hd__or2_4 _07151_ (.A(_01751_),
+    .B(\u_m1_res_fifo.mem[2][14] ),
     .X(_02134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07254_ (.A(_01701_),
-    .B(\u_m1_res_fifo.mem[6][14] ),
+ sky130_fd_sc_hd__and3_4 _07152_ (.A(_02132_),
+    .B(_02133_),
+    .C(_02134_),
     .X(_02135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07255_ (.A(_01696_),
-    .B(_02134_),
+ sky130_fd_sc_hd__or3_4 _07153_ (.A(_02128_),
+    .B(_02131_),
     .C(_02135_),
     .X(_02136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07256_ (.A(_01685_),
-    .B(_02133_),
-    .C(_02136_),
+ sky130_fd_sc_hd__buf_2 _07154_ (.A(_01594_),
     .X(_02137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07257_ (.A(_01705_),
+ sky130_fd_sc_hd__and3_4 _07155_ (.A(_02127_),
+    .B(_02136_),
+    .C(_02137_),
     .X(_02138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07258_ (.A(_01742_),
-    .B(\u_m1_res_fifo.mem[0][14] ),
+ sky130_fd_sc_hd__or4_4 _07156_ (.A(_02112_),
+    .B(_02115_),
+    .C(_02119_),
+    .D(_02138_),
     .X(_02139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07259_ (.A(_01745_),
-    .B(\u_m1_res_fifo.mem[1][14] ),
-    .X(_02140_),
+ sky130_fd_sc_hd__inv_2 _07157_ (.A(_02139_),
+    .Y(_02140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07260_ (.A(_01707_),
-    .B(_02139_),
-    .C(_02140_),
+ sky130_fd_sc_hd__a2bb2o_4 _07158_ (.A1_N(_02077_),
+    .A2_N(_02140_),
+    .B1(\u_spim_regs.spim_reg_rdata[14] ),
+    .B2(_02077_),
+    .X(_01254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07159_ (.A(_02076_),
     .X(_02141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07261_ (.A(_01713_),
+ sky130_fd_sc_hd__and2_4 _07160_ (.A(\u_spim_regs.cfg_m0_mode_reg[5] ),
+    .B(_01727_),
     .X(_02142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07262_ (.A(_01715_),
-    .B(\u_m1_res_fifo.mem[3][14] ),
-    .X(_02143_),
+ sky130_fd_sc_hd__inv_2 _07161_ (.A(\u_spim_regs.cfg_m1_mode_reg[5] ),
+    .Y(_02143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07263_ (.A(_01749_),
-    .B(\u_m1_res_fifo.mem[2][14] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07162_ (.A1_N(_02143_),
+    .A2_N(_02022_),
+    .B1(\u_spim_regs.cfg_m1_addr[13] ),
+    .B2(_02114_),
     .X(_02144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07264_ (.A(_02142_),
-    .B(_02143_),
-    .C(_02144_),
-    .X(_02145_),
+ sky130_fd_sc_hd__inv_2 _07163_ (.A(\u_spictrl.spi_clk_div[5] ),
+    .Y(_02145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07265_ (.A(_02138_),
-    .B(_02141_),
-    .C(_02145_),
+ sky130_fd_sc_hd__o22a_4 _07164_ (.A1(_02145_),
+    .A2(_02117_),
+    .B1(_01280_),
+    .B2(_01629_),
     .X(_02146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07266_ (.A(_01592_),
-    .X(_02147_),
+ sky130_fd_sc_hd__inv_2 _07165_ (.A(_02146_),
+    .Y(_02147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07267_ (.A(_02137_),
-    .B(_02146_),
-    .C(_02147_),
+ sky130_fd_sc_hd__or2_4 _07166_ (.A(_01709_),
+    .B(\u_m1_res_fifo.mem[4][13] ),
     .X(_02148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07268_ (.A(_02121_),
-    .B(_02125_),
-    .C(_02129_),
-    .D(_02148_),
+ sky130_fd_sc_hd__or2_4 _07167_ (.A(_01736_),
+    .B(\u_m1_res_fifo.mem[5][13] ),
     .X(_02149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07269_ (.A(_02149_),
-    .Y(_02150_),
+ sky130_fd_sc_hd__and3_4 _07168_ (.A(_02120_),
+    .B(_02148_),
+    .C(_02149_),
+    .X(_02150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07270_ (.A1_N(_02083_),
-    .A2_N(_02150_),
-    .B1(\u_spim_regs.spim_reg_rdata[14] ),
-    .B2(_02083_),
-    .X(_01253_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07271_ (.A(_02082_),
+ sky130_fd_sc_hd__or2_4 _07169_ (.A(_01693_),
+    .B(\u_m1_res_fifo.mem[7][13] ),
     .X(_02151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07272_ (.A(\u_spim_regs.cfg_m0_mode_reg[5] ),
-    .Y(_02152_),
+ sky130_fd_sc_hd__or2_4 _07170_ (.A(_01718_),
+    .B(\u_m1_res_fifo.mem[6][13] ),
+    .X(_02152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07273_ (.A(_02152_),
-    .B(_02052_),
-    .Y(_02153_),
+ sky130_fd_sc_hd__and3_4 _07171_ (.A(_01697_),
+    .B(_02151_),
+    .C(_02152_),
+    .X(_02153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07274_ (.A(\u_spim_regs.cfg_m1_mode_reg[5] ),
-    .Y(_02154_),
+ sky130_fd_sc_hd__or3_4 _07172_ (.A(_01686_),
+    .B(_02150_),
+    .C(_02153_),
+    .X(_02154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07275_ (.A1_N(_02154_),
-    .A2_N(_02123_),
-    .B1(\u_spim_regs.cfg_m1_addr[13] ),
-    .B2(_02124_),
+ sky130_fd_sc_hd__or2_4 _07173_ (.A(_01744_),
+    .B(\u_m1_res_fifo.mem[0][13] ),
     .X(_02155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07276_ (.A(\u_spictrl.spi_clk_div[5] ),
-    .Y(_02156_),
+ sky130_fd_sc_hd__or2_4 _07174_ (.A(_01747_),
+    .B(\u_m1_res_fifo.mem[1][13] ),
+    .X(_02156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07277_ (.A1(_02156_),
-    .A2(_02127_),
-    .B1(_01279_),
-    .B2(_01627_),
+ sky130_fd_sc_hd__and3_4 _07175_ (.A(_01708_),
+    .B(_02155_),
+    .C(_02156_),
     .X(_02157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07278_ (.A(_02157_),
-    .Y(_02158_),
+ sky130_fd_sc_hd__or2_4 _07176_ (.A(_01711_),
+    .B(\u_m1_res_fifo.mem[3][13] ),
+    .X(_02158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07279_ (.A(_01708_),
-    .B(\u_m1_res_fifo.mem[4][13] ),
+ sky130_fd_sc_hd__or2_4 _07177_ (.A(_01751_),
+    .B(\u_m1_res_fifo.mem[2][13] ),
     .X(_02159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07280_ (.A(_01734_),
-    .B(\u_m1_res_fifo.mem[5][13] ),
+ sky130_fd_sc_hd__and3_4 _07178_ (.A(_02132_),
+    .B(_02158_),
+    .C(_02159_),
     .X(_02160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07281_ (.A(_02130_),
-    .B(_02159_),
+ sky130_fd_sc_hd__or3_4 _07179_ (.A(_02128_),
+    .B(_02157_),
     .C(_02160_),
     .X(_02161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07282_ (.A(_01692_),
-    .B(\u_m1_res_fifo.mem[7][13] ),
+ sky130_fd_sc_hd__and3_4 _07180_ (.A(_02154_),
+    .B(_02161_),
+    .C(_02137_),
     .X(_02162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07283_ (.A(_01717_),
-    .B(\u_m1_res_fifo.mem[6][13] ),
+ sky130_fd_sc_hd__or4_4 _07181_ (.A(_02142_),
+    .B(_02144_),
+    .C(_02147_),
+    .D(_02162_),
     .X(_02163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07284_ (.A(_01696_),
-    .B(_02162_),
-    .C(_02163_),
-    .X(_02164_),
+ sky130_fd_sc_hd__inv_2 _07182_ (.A(_02163_),
+    .Y(_02164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07285_ (.A(_01685_),
-    .B(_02161_),
-    .C(_02164_),
-    .X(_02165_),
+ sky130_fd_sc_hd__a2bb2o_4 _07183_ (.A1_N(_02141_),
+    .A2_N(_02164_),
+    .B1(\u_spim_regs.spim_reg_rdata[13] ),
+    .B2(_02141_),
+    .X(_01253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07286_ (.A(_01742_),
-    .B(\u_m1_res_fifo.mem[0][13] ),
+ sky130_fd_sc_hd__inv_2 _07184_ (.A(\u_spictrl.spi_clk_div[4] ),
+    .Y(_02165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07185_ (.A(_02165_),
+    .B(_02084_),
     .X(_02166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07287_ (.A(_01745_),
-    .B(\u_m1_res_fifo.mem[1][13] ),
-    .X(_02167_),
+ sky130_fd_sc_hd__nand2_4 _07186_ (.A(\u_spim_regs.cfg_m1_addr[12] ),
+    .B(_02086_),
+    .Y(_02167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07288_ (.A(_01707_),
-    .B(_02166_),
-    .C(_02167_),
-    .X(_02168_),
+ sky130_fd_sc_hd__a22oi_4 _07187_ (.A1(\u_spim_regs.cfg_m1_mode_reg[4] ),
+    .A2(_01934_),
+    .B1(\u_spim_regs.cfg_m0_mode_reg[4] ),
+    .B2(_01935_),
+    .Y(_02168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07289_ (.A(_01710_),
-    .B(\u_m1_res_fifo.mem[3][13] ),
+ sky130_fd_sc_hd__buf_2 _07188_ (.A(_01575_),
     .X(_02169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07290_ (.A(_01749_),
-    .B(\u_m1_res_fifo.mem[2][13] ),
+ sky130_fd_sc_hd__buf_2 _07189_ (.A(_01975_),
     .X(_02170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07291_ (.A(_02142_),
-    .B(_02169_),
-    .C(_02170_),
+ sky130_fd_sc_hd__or2_4 _07190_ (.A(_02170_),
+    .B(\u_m1_res_fifo.mem[4][12] ),
     .X(_02171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07292_ (.A(_02138_),
-    .B(_02168_),
-    .C(_02171_),
+ sky130_fd_sc_hd__buf_2 _07191_ (.A(_01978_),
     .X(_02172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07293_ (.A(_02165_),
-    .B(_02172_),
-    .C(_02147_),
+ sky130_fd_sc_hd__or2_4 _07192_ (.A(_02172_),
+    .B(\u_m1_res_fifo.mem[5][12] ),
     .X(_02173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07294_ (.A(_02153_),
-    .B(_02155_),
-    .C(_02158_),
-    .D(_02173_),
+ sky130_fd_sc_hd__and3_4 _07193_ (.A(_02089_),
+    .B(_02171_),
+    .C(_02173_),
     .X(_02174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07295_ (.A(_02174_),
-    .Y(_02175_),
+ sky130_fd_sc_hd__or2_4 _07194_ (.A(_02094_),
+    .B(\u_m1_res_fifo.mem[7][12] ),
+    .X(_02175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07296_ (.A1_N(_02151_),
-    .A2_N(_02175_),
-    .B1(\u_spim_regs.spim_reg_rdata[13] ),
-    .B2(_02151_),
-    .X(_01252_),
+ sky130_fd_sc_hd__or2_4 _07195_ (.A(_02096_),
+    .B(\u_m1_res_fifo.mem[6][12] ),
+    .X(_02176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07297_ (.A(\u_spictrl.spi_clk_div[4] ),
-    .Y(_02176_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07298_ (.A(_02176_),
-    .B(_02090_),
+ sky130_fd_sc_hd__and3_4 _07196_ (.A(_02007_),
+    .B(_02175_),
+    .C(_02176_),
     .X(_02177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _07299_ (.A(\u_spim_regs.cfg_m1_addr[12] ),
-    .B(_02092_),
-    .Y(_02178_),
+ sky130_fd_sc_hd__or3_4 _07197_ (.A(_02169_),
+    .B(_02174_),
+    .C(_02177_),
+    .X(_02178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07300_ (.A(\u_spim_regs.cfg_m1_mode_reg[4] ),
-    .Y(_02179_),
+ sky130_fd_sc_hd__or2_4 _07198_ (.A(_02064_),
+    .B(\u_m1_res_fifo.mem[0][12] ),
+    .X(_02179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07301_ (.A(\u_spim_regs.cfg_m0_mode_reg[4] ),
-    .Y(_02180_),
+ sky130_fd_sc_hd__or2_4 _07199_ (.A(_02066_),
+    .B(\u_m1_res_fifo.mem[1][12] ),
+    .X(_02180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07302_ (.A(_01999_),
+ sky130_fd_sc_hd__and3_4 _07200_ (.A(_02053_),
+    .B(_02179_),
+    .C(_02180_),
     .X(_02181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07303_ (.A1(_02179_),
-    .A2(_01997_),
-    .B1(_02180_),
-    .B2(_02181_),
+ sky130_fd_sc_hd__buf_2 _07201_ (.A(_01978_),
     .X(_02182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07304_ (.A(_01573_),
+ sky130_fd_sc_hd__or2_4 _07202_ (.A(_02182_),
+    .B(\u_m1_res_fifo.mem[3][12] ),
     .X(_02183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07305_ (.A(_01978_),
+ sky130_fd_sc_hd__buf_2 _07203_ (.A(_01975_),
     .X(_02184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07306_ (.A(_02184_),
-    .B(\u_m1_res_fifo.mem[4][12] ),
+ sky130_fd_sc_hd__or2_4 _07204_ (.A(_02184_),
+    .B(\u_m1_res_fifo.mem[2][12] ),
     .X(_02185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07307_ (.A(_01981_),
+ sky130_fd_sc_hd__and3_4 _07205_ (.A(_02103_),
+    .B(_02183_),
+    .C(_02185_),
     .X(_02186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07308_ (.A(_02186_),
-    .B(\u_m1_res_fifo.mem[5][12] ),
+ sky130_fd_sc_hd__or3_4 _07206_ (.A(_02032_),
+    .B(_02181_),
+    .C(_02186_),
     .X(_02187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07309_ (.A(_02097_),
-    .B(_02185_),
-    .C(_02187_),
+ sky130_fd_sc_hd__and3_4 _07207_ (.A(_02178_),
+    .B(_02187_),
+    .C(_02012_),
     .X(_02188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07310_ (.A(_02102_),
-    .B(\u_m1_res_fifo.mem[7][12] ),
-    .X(_02189_),
+ sky130_fd_sc_hd__inv_2 _07208_ (.A(_02188_),
+    .Y(_02189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07311_ (.A(_02104_),
-    .B(\u_m1_res_fifo.mem[6][12] ),
+ sky130_fd_sc_hd__and4_4 _07209_ (.A(_02166_),
+    .B(_02167_),
+    .C(_02168_),
+    .D(_02189_),
     .X(_02190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07312_ (.A(_02012_),
-    .B(_02189_),
-    .C(_02190_),
+ sky130_fd_sc_hd__a2bb2o_4 _07210_ (.A1_N(_02141_),
+    .A2_N(_02190_),
+    .B1(\u_spim_regs.spim_reg_rdata[12] ),
+    .B2(_02141_),
+    .X(_01252_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07211_ (.A(_02076_),
     .X(_02191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07313_ (.A(_02183_),
-    .B(_02188_),
-    .C(_02191_),
+ sky130_fd_sc_hd__and2_4 _07212_ (.A(\u_spim_regs.cfg_m0_mode_reg[3] ),
+    .B(_01727_),
     .X(_02192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07314_ (.A(_02070_),
-    .B(\u_m1_res_fifo.mem[0][12] ),
-    .X(_02193_),
+ sky130_fd_sc_hd__inv_2 _07213_ (.A(\u_spim_regs.cfg_m1_mode_reg[3] ),
+    .Y(_02193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07315_ (.A(_02072_),
-    .B(\u_m1_res_fifo.mem[1][12] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07214_ (.A1_N(_02193_),
+    .A2_N(_01633_),
+    .B1(\u_spim_regs.cfg_m1_addr[11] ),
+    .B2(_02114_),
     .X(_02194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07316_ (.A(_02059_),
-    .B(_02193_),
-    .C(_02194_),
-    .X(_02195_),
+ sky130_fd_sc_hd__inv_2 _07215_ (.A(\u_spictrl.spi_clk_div[3] ),
+    .Y(_02195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07317_ (.A(_01981_),
-    .X(_02196_),
+ sky130_fd_sc_hd__inv_2 _07216_ (.A(_01477_),
+    .Y(_02196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07318_ (.A(_02196_),
-    .B(\u_m1_res_fifo.mem[3][12] ),
+ sky130_fd_sc_hd__buf_2 _07217_ (.A(_02196_),
     .X(_02197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07319_ (.A(_01978_),
+ sky130_fd_sc_hd__buf_2 _07218_ (.A(_02197_),
     .X(_02198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07320_ (.A(_02198_),
-    .B(\u_m1_res_fifo.mem[2][12] ),
+ sky130_fd_sc_hd__o22a_4 _07219_ (.A1(_02195_),
+    .A2(_02083_),
+    .B1(_02198_),
+    .B2(_01629_),
     .X(_02199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07321_ (.A(_02111_),
-    .B(_02197_),
-    .C(_02199_),
-    .X(_02200_),
+ sky130_fd_sc_hd__inv_2 _07220_ (.A(_02199_),
+    .Y(_02200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07322_ (.A(_02038_),
-    .B(_02195_),
-    .C(_02200_),
+ sky130_fd_sc_hd__buf_2 _07221_ (.A(_01574_),
     .X(_02201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07323_ (.A(_02192_),
-    .B(_02201_),
-    .C(_02017_),
+ sky130_fd_sc_hd__or2_4 _07222_ (.A(_01709_),
+    .B(\u_m1_res_fifo.mem[4][11] ),
     .X(_02202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07324_ (.A(_02202_),
-    .Y(_02203_),
+ sky130_fd_sc_hd__or2_4 _07223_ (.A(_01736_),
+    .B(\u_m1_res_fifo.mem[5][11] ),
+    .X(_02203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07325_ (.A(_02177_),
-    .B(_02178_),
-    .C(_02182_),
-    .D(_02203_),
+ sky130_fd_sc_hd__and3_4 _07224_ (.A(_02120_),
+    .B(_02202_),
+    .C(_02203_),
     .X(_02204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07326_ (.A1_N(_02151_),
-    .A2_N(_02204_),
-    .B1(\u_spim_regs.spim_reg_rdata[12] ),
-    .B2(_02151_),
-    .X(_01251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07327_ (.A(_02082_),
+ sky130_fd_sc_hd__or2_4 _07225_ (.A(_01693_),
+    .B(\u_m1_res_fifo.mem[7][11] ),
     .X(_02205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07328_ (.A(\u_spim_regs.cfg_m0_mode_reg[3] ),
-    .Y(_02206_),
+ sky130_fd_sc_hd__or2_4 _07226_ (.A(_01718_),
+    .B(\u_m1_res_fifo.mem[6][11] ),
+    .X(_02206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07329_ (.A(_02206_),
-    .B(_02052_),
-    .Y(_02207_),
+ sky130_fd_sc_hd__and3_4 _07227_ (.A(_01715_),
+    .B(_02205_),
+    .C(_02206_),
+    .X(_02207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07330_ (.A(\u_spim_regs.cfg_m1_mode_reg[3] ),
-    .Y(_02208_),
+ sky130_fd_sc_hd__or3_4 _07228_ (.A(_02201_),
+    .B(_02204_),
+    .C(_02207_),
+    .X(_02208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07331_ (.A1_N(_02208_),
-    .A2_N(_02123_),
-    .B1(\u_spim_regs.cfg_m1_addr[11] ),
-    .B2(_02124_),
+ sky130_fd_sc_hd__buf_2 _07229_ (.A(_01552_),
     .X(_02209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07332_ (.A(\u_spictrl.spi_clk_div[3] ),
-    .Y(_02210_),
+ sky130_fd_sc_hd__or2_4 _07230_ (.A(_01744_),
+    .B(\u_m1_res_fifo.mem[0][11] ),
+    .X(_02210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07333_ (.A(_01478_),
-    .Y(_02211_),
+ sky130_fd_sc_hd__or2_4 _07231_ (.A(_01747_),
+    .B(\u_m1_res_fifo.mem[1][11] ),
+    .X(_02211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07334_ (.A(_02211_),
+ sky130_fd_sc_hd__and3_4 _07232_ (.A(_02209_),
+    .B(_02210_),
+    .C(_02211_),
     .X(_02212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07335_ (.A(_02212_),
+ sky130_fd_sc_hd__or2_4 _07233_ (.A(_01711_),
+    .B(\u_m1_res_fifo.mem[3][11] ),
     .X(_02213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07336_ (.A1(_02210_),
-    .A2(_02089_),
-    .B1(_02213_),
-    .B2(_01627_),
+ sky130_fd_sc_hd__or2_4 _07234_ (.A(_01751_),
+    .B(\u_m1_res_fifo.mem[2][11] ),
     .X(_02214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07337_ (.A(_02214_),
-    .Y(_02215_),
+ sky130_fd_sc_hd__and3_4 _07235_ (.A(_02132_),
+    .B(_02213_),
+    .C(_02214_),
+    .X(_02215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07338_ (.A(_01572_),
+ sky130_fd_sc_hd__or3_4 _07236_ (.A(_02128_),
+    .B(_02212_),
+    .C(_02215_),
     .X(_02216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07339_ (.A(_01708_),
-    .B(\u_m1_res_fifo.mem[4][11] ),
+ sky130_fd_sc_hd__and3_4 _07237_ (.A(_02208_),
+    .B(_02216_),
+    .C(_02137_),
     .X(_02217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07340_ (.A(_01734_),
-    .B(\u_m1_res_fifo.mem[5][11] ),
+ sky130_fd_sc_hd__or4_4 _07238_ (.A(_02192_),
+    .B(_02194_),
+    .C(_02200_),
+    .D(_02217_),
     .X(_02218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07341_ (.A(_02130_),
-    .B(_02217_),
-    .C(_02218_),
-    .X(_02219_),
+ sky130_fd_sc_hd__inv_2 _07239_ (.A(_02218_),
+    .Y(_02219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07342_ (.A(_01692_),
-    .B(\u_m1_res_fifo.mem[7][11] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07240_ (.A1_N(_02191_),
+    .A2_N(_02219_),
+    .B1(\u_spim_regs.spim_reg_rdata[11] ),
+    .B2(_02191_),
+    .X(_01251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07241_ (.A(\u_spim_regs.cfg_m0_mode_reg[2] ),
+    .B(_01508_),
     .X(_02220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07343_ (.A(_01717_),
-    .B(\u_m1_res_fifo.mem[6][11] ),
-    .X(_02221_),
+ sky130_fd_sc_hd__inv_2 _07242_ (.A(\u_spim_regs.cfg_m1_mode_reg[2] ),
+    .Y(_02221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07344_ (.A(_01714_),
-    .B(_02220_),
-    .C(_02221_),
+ sky130_fd_sc_hd__a2bb2o_4 _07243_ (.A1_N(_02221_),
+    .A2_N(_01633_),
+    .B1(\u_spim_regs.cfg_m1_addr[10] ),
+    .B2(_02047_),
     .X(_02222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07345_ (.A(_02216_),
-    .B(_02219_),
-    .C(_02222_),
-    .X(_02223_),
+ sky130_fd_sc_hd__inv_2 _07244_ (.A(\u_spictrl.spi_clk_div[2] ),
+    .Y(_02223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07346_ (.A(_01550_),
+ sky130_fd_sc_hd__o22a_4 _07245_ (.A1(_02223_),
+    .A2(_02083_),
+    .B1(_01473_),
+    .B2(_01546_),
     .X(_02224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07347_ (.A(_01742_),
-    .B(\u_m1_res_fifo.mem[0][11] ),
-    .X(_02225_),
+ sky130_fd_sc_hd__inv_2 _07246_ (.A(_02224_),
+    .Y(_02225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07348_ (.A(_01745_),
-    .B(\u_m1_res_fifo.mem[1][11] ),
+ sky130_fd_sc_hd__or2_4 _07247_ (.A(_01709_),
+    .B(\u_m1_res_fifo.mem[4][10] ),
     .X(_02226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07349_ (.A(_02224_),
-    .B(_02225_),
-    .C(_02226_),
+ sky130_fd_sc_hd__or2_4 _07248_ (.A(_01716_),
+    .B(\u_m1_res_fifo.mem[5][10] ),
     .X(_02227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07350_ (.A(_01710_),
-    .B(\u_m1_res_fifo.mem[3][11] ),
+ sky130_fd_sc_hd__and3_4 _07249_ (.A(_02120_),
+    .B(_02226_),
+    .C(_02227_),
     .X(_02228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07351_ (.A(_01749_),
-    .B(\u_m1_res_fifo.mem[2][11] ),
+ sky130_fd_sc_hd__or2_4 _07250_ (.A(_01693_),
+    .B(\u_m1_res_fifo.mem[7][10] ),
     .X(_02229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07352_ (.A(_02142_),
-    .B(_02228_),
-    .C(_02229_),
+ sky130_fd_sc_hd__or2_4 _07251_ (.A(_01718_),
+    .B(\u_m1_res_fifo.mem[6][10] ),
     .X(_02230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07353_ (.A(_02138_),
-    .B(_02227_),
+ sky130_fd_sc_hd__and3_4 _07252_ (.A(_01715_),
+    .B(_02229_),
     .C(_02230_),
     .X(_02231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07354_ (.A(_02223_),
-    .B(_02231_),
-    .C(_02147_),
+ sky130_fd_sc_hd__or3_4 _07253_ (.A(_02201_),
+    .B(_02228_),
+    .C(_02231_),
     .X(_02232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07355_ (.A(_02207_),
-    .B(_02209_),
-    .C(_02215_),
-    .D(_02232_),
+ sky130_fd_sc_hd__buf_2 _07254_ (.A(_01743_),
     .X(_02233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07356_ (.A(_02233_),
-    .Y(_02234_),
+ sky130_fd_sc_hd__or2_4 _07255_ (.A(_02233_),
+    .B(\u_m1_res_fifo.mem[0][10] ),
+    .X(_02234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07357_ (.A1_N(_02205_),
-    .A2_N(_02234_),
-    .B1(\u_spim_regs.spim_reg_rdata[11] ),
-    .B2(_02205_),
-    .X(_01250_),
+ sky130_fd_sc_hd__buf_2 _07256_ (.A(_01746_),
+    .X(_02235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07358_ (.A(\u_spim_regs.cfg_m0_mode_reg[2] ),
-    .Y(_02235_),
+ sky130_fd_sc_hd__or2_4 _07257_ (.A(_02235_),
+    .B(\u_m1_res_fifo.mem[1][10] ),
+    .X(_02236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07359_ (.A(_02235_),
-    .B(_02052_),
-    .Y(_02236_),
+ sky130_fd_sc_hd__and3_4 _07258_ (.A(_02209_),
+    .B(_02234_),
+    .C(_02236_),
+    .X(_02237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07360_ (.A(\u_spim_regs.cfg_m1_mode_reg[2] ),
-    .Y(_02237_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07361_ (.A1_N(_02237_),
-    .A2_N(_02123_),
-    .B1(\u_spim_regs.cfg_m1_addr[10] ),
-    .B2(_02124_),
+ sky130_fd_sc_hd__or2_4 _07259_ (.A(_01711_),
+    .B(\u_m1_res_fifo.mem[3][10] ),
     .X(_02238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07362_ (.A(\u_spictrl.spi_clk_div[2] ),
-    .Y(_02239_),
+ sky130_fd_sc_hd__or2_4 _07260_ (.A(_01690_),
+    .B(\u_m1_res_fifo.mem[2][10] ),
+    .X(_02239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07363_ (.A1(_02239_),
-    .A2(_02089_),
-    .B1(_01474_),
-    .B2(_01544_),
+ sky130_fd_sc_hd__and3_4 _07261_ (.A(_02132_),
+    .B(_02238_),
+    .C(_02239_),
     .X(_02240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07364_ (.A(_02240_),
-    .Y(_02241_),
+ sky130_fd_sc_hd__or3_4 _07262_ (.A(_02128_),
+    .B(_02237_),
+    .C(_02240_),
+    .X(_02241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07365_ (.A(_01708_),
-    .B(\u_m1_res_fifo.mem[4][10] ),
+ sky130_fd_sc_hd__and3_4 _07263_ (.A(_02232_),
+    .B(_02241_),
+    .C(_02137_),
     .X(_02242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07366_ (.A(_01715_),
-    .B(\u_m1_res_fifo.mem[5][10] ),
+ sky130_fd_sc_hd__or4_4 _07264_ (.A(_02220_),
+    .B(_02222_),
+    .C(_02225_),
+    .D(_02242_),
     .X(_02243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07367_ (.A(_02130_),
-    .B(_02242_),
-    .C(_02243_),
-    .X(_02244_),
+ sky130_fd_sc_hd__inv_2 _07265_ (.A(_02243_),
+    .Y(_02244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07368_ (.A(_01692_),
-    .B(\u_m1_res_fifo.mem[7][10] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07266_ (.A1_N(_02191_),
+    .A2_N(_02244_),
+    .B1(\u_spim_regs.spim_reg_rdata[10] ),
+    .B2(_02191_),
+    .X(_01250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07267_ (.A(_02076_),
     .X(_02245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07369_ (.A(_01717_),
-    .B(\u_m1_res_fifo.mem[6][10] ),
-    .X(_02246_),
+ sky130_fd_sc_hd__inv_2 _07268_ (.A(\u_spictrl.spi_clk_div[1] ),
+    .Y(_02246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07370_ (.A(_01714_),
-    .B(_02245_),
-    .C(_02246_),
+ sky130_fd_sc_hd__buf_2 _07269_ (.A(_02083_),
     .X(_02247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07371_ (.A(_02216_),
-    .B(_02244_),
-    .C(_02247_),
+ sky130_fd_sc_hd__o22a_4 _07270_ (.A1(_02246_),
+    .A2(_02247_),
+    .B1(_01476_),
+    .B2(_01733_),
     .X(_02248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07372_ (.A(_01741_),
+ sky130_fd_sc_hd__or4_4 _07271_ (.A(\u_spim_regs.spim_reg_addr[3] ),
+    .B(_01335_),
+    .C(_01466_),
+    .D(\u_spim_regs.spim_reg_addr[0] ),
     .X(_02249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07373_ (.A(_02249_),
-    .B(\u_m1_res_fifo.mem[0][10] ),
-    .X(_02250_),
+ sky130_fd_sc_hd__inv_2 _07272_ (.A(_02249_),
+    .Y(_02250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07374_ (.A(_01744_),
-    .X(_02251_),
+ sky130_fd_sc_hd__a22oi_4 _07273_ (.A1(\u_spim_regs.cfg_m1_addr[9] ),
+    .A2(_02048_),
+    .B1(\u_spim_regs.cfg_m1_wdata[9] ),
+    .B2(_02250_),
+    .Y(_02251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07375_ (.A(_02251_),
-    .B(\u_m1_res_fifo.mem[1][10] ),
+ sky130_fd_sc_hd__buf_2 _07274_ (.A(_01933_),
     .X(_02252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07376_ (.A(_02224_),
-    .B(_02250_),
-    .C(_02252_),
-    .X(_02253_),
+ sky130_fd_sc_hd__a22oi_4 _07275_ (.A1(\u_spim_regs.cfg_m1_mode_reg[1] ),
+    .A2(_02252_),
+    .B1(\u_spim_regs.cfg_m0_mode_reg[1] ),
+    .B2(_01935_),
+    .Y(_02253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07377_ (.A(_01710_),
-    .B(\u_m1_res_fifo.mem[3][10] ),
+ sky130_fd_sc_hd__or2_4 _07276_ (.A(_02170_),
+    .B(\u_m1_res_fifo.mem[4][9] ),
     .X(_02254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07378_ (.A(_01689_),
-    .B(\u_m1_res_fifo.mem[2][10] ),
+ sky130_fd_sc_hd__or2_4 _07277_ (.A(_02172_),
+    .B(\u_m1_res_fifo.mem[5][9] ),
     .X(_02255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07379_ (.A(_02142_),
+ sky130_fd_sc_hd__and3_4 _07278_ (.A(_02089_),
     .B(_02254_),
     .C(_02255_),
     .X(_02256_),
@@ -7080,253 +7108,252 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07380_ (.A(_02138_),
-    .B(_02253_),
-    .C(_02256_),
+ sky130_fd_sc_hd__buf_2 _07279_ (.A(_01646_),
     .X(_02257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07381_ (.A(_02248_),
-    .B(_02257_),
-    .C(_02147_),
+ sky130_fd_sc_hd__or2_4 _07280_ (.A(_02094_),
+    .B(\u_m1_res_fifo.mem[7][9] ),
     .X(_02258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07382_ (.A(_02236_),
-    .B(_02238_),
-    .C(_02241_),
-    .D(_02258_),
+ sky130_fd_sc_hd__or2_4 _07281_ (.A(_02096_),
+    .B(\u_m1_res_fifo.mem[6][9] ),
     .X(_02259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07383_ (.A(_02259_),
-    .Y(_02260_),
+ sky130_fd_sc_hd__and3_4 _07282_ (.A(_02257_),
+    .B(_02258_),
+    .C(_02259_),
+    .X(_02260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07384_ (.A1_N(_02205_),
-    .A2_N(_02260_),
-    .B1(\u_spim_regs.spim_reg_rdata[10] ),
-    .B2(_02205_),
-    .X(_01249_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07385_ (.A(_02082_),
+ sky130_fd_sc_hd__or3_4 _07283_ (.A(_02169_),
+    .B(_02256_),
+    .C(_02260_),
     .X(_02261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07386_ (.A(\u_spictrl.spi_clk_div[1] ),
-    .Y(_02262_),
+ sky130_fd_sc_hd__buf_2 _07284_ (.A(_01706_),
+    .X(_02262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07387_ (.A(_02089_),
+ sky130_fd_sc_hd__buf_2 _07285_ (.A(_01687_),
     .X(_02263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07388_ (.A1(_02262_),
-    .A2(_02263_),
-    .B1(_01477_),
-    .B2(_01731_),
+ sky130_fd_sc_hd__or2_4 _07286_ (.A(_02064_),
+    .B(\u_m1_res_fifo.mem[0][9] ),
     .X(_02264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07389_ (.A(\u_spim_regs.spim_reg_addr[3] ),
-    .B(_01333_),
-    .C(_01466_),
-    .D(_01378_),
+ sky130_fd_sc_hd__or2_4 _07287_ (.A(_02066_),
+    .B(\u_m1_res_fifo.mem[1][9] ),
     .X(_02265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07390_ (.A(_02265_),
-    .Y(_02266_),
+ sky130_fd_sc_hd__and3_4 _07288_ (.A(_02263_),
+    .B(_02264_),
+    .C(_02265_),
+    .X(_02266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _07391_ (.A1(\u_spim_regs.cfg_m1_addr[9] ),
-    .A2(_02054_),
-    .B1(\u_spim_regs.cfg_m1_wdata[9] ),
-    .B2(_02266_),
-    .Y(_02267_),
+ sky130_fd_sc_hd__or2_4 _07289_ (.A(_02182_),
+    .B(\u_m1_res_fifo.mem[3][9] ),
+    .X(_02267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07392_ (.A(\u_spim_regs.cfg_m1_mode_reg[1] ),
-    .Y(_02268_),
+ sky130_fd_sc_hd__or2_4 _07290_ (.A(_02184_),
+    .B(\u_m1_res_fifo.mem[2][9] ),
+    .X(_02268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07393_ (.A(_01631_),
+ sky130_fd_sc_hd__and3_4 _07291_ (.A(_02103_),
+    .B(_02267_),
+    .C(_02268_),
     .X(_02269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07394_ (.A(\u_spim_regs.cfg_m0_mode_reg[1] ),
-    .Y(_02270_),
+ sky130_fd_sc_hd__or3_4 _07292_ (.A(_02262_),
+    .B(_02266_),
+    .C(_02269_),
+    .X(_02270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07395_ (.A1(_02268_),
-    .A2(_02269_),
-    .B1(_02270_),
-    .B2(_02181_),
+ sky130_fd_sc_hd__buf_2 _07293_ (.A(_01594_),
     .X(_02271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07396_ (.A(_02184_),
-    .B(\u_m1_res_fifo.mem[4][9] ),
+ sky130_fd_sc_hd__and3_4 _07294_ (.A(_02261_),
+    .B(_02270_),
+    .C(_02271_),
     .X(_02272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07397_ (.A(_02186_),
-    .B(\u_m1_res_fifo.mem[5][9] ),
-    .X(_02273_),
+ sky130_fd_sc_hd__inv_2 _07295_ (.A(_02272_),
+    .Y(_02273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07398_ (.A(_02097_),
-    .B(_02272_),
-    .C(_02273_),
+ sky130_fd_sc_hd__and4_4 _07296_ (.A(_02248_),
+    .B(_02251_),
+    .C(_02253_),
+    .D(_02273_),
     .X(_02274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07399_ (.A(_01644_),
-    .X(_02275_),
+ sky130_fd_sc_hd__a2bb2o_4 _07297_ (.A1_N(_02245_),
+    .A2_N(_02274_),
+    .B1(\u_spim_regs.spim_reg_rdata[9] ),
+    .B2(_02245_),
+    .X(_01249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07400_ (.A(_02102_),
-    .B(\u_m1_res_fifo.mem[7][9] ),
-    .X(_02276_),
+ sky130_fd_sc_hd__inv_2 _07298_ (.A(\u_spictrl.spi_clk_div[0] ),
+    .Y(_02275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07401_ (.A(_02104_),
-    .B(\u_m1_res_fifo.mem[6][9] ),
+ sky130_fd_sc_hd__inv_2 _07299_ (.A(\u_spim_regs.cfg_m1_addr[8] ),
+    .Y(_02276_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07300_ (.A1(_02275_),
+    .A2(_02247_),
+    .B1(_02276_),
+    .B2(_01626_),
     .X(_02277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07402_ (.A(_02275_),
-    .B(_02276_),
-    .C(_02277_),
-    .X(_02278_),
+ sky130_fd_sc_hd__inv_2 _07301_ (.A(\u_spim_regs.cfg_m0_fsm_reset ),
+    .Y(_02278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07403_ (.A(_02183_),
-    .B(_02274_),
-    .C(_02278_),
+ sky130_fd_sc_hd__or4_4 _07302_ (.A(_02079_),
+    .B(_01513_),
+    .C(_02080_),
+    .D(_02081_),
     .X(_02279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07404_ (.A(_01705_),
+ sky130_fd_sc_hd__buf_2 _07303_ (.A(_02279_),
     .X(_02280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07405_ (.A(_01686_),
-    .X(_02281_),
+ sky130_fd_sc_hd__inv_2 _07304_ (.A(\u_spim_regs.cfg_m0_mode_reg[0] ),
+    .Y(_02281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07406_ (.A(_02070_),
-    .B(\u_m1_res_fifo.mem[0][9] ),
+ sky130_fd_sc_hd__o22a_4 _07305_ (.A1(_02278_),
+    .A2(_02280_),
+    .B1(_02281_),
+    .B2(_02046_),
     .X(_02282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07407_ (.A(_02072_),
-    .B(\u_m1_res_fifo.mem[1][9] ),
+ sky130_fd_sc_hd__buf_2 _07306_ (.A(\u_spim_regs.cfg_m1_fsm_reset[0] ),
     .X(_02283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07408_ (.A(_02281_),
-    .B(_02282_),
-    .C(_02283_),
+ sky130_fd_sc_hd__or4_4 _07307_ (.A(_01467_),
+    .B(_01513_),
+    .C(_01504_),
+    .D(_01505_),
     .X(_02284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07409_ (.A(_02196_),
-    .B(\u_m1_res_fifo.mem[3][9] ),
-    .X(_02285_),
+ sky130_fd_sc_hd__inv_2 _07308_ (.A(_02284_),
+    .Y(_02285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07410_ (.A(_02198_),
-    .B(\u_m1_res_fifo.mem[2][9] ),
+ sky130_fd_sc_hd__buf_2 _07309_ (.A(_02285_),
     .X(_02286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07411_ (.A(_02111_),
-    .B(_02285_),
-    .C(_02286_),
-    .X(_02287_),
+ sky130_fd_sc_hd__a22oi_4 _07310_ (.A1(\u_spim_regs.cfg_m1_mode_reg[0] ),
+    .A2(_02252_),
+    .B1(_02283_),
+    .B2(_02286_),
+    .Y(_02287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07412_ (.A(_02280_),
-    .B(_02284_),
-    .C(_02287_),
+ sky130_fd_sc_hd__or2_4 _07311_ (.A(_02170_),
+    .B(\u_m1_res_fifo.mem[4][8] ),
     .X(_02288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07413_ (.A(_01592_),
+ sky130_fd_sc_hd__or2_4 _07312_ (.A(_02172_),
+    .B(\u_m1_res_fifo.mem[5][8] ),
     .X(_02289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07414_ (.A(_02279_),
+ sky130_fd_sc_hd__and3_4 _07313_ (.A(_02089_),
     .B(_02288_),
     .C(_02289_),
     .X(_02290_),
@@ -7334,633 +7361,640 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07415_ (.A(_02290_),
-    .Y(_02291_),
+ sky130_fd_sc_hd__or2_4 _07314_ (.A(_02094_),
+    .B(\u_m1_res_fifo.mem[7][8] ),
+    .X(_02291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07416_ (.A(_02264_),
-    .B(_02267_),
-    .C(_02271_),
-    .D(_02291_),
+ sky130_fd_sc_hd__or2_4 _07315_ (.A(_02096_),
+    .B(\u_m1_res_fifo.mem[6][8] ),
     .X(_02292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07417_ (.A1_N(_02261_),
-    .A2_N(_02292_),
-    .B1(\u_spim_regs.spim_reg_rdata[9] ),
-    .B2(_02261_),
-    .X(_01248_),
+ sky130_fd_sc_hd__and3_4 _07316_ (.A(_02257_),
+    .B(_02291_),
+    .C(_02292_),
+    .X(_02293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07418_ (.A(\u_spictrl.spi_clk_div[0] ),
-    .Y(_02293_),
+ sky130_fd_sc_hd__or3_4 _07317_ (.A(_02169_),
+    .B(_02290_),
+    .C(_02293_),
+    .X(_02294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07419_ (.A(\u_spim_regs.cfg_m1_addr[8] ),
-    .Y(_02294_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07420_ (.A1(_02293_),
-    .A2(_02263_),
-    .B1(_02294_),
-    .B2(_01624_),
+ sky130_fd_sc_hd__buf_2 _07318_ (.A(_01701_),
     .X(_02295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07421_ (.A(\u_spim_regs.cfg_m0_fsm_reset ),
-    .Y(_02296_),
+ sky130_fd_sc_hd__or2_4 _07319_ (.A(_02295_),
+    .B(\u_m1_res_fifo.mem[0][8] ),
+    .X(_02296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07422_ (.A(_01293_),
+ sky130_fd_sc_hd__buf_2 _07320_ (.A(_01698_),
     .X(_02297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07423_ (.A(_02085_),
-    .B(_02297_),
-    .C(_02086_),
-    .D(_02087_),
+ sky130_fd_sc_hd__or2_4 _07321_ (.A(_02297_),
+    .B(\u_m1_res_fifo.mem[1][8] ),
     .X(_02298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07424_ (.A(_02298_),
+ sky130_fd_sc_hd__and3_4 _07322_ (.A(_02263_),
+    .B(_02296_),
+    .C(_02298_),
     .X(_02299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07425_ (.A(\u_spim_regs.cfg_m0_mode_reg[0] ),
-    .Y(_02300_),
+ sky130_fd_sc_hd__or2_4 _07323_ (.A(_02182_),
+    .B(\u_m1_res_fifo.mem[3][8] ),
+    .X(_02300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07426_ (.A1(_02296_),
-    .A2(_02299_),
-    .B1(_02300_),
-    .B2(_02000_),
+ sky130_fd_sc_hd__or2_4 _07324_ (.A(_02184_),
+    .B(\u_m1_res_fifo.mem[2][8] ),
     .X(_02301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07427_ (.A(\u_spim_regs.cfg_m1_fsm_reset[0] ),
+ sky130_fd_sc_hd__and3_4 _07325_ (.A(_02103_),
+    .B(_02300_),
+    .C(_02301_),
     .X(_02302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07428_ (.A(_01467_),
-    .B(_02297_),
-    .C(_01506_),
-    .D(_01507_),
+ sky130_fd_sc_hd__or3_4 _07326_ (.A(_02262_),
+    .B(_02299_),
+    .C(_02302_),
     .X(_02303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07429_ (.A(_02303_),
-    .Y(_02304_),
+ sky130_fd_sc_hd__and3_4 _07327_ (.A(_02294_),
+    .B(_02303_),
+    .C(_02271_),
+    .X(_02304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07430_ (.A(_02304_),
-    .X(_02305_),
+ sky130_fd_sc_hd__inv_2 _07328_ (.A(_02304_),
+    .Y(_02305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _07431_ (.A1(\u_spim_regs.cfg_m1_mode_reg[0] ),
-    .A2(_01520_),
-    .B1(_02302_),
-    .B2(_02305_),
-    .Y(_02306_),
+ sky130_fd_sc_hd__and4_4 _07329_ (.A(_02277_),
+    .B(_02282_),
+    .C(_02287_),
+    .D(_02305_),
+    .X(_02306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07432_ (.A(_02184_),
-    .B(\u_m1_res_fifo.mem[4][8] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07330_ (.A1_N(_02245_),
+    .A2_N(_02306_),
+    .B1(\u_spim_regs.spim_reg_rdata[8] ),
+    .B2(_02245_),
+    .X(_01248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07331_ (.A(_01501_),
     .X(_02307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07433_ (.A(_02186_),
-    .B(\u_m1_res_fifo.mem[5][8] ),
+ sky130_fd_sc_hd__buf_2 _07332_ (.A(_02307_),
     .X(_02308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07434_ (.A(_02097_),
-    .B(_02307_),
-    .C(_02308_),
-    .X(_02309_),
+ sky130_fd_sc_hd__inv_2 _07333_ (.A(\u_spictrl.cfg_m0_spi_switch[1] ),
+    .Y(_02309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07435_ (.A(_02102_),
-    .B(\u_m1_res_fifo.mem[7][8] ),
+ sky130_fd_sc_hd__buf_2 _07334_ (.A(_02280_),
     .X(_02310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07436_ (.A(_02104_),
-    .B(\u_m1_res_fifo.mem[6][8] ),
-    .X(_02311_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07437_ (.A(_02275_),
+ sky130_fd_sc_hd__nor2_4 _07335_ (.A(_02309_),
     .B(_02310_),
-    .C(_02311_),
-    .X(_02312_),
+    .Y(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07438_ (.A(_02183_),
-    .B(_02309_),
-    .C(_02312_),
+ sky130_fd_sc_hd__inv_2 _07336_ (.A(\u_spim_regs.cfg_m0_cmd_reg[7] ),
+    .Y(_02312_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _07337_ (.A1_N(_02312_),
+    .A2_N(_02046_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[7] ),
+    .B2(_01681_),
     .X(_02313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07439_ (.A(_01700_),
-    .X(_02314_),
+ sky130_fd_sc_hd__inv_2 _07338_ (.A(\u_spim_regs.cfg_m1_addr[7] ),
+    .Y(_02314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07440_ (.A(_02314_),
-    .B(\u_m1_res_fifo.mem[0][8] ),
+ sky130_fd_sc_hd__buf_2 _07339_ (.A(_02285_),
     .X(_02315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07441_ (.A(_01697_),
+ sky130_fd_sc_hd__a2bb2o_4 _07340_ (.A1_N(_02314_),
+    .A2_N(_01886_),
+    .B1(\u_spictrl.cfg_m1_spi_switch[1] ),
+    .B2(_02315_),
     .X(_02316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07442_ (.A(_02316_),
-    .B(\u_m1_res_fifo.mem[1][8] ),
+ sky130_fd_sc_hd__buf_2 _07341_ (.A(_01743_),
     .X(_02317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07443_ (.A(_02281_),
-    .B(_02315_),
-    .C(_02317_),
+ sky130_fd_sc_hd__or2_4 _07342_ (.A(_02317_),
+    .B(\u_m1_res_fifo.mem[4][7] ),
     .X(_02318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07444_ (.A(_02196_),
-    .B(\u_m1_res_fifo.mem[3][8] ),
+ sky130_fd_sc_hd__buf_2 _07343_ (.A(_01746_),
     .X(_02319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07445_ (.A(_02198_),
-    .B(\u_m1_res_fifo.mem[2][8] ),
+ sky130_fd_sc_hd__or2_4 _07344_ (.A(_02319_),
+    .B(\u_m1_res_fifo.mem[5][7] ),
     .X(_02320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07446_ (.A(_02111_),
-    .B(_02319_),
+ sky130_fd_sc_hd__and3_4 _07345_ (.A(_02209_),
+    .B(_02318_),
     .C(_02320_),
     .X(_02321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07447_ (.A(_02280_),
-    .B(_02318_),
-    .C(_02321_),
+ sky130_fd_sc_hd__buf_2 _07346_ (.A(_01714_),
     .X(_02322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07448_ (.A(_02313_),
-    .B(_02322_),
-    .C(_02289_),
+ sky130_fd_sc_hd__or2_4 _07347_ (.A(_02235_),
+    .B(\u_m1_res_fifo.mem[7][7] ),
     .X(_02323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07449_ (.A(_02323_),
-    .Y(_02324_),
+ sky130_fd_sc_hd__or2_4 _07348_ (.A(_02233_),
+    .B(\u_m1_res_fifo.mem[6][7] ),
+    .X(_02324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07450_ (.A(_02295_),
-    .B(_02301_),
-    .C(_02306_),
-    .D(_02324_),
+ sky130_fd_sc_hd__and3_4 _07349_ (.A(_02322_),
+    .B(_02323_),
+    .C(_02324_),
     .X(_02325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07451_ (.A1_N(_02261_),
-    .A2_N(_02325_),
-    .B1(\u_spim_regs.spim_reg_rdata[8] ),
-    .B2(_02261_),
-    .X(_01247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07452_ (.A(_01502_),
+ sky130_fd_sc_hd__or3_4 _07350_ (.A(_02201_),
+    .B(_02321_),
+    .C(_02325_),
     .X(_02326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07453_ (.A(_02326_),
+ sky130_fd_sc_hd__buf_2 _07351_ (.A(_01706_),
     .X(_02327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07454_ (.A(\u_spictrl.cfg_m0_spi_switch[1] ),
-    .Y(_02328_),
+ sky130_fd_sc_hd__buf_2 _07352_ (.A(_01552_),
+    .X(_02328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07455_ (.A(_02299_),
+ sky130_fd_sc_hd__buf_2 _07353_ (.A(_01555_),
     .X(_02329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07456_ (.A(_02328_),
-    .B(_02329_),
-    .Y(_02330_),
+ sky130_fd_sc_hd__or2_4 _07354_ (.A(_02329_),
+    .B(\u_m1_res_fifo.mem[0][7] ),
+    .X(_02330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07457_ (.A(\u_spim_regs.cfg_m0_cmd_reg[7] ),
-    .Y(_02331_),
+ sky130_fd_sc_hd__buf_2 _07355_ (.A(_01559_),
+    .X(_02331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07458_ (.A(_01519_),
+ sky130_fd_sc_hd__or2_4 _07356_ (.A(_02331_),
+    .B(\u_m1_res_fifo.mem[1][7] ),
     .X(_02332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07459_ (.A1_N(_02331_),
-    .A2_N(_01509_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[7] ),
-    .B2(_02332_),
+ sky130_fd_sc_hd__and3_4 _07357_ (.A(_02328_),
+    .B(_02330_),
+    .C(_02332_),
     .X(_02333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07460_ (.A(\u_spim_regs.cfg_m1_addr[7] ),
-    .Y(_02334_),
+ sky130_fd_sc_hd__buf_2 _07358_ (.A(_01714_),
+    .X(_02334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07461_ (.A(_02304_),
+ sky130_fd_sc_hd__buf_2 _07359_ (.A(_01746_),
     .X(_02335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07462_ (.A1_N(_02334_),
-    .A2_N(_01889_),
-    .B1(\u_spictrl.cfg_m1_spi_switch[1] ),
-    .B2(_02335_),
+ sky130_fd_sc_hd__or2_4 _07360_ (.A(_02335_),
+    .B(\u_m1_res_fifo.mem[3][7] ),
     .X(_02336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07463_ (.A(_01741_),
+ sky130_fd_sc_hd__buf_2 _07361_ (.A(_01743_),
     .X(_02337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07464_ (.A(_02337_),
-    .B(\u_m1_res_fifo.mem[4][7] ),
+ sky130_fd_sc_hd__or2_4 _07362_ (.A(_02337_),
+    .B(\u_m1_res_fifo.mem[2][7] ),
     .X(_02338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07465_ (.A(_01744_),
+ sky130_fd_sc_hd__and3_4 _07363_ (.A(_02334_),
+    .B(_02336_),
+    .C(_02338_),
     .X(_02339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07466_ (.A(_02339_),
-    .B(\u_m1_res_fifo.mem[5][7] ),
+ sky130_fd_sc_hd__or3_4 _07364_ (.A(_02327_),
+    .B(_02333_),
+    .C(_02339_),
     .X(_02340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07467_ (.A(_02224_),
-    .B(_02338_),
-    .C(_02340_),
+ sky130_fd_sc_hd__and4_4 _07365_ (.A(_01911_),
+    .B(_02051_),
+    .C(_02326_),
+    .D(_02340_),
     .X(_02341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07468_ (.A(_01713_),
+ sky130_fd_sc_hd__or4_4 _07366_ (.A(_02311_),
+    .B(_02313_),
+    .C(_02316_),
+    .D(_02341_),
     .X(_02342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07469_ (.A(_02251_),
-    .B(\u_m1_res_fifo.mem[7][7] ),
-    .X(_02343_),
+ sky130_fd_sc_hd__inv_2 _07367_ (.A(_02342_),
+    .Y(_02343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07470_ (.A(_02249_),
-    .B(\u_m1_res_fifo.mem[6][7] ),
-    .X(_02344_),
+ sky130_fd_sc_hd__a2bb2o_4 _07368_ (.A1_N(_02308_),
+    .A2_N(_02343_),
+    .B1(\u_spim_regs.spim_reg_rdata[7] ),
+    .B2(_02308_),
+    .X(_01247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07471_ (.A(_02342_),
-    .B(_02343_),
-    .C(_02344_),
-    .X(_02345_),
+ sky130_fd_sc_hd__inv_2 _07369_ (.A(\u_spictrl.cfg_m0_spi_switch[0] ),
+    .Y(_02344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07472_ (.A(_02216_),
-    .B(_02341_),
-    .C(_02345_),
-    .X(_02346_),
+ sky130_fd_sc_hd__nor2_4 _07370_ (.A(_02344_),
+    .B(_02310_),
+    .Y(_02345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07473_ (.A(_01705_),
+ sky130_fd_sc_hd__inv_2 _07371_ (.A(\u_spim_regs.cfg_m0_cmd_reg[6] ),
+    .Y(_02346_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _07372_ (.A1_N(_02346_),
+    .A2_N(_01635_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[6] ),
+    .B2(_01681_),
     .X(_02347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07474_ (.A(_01550_),
-    .X(_02348_),
+ sky130_fd_sc_hd__inv_2 _07373_ (.A(\u_spim_regs.cfg_m1_addr[6] ),
+    .Y(_02348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07475_ (.A(_01553_),
+ sky130_fd_sc_hd__a2bb2o_4 _07374_ (.A1_N(_02348_),
+    .A2_N(_01886_),
+    .B1(\u_spictrl.cfg_m1_spi_switch[0] ),
+    .B2(_02315_),
     .X(_02349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07476_ (.A(_02349_),
-    .B(\u_m1_res_fifo.mem[0][7] ),
+ sky130_fd_sc_hd__or2_4 _07375_ (.A(_02317_),
+    .B(\u_m1_res_fifo.mem[4][6] ),
     .X(_02350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07477_ (.A(_01557_),
+ sky130_fd_sc_hd__or2_4 _07376_ (.A(_02319_),
+    .B(\u_m1_res_fifo.mem[5][6] ),
     .X(_02351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07478_ (.A(_02351_),
-    .B(\u_m1_res_fifo.mem[1][7] ),
+ sky130_fd_sc_hd__and3_4 _07377_ (.A(_02209_),
+    .B(_02350_),
+    .C(_02351_),
     .X(_02352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07479_ (.A(_02348_),
-    .B(_02350_),
-    .C(_02352_),
+ sky130_fd_sc_hd__or2_4 _07378_ (.A(_02235_),
+    .B(\u_m1_res_fifo.mem[7][6] ),
     .X(_02353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07480_ (.A(_01713_),
+ sky130_fd_sc_hd__or2_4 _07379_ (.A(_02233_),
+    .B(\u_m1_res_fifo.mem[6][6] ),
     .X(_02354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07481_ (.A(_01744_),
+ sky130_fd_sc_hd__and3_4 _07380_ (.A(_02322_),
+    .B(_02353_),
+    .C(_02354_),
     .X(_02355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07482_ (.A(_02355_),
-    .B(\u_m1_res_fifo.mem[3][7] ),
+ sky130_fd_sc_hd__or3_4 _07381_ (.A(_02201_),
+    .B(_02352_),
+    .C(_02355_),
     .X(_02356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07483_ (.A(_01741_),
+ sky130_fd_sc_hd__or2_4 _07382_ (.A(_02329_),
+    .B(\u_m1_res_fifo.mem[0][6] ),
     .X(_02357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07484_ (.A(_02357_),
-    .B(\u_m1_res_fifo.mem[2][7] ),
+ sky130_fd_sc_hd__or2_4 _07383_ (.A(_02331_),
+    .B(\u_m1_res_fifo.mem[1][6] ),
     .X(_02358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07485_ (.A(_02354_),
-    .B(_02356_),
+ sky130_fd_sc_hd__and3_4 _07384_ (.A(_02328_),
+    .B(_02357_),
     .C(_02358_),
     .X(_02359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07486_ (.A(_02347_),
-    .B(_02353_),
-    .C(_02359_),
+ sky130_fd_sc_hd__or2_4 _07385_ (.A(_02335_),
+    .B(\u_m1_res_fifo.mem[3][6] ),
     .X(_02360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07487_ (.A(_01915_),
-    .B(_02057_),
-    .C(_02346_),
-    .D(_02360_),
+ sky130_fd_sc_hd__or2_4 _07386_ (.A(_02337_),
+    .B(\u_m1_res_fifo.mem[2][6] ),
     .X(_02361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07488_ (.A(_02330_),
-    .B(_02333_),
-    .C(_02336_),
-    .D(_02361_),
+ sky130_fd_sc_hd__and3_4 _07387_ (.A(_02334_),
+    .B(_02360_),
+    .C(_02361_),
     .X(_02362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07489_ (.A(_02362_),
-    .Y(_02363_),
+ sky130_fd_sc_hd__or3_4 _07388_ (.A(_02327_),
+    .B(_02359_),
+    .C(_02362_),
+    .X(_02363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07490_ (.A1_N(_02327_),
-    .A2_N(_02363_),
-    .B1(\u_spim_regs.spim_reg_rdata[7] ),
-    .B2(_02327_),
-    .X(_01246_),
+ sky130_fd_sc_hd__and4_4 _07389_ (.A(_01911_),
+    .B(_02051_),
+    .C(_02356_),
+    .D(_02363_),
+    .X(_02364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07491_ (.A(\u_spictrl.cfg_m0_spi_switch[0] ),
-    .Y(_02364_),
+ sky130_fd_sc_hd__or4_4 _07390_ (.A(_02345_),
+    .B(_02347_),
+    .C(_02349_),
+    .D(_02364_),
+    .X(_02365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07492_ (.A(_02364_),
-    .B(_02329_),
-    .Y(_02365_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07493_ (.A(\u_spim_regs.cfg_m0_cmd_reg[6] ),
+ sky130_fd_sc_hd__inv_2 _07391_ (.A(_02365_),
     .Y(_02366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07494_ (.A(_01999_),
+ sky130_fd_sc_hd__a2bb2o_4 _07392_ (.A1_N(_02308_),
+    .A2_N(_02366_),
+    .B1(\u_spim_regs.spim_reg_rdata[6] ),
+    .B2(_02308_),
+    .X(_01246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07393_ (.A(_02307_),
     .X(_02367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07495_ (.A1_N(_02366_),
-    .A2_N(_02367_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[6] ),
-    .B2(_02332_),
-    .X(_02368_),
+ sky130_fd_sc_hd__inv_2 _07394_ (.A(\u_spictrl.cfg_m0_spi_mode[1] ),
+    .Y(_02368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07496_ (.A(\u_spim_regs.cfg_m1_addr[6] ),
+ sky130_fd_sc_hd__nor2_4 _07395_ (.A(_02368_),
+    .B(_02310_),
     .Y(_02369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07497_ (.A1_N(_02369_),
-    .A2_N(_01889_),
-    .B1(\u_spictrl.cfg_m1_spi_switch[0] ),
-    .B2(_02335_),
-    .X(_02370_),
+ sky130_fd_sc_hd__inv_2 _07396_ (.A(\u_spim_regs.cfg_m0_cmd_reg[5] ),
+    .Y(_02370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07498_ (.A(_02337_),
-    .B(\u_m1_res_fifo.mem[4][6] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07397_ (.A1_N(_02370_),
+    .A2_N(_01635_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[5] ),
+    .B2(_01933_),
     .X(_02371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07499_ (.A(_02339_),
-    .B(\u_m1_res_fifo.mem[5][6] ),
-    .X(_02372_),
+ sky130_fd_sc_hd__inv_2 _07398_ (.A(\u_spim_regs.cfg_m1_addr[5] ),
+    .Y(_02372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07500_ (.A(_02224_),
-    .B(_02371_),
-    .C(_02372_),
+ sky130_fd_sc_hd__a2bb2o_4 _07399_ (.A1_N(_02372_),
+    .A2_N(_01679_),
+    .B1(\u_spictrl.cfg_m1_spi_mode[1] ),
+    .B2(_02315_),
     .X(_02373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07501_ (.A(_02251_),
-    .B(\u_m1_res_fifo.mem[7][6] ),
+ sky130_fd_sc_hd__or2_4 _07400_ (.A(_02317_),
+    .B(\u_m1_res_fifo.mem[4][5] ),
     .X(_02374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07502_ (.A(_02249_),
-    .B(\u_m1_res_fifo.mem[6][6] ),
+ sky130_fd_sc_hd__or2_4 _07401_ (.A(_02319_),
+    .B(\u_m1_res_fifo.mem[5][5] ),
     .X(_02375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07503_ (.A(_02342_),
+ sky130_fd_sc_hd__and3_4 _07402_ (.A(_02328_),
     .B(_02374_),
     .C(_02375_),
     .X(_02376_),
@@ -7968,51 +8002,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07504_ (.A(_02216_),
-    .B(_02373_),
-    .C(_02376_),
+ sky130_fd_sc_hd__or2_4 _07403_ (.A(_02235_),
+    .B(\u_m1_res_fifo.mem[7][5] ),
     .X(_02377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07505_ (.A(_02349_),
-    .B(\u_m1_res_fifo.mem[0][6] ),
+ sky130_fd_sc_hd__or2_4 _07404_ (.A(_02233_),
+    .B(\u_m1_res_fifo.mem[6][5] ),
     .X(_02378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07506_ (.A(_02351_),
-    .B(\u_m1_res_fifo.mem[1][6] ),
+ sky130_fd_sc_hd__and3_4 _07405_ (.A(_02322_),
+    .B(_02377_),
+    .C(_02378_),
     .X(_02379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07507_ (.A(_02348_),
-    .B(_02378_),
+ sky130_fd_sc_hd__or3_4 _07406_ (.A(_01638_),
+    .B(_02376_),
     .C(_02379_),
     .X(_02380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07508_ (.A(_02355_),
-    .B(\u_m1_res_fifo.mem[3][6] ),
+ sky130_fd_sc_hd__or2_4 _07407_ (.A(_02329_),
+    .B(\u_m1_res_fifo.mem[0][5] ),
     .X(_02381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07509_ (.A(_02357_),
-    .B(\u_m1_res_fifo.mem[2][6] ),
+ sky130_fd_sc_hd__or2_4 _07408_ (.A(_02331_),
+    .B(\u_m1_res_fifo.mem[1][5] ),
     .X(_02382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07510_ (.A(_02354_),
+ sky130_fd_sc_hd__and3_4 _07409_ (.A(_01553_),
     .B(_02381_),
     .C(_02382_),
     .X(_02383_),
@@ -8020,589 +8054,594 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07511_ (.A(_02347_),
-    .B(_02380_),
-    .C(_02383_),
+ sky130_fd_sc_hd__or2_4 _07410_ (.A(_02335_),
+    .B(\u_m1_res_fifo.mem[3][5] ),
     .X(_02384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07512_ (.A(_01915_),
-    .B(_02057_),
-    .C(_02377_),
-    .D(_02384_),
+ sky130_fd_sc_hd__or2_4 _07411_ (.A(_02337_),
+    .B(\u_m1_res_fifo.mem[2][5] ),
     .X(_02385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07513_ (.A(_02365_),
-    .B(_02368_),
-    .C(_02370_),
-    .D(_02385_),
+ sky130_fd_sc_hd__and3_4 _07412_ (.A(_02334_),
+    .B(_02384_),
+    .C(_02385_),
     .X(_02386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07514_ (.A(_02386_),
-    .Y(_02387_),
+ sky130_fd_sc_hd__or3_4 _07413_ (.A(_02327_),
+    .B(_02383_),
+    .C(_02386_),
+    .X(_02387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07515_ (.A1_N(_02327_),
-    .A2_N(_02387_),
-    .B1(\u_spim_regs.spim_reg_rdata[6] ),
-    .B2(_02327_),
-    .X(_01245_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07516_ (.A(_02326_),
+ sky130_fd_sc_hd__and4_4 _07414_ (.A(_01496_),
+    .B(_02051_),
+    .C(_02380_),
+    .D(_02387_),
     .X(_02388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07517_ (.A(\u_spictrl.cfg_m0_spi_mode[1] ),
-    .Y(_02389_),
+ sky130_fd_sc_hd__or4_4 _07415_ (.A(_02369_),
+    .B(_02371_),
+    .C(_02373_),
+    .D(_02388_),
+    .X(_02389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07518_ (.A(_02389_),
-    .B(_02329_),
+ sky130_fd_sc_hd__inv_2 _07416_ (.A(_02389_),
     .Y(_02390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07519_ (.A(\u_spim_regs.cfg_m0_cmd_reg[5] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07417_ (.A1_N(_02367_),
+    .A2_N(_02390_),
+    .B1(\u_spim_regs.spim_reg_rdata[5] ),
+    .B2(_02367_),
+    .X(_01245_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07418_ (.A(\u_spictrl.cfg_m0_spi_mode[0] ),
     .Y(_02391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07520_ (.A1_N(_02391_),
-    .A2_N(_02367_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[5] ),
-    .B2(_02332_),
-    .X(_02392_),
+ sky130_fd_sc_hd__nor2_4 _07419_ (.A(_02391_),
+    .B(_02280_),
+    .Y(_02392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07521_ (.A(\u_spim_regs.cfg_m1_addr[5] ),
+ sky130_fd_sc_hd__inv_2 _07420_ (.A(\u_spim_regs.cfg_m0_cmd_reg[4] ),
     .Y(_02393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07522_ (.A1_N(_02393_),
-    .A2_N(_01678_),
-    .B1(\u_spictrl.cfg_m1_spi_mode[1] ),
-    .B2(_02335_),
+ sky130_fd_sc_hd__a2bb2o_4 _07421_ (.A1_N(_02393_),
+    .A2_N(_01635_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[4] ),
+    .B2(_01933_),
     .X(_02394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07523_ (.A(_02337_),
-    .B(\u_m1_res_fifo.mem[4][5] ),
-    .X(_02395_),
+ sky130_fd_sc_hd__inv_2 _07422_ (.A(\u_spim_regs.cfg_m1_addr[4] ),
+    .Y(_02395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07524_ (.A(_02339_),
-    .B(\u_m1_res_fifo.mem[5][5] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07423_ (.A1_N(_02395_),
+    .A2_N(_01679_),
+    .B1(\u_spictrl.cfg_m1_spi_mode[0] ),
+    .B2(_02315_),
     .X(_02396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07525_ (.A(_02348_),
-    .B(_02395_),
-    .C(_02396_),
+ sky130_fd_sc_hd__or2_4 _07424_ (.A(_02329_),
+    .B(\u_m1_res_fifo.mem[4][4] ),
     .X(_02397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07526_ (.A(_02251_),
-    .B(\u_m1_res_fifo.mem[7][5] ),
+ sky130_fd_sc_hd__or2_4 _07425_ (.A(_02331_),
+    .B(\u_m1_res_fifo.mem[5][4] ),
     .X(_02398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07527_ (.A(_02249_),
-    .B(\u_m1_res_fifo.mem[6][5] ),
+ sky130_fd_sc_hd__and3_4 _07426_ (.A(_02328_),
+    .B(_02397_),
+    .C(_02398_),
     .X(_02399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07528_ (.A(_02342_),
-    .B(_02398_),
-    .C(_02399_),
+ sky130_fd_sc_hd__or2_4 _07427_ (.A(_02335_),
+    .B(\u_m1_res_fifo.mem[7][4] ),
     .X(_02400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07529_ (.A(_01636_),
-    .B(_02397_),
-    .C(_02400_),
+ sky130_fd_sc_hd__or2_4 _07428_ (.A(_02337_),
+    .B(\u_m1_res_fifo.mem[6][4] ),
     .X(_02401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07530_ (.A(_02349_),
-    .B(\u_m1_res_fifo.mem[0][5] ),
+ sky130_fd_sc_hd__and3_4 _07429_ (.A(_02322_),
+    .B(_02400_),
+    .C(_02401_),
     .X(_02402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07531_ (.A(_02351_),
-    .B(\u_m1_res_fifo.mem[1][5] ),
+ sky130_fd_sc_hd__or3_4 _07430_ (.A(_01638_),
+    .B(_02399_),
+    .C(_02402_),
     .X(_02403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07532_ (.A(_01551_),
-    .B(_02402_),
-    .C(_02403_),
+ sky130_fd_sc_hd__or2_4 _07431_ (.A(_01569_),
+    .B(\u_m1_res_fifo.mem[0][4] ),
     .X(_02404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07533_ (.A(_02355_),
-    .B(\u_m1_res_fifo.mem[3][5] ),
+ sky130_fd_sc_hd__or2_4 _07432_ (.A(_01560_),
+    .B(\u_m1_res_fifo.mem[1][4] ),
     .X(_02405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07534_ (.A(_02357_),
-    .B(\u_m1_res_fifo.mem[2][5] ),
+ sky130_fd_sc_hd__and3_4 _07433_ (.A(_01553_),
+    .B(_02404_),
+    .C(_02405_),
     .X(_02406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07535_ (.A(_02354_),
-    .B(_02405_),
-    .C(_02406_),
+ sky130_fd_sc_hd__or2_4 _07434_ (.A(_02319_),
+    .B(\u_m1_res_fifo.mem[3][4] ),
     .X(_02407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07536_ (.A(_02347_),
-    .B(_02404_),
-    .C(_02407_),
+ sky130_fd_sc_hd__or2_4 _07435_ (.A(_02317_),
+    .B(\u_m1_res_fifo.mem[2][4] ),
     .X(_02408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07537_ (.A(_01497_),
-    .B(_02057_),
-    .C(_02401_),
-    .D(_02408_),
+ sky130_fd_sc_hd__and3_4 _07436_ (.A(_02334_),
+    .B(_02407_),
+    .C(_02408_),
     .X(_02409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07538_ (.A(_02390_),
-    .B(_02392_),
-    .C(_02394_),
-    .D(_02409_),
+ sky130_fd_sc_hd__or3_4 _07437_ (.A(_02327_),
+    .B(_02406_),
+    .C(_02409_),
     .X(_02410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07539_ (.A(_02410_),
-    .Y(_02411_),
+ sky130_fd_sc_hd__and4_4 _07438_ (.A(_01496_),
+    .B(_02050_),
+    .C(_02403_),
+    .D(_02410_),
+    .X(_02411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07540_ (.A1_N(_02388_),
-    .A2_N(_02411_),
-    .B1(\u_spim_regs.spim_reg_rdata[5] ),
-    .B2(_02388_),
-    .X(_01244_),
+ sky130_fd_sc_hd__or4_4 _07439_ (.A(_02392_),
+    .B(_02394_),
+    .C(_02396_),
+    .D(_02411_),
+    .X(_02412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07541_ (.A(\u_spictrl.cfg_m0_spi_mode[0] ),
-    .Y(_02412_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07542_ (.A(_02412_),
-    .B(_02299_),
+ sky130_fd_sc_hd__inv_2 _07440_ (.A(_02412_),
     .Y(_02413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07543_ (.A(\u_spim_regs.cfg_m0_cmd_reg[4] ),
-    .Y(_02414_),
+ sky130_fd_sc_hd__a2bb2o_4 _07441_ (.A1_N(_02367_),
+    .A2_N(_02413_),
+    .B1(\u_spim_regs.spim_reg_rdata[4] ),
+    .B2(_02367_),
+    .X(_01244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07544_ (.A1_N(_02414_),
-    .A2_N(_02367_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[4] ),
-    .B2(_02332_),
-    .X(_02415_),
+ sky130_fd_sc_hd__buf_2 _07442_ (.A(_02307_),
+    .X(_02414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07545_ (.A(\u_spim_regs.cfg_m1_addr[4] ),
+ sky130_fd_sc_hd__inv_2 _07443_ (.A(\u_spictrl.cfg_cs_late[1] ),
+    .Y(_02415_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07444_ (.A(\u_spictrl.cfg_m0_cs_reg[3] ),
     .Y(_02416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07546_ (.A1_N(_02416_),
-    .A2_N(_01678_),
-    .B1(\u_spictrl.cfg_m1_spi_mode[0] ),
-    .B2(_02335_),
+ sky130_fd_sc_hd__buf_2 _07445_ (.A(_02279_),
     .X(_02417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07547_ (.A(_02349_),
-    .B(\u_m1_res_fifo.mem[4][4] ),
+ sky130_fd_sc_hd__o22a_4 _07446_ (.A1(_02415_),
+    .A2(_02247_),
+    .B1(_02416_),
+    .B2(_02417_),
     .X(_02418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07548_ (.A(_02351_),
-    .B(\u_m1_res_fifo.mem[5][4] ),
-    .X(_02419_),
+ sky130_fd_sc_hd__a22oi_4 _07447_ (.A1(\u_spictrl.cfg_m1_cs_reg[3] ),
+    .A2(_02286_),
+    .B1(\u_spim_regs.cfg_m1_addr[3] ),
+    .B2(_02086_),
+    .Y(_02419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07549_ (.A(_02348_),
-    .B(_02418_),
-    .C(_02419_),
+ sky130_fd_sc_hd__buf_2 _07448_ (.A(_01508_),
     .X(_02420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07550_ (.A(_02355_),
-    .B(\u_m1_res_fifo.mem[7][4] ),
-    .X(_02421_),
+ sky130_fd_sc_hd__a22oi_4 _07449_ (.A1(\u_spim_regs.cfg_m1_cmd_reg[3] ),
+    .A2(_02252_),
+    .B1(\u_spim_regs.cfg_m0_cmd_reg[3] ),
+    .B2(_02420_),
+    .Y(_02421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07551_ (.A(_02357_),
-    .B(\u_m1_res_fifo.mem[6][4] ),
+ sky130_fd_sc_hd__buf_2 _07450_ (.A(_01945_),
     .X(_02422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07552_ (.A(_02342_),
-    .B(_02421_),
-    .C(_02422_),
+ sky130_fd_sc_hd__or2_4 _07451_ (.A(_02170_),
+    .B(\u_m1_res_fifo.mem[4][3] ),
     .X(_02423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07553_ (.A(_01636_),
-    .B(_02420_),
-    .C(_02423_),
+ sky130_fd_sc_hd__or2_4 _07452_ (.A(_02172_),
+    .B(\u_m1_res_fifo.mem[5][3] ),
     .X(_02424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07554_ (.A(_01567_),
-    .B(\u_m1_res_fifo.mem[0][4] ),
+ sky130_fd_sc_hd__and3_4 _07453_ (.A(_02422_),
+    .B(_02423_),
+    .C(_02424_),
     .X(_02425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07555_ (.A(_01558_),
-    .B(\u_m1_res_fifo.mem[1][4] ),
+ sky130_fd_sc_hd__buf_2 _07454_ (.A(_01661_),
     .X(_02426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07556_ (.A(_01551_),
-    .B(_02425_),
-    .C(_02426_),
+ sky130_fd_sc_hd__or2_4 _07455_ (.A(_02426_),
+    .B(\u_m1_res_fifo.mem[7][3] ),
     .X(_02427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07557_ (.A(_02339_),
-    .B(\u_m1_res_fifo.mem[3][4] ),
+ sky130_fd_sc_hd__buf_2 _07456_ (.A(_01657_),
     .X(_02428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07558_ (.A(_02337_),
-    .B(\u_m1_res_fifo.mem[2][4] ),
+ sky130_fd_sc_hd__or2_4 _07457_ (.A(_02428_),
+    .B(\u_m1_res_fifo.mem[6][3] ),
     .X(_02429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07559_ (.A(_02354_),
-    .B(_02428_),
+ sky130_fd_sc_hd__and3_4 _07458_ (.A(_02257_),
+    .B(_02427_),
     .C(_02429_),
     .X(_02430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07560_ (.A(_02347_),
-    .B(_02427_),
+ sky130_fd_sc_hd__or3_4 _07459_ (.A(_02169_),
+    .B(_02425_),
     .C(_02430_),
     .X(_02431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07561_ (.A(_01497_),
-    .B(_02056_),
-    .C(_02424_),
-    .D(_02431_),
+ sky130_fd_sc_hd__or2_4 _07460_ (.A(_02295_),
+    .B(\u_m1_res_fifo.mem[0][3] ),
     .X(_02432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07562_ (.A(_02413_),
-    .B(_02415_),
-    .C(_02417_),
-    .D(_02432_),
+ sky130_fd_sc_hd__or2_4 _07461_ (.A(_02297_),
+    .B(\u_m1_res_fifo.mem[1][3] ),
     .X(_02433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07563_ (.A(_02433_),
-    .Y(_02434_),
+ sky130_fd_sc_hd__and3_4 _07462_ (.A(_02263_),
+    .B(_02432_),
+    .C(_02433_),
+    .X(_02434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07564_ (.A1_N(_02388_),
-    .A2_N(_02434_),
-    .B1(\u_spim_regs.spim_reg_rdata[4] ),
-    .B2(_02388_),
-    .X(_01243_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07565_ (.A(_02326_),
+ sky130_fd_sc_hd__buf_2 _07463_ (.A(_01696_),
     .X(_02435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07566_ (.A(\u_spictrl.cfg_cs_late[1] ),
-    .Y(_02436_),
+ sky130_fd_sc_hd__or2_4 _07464_ (.A(_02182_),
+    .B(\u_m1_res_fifo.mem[3][3] ),
+    .X(_02436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07567_ (.A(\u_spictrl.cfg_m0_cs_reg[3] ),
-    .Y(_02437_),
+ sky130_fd_sc_hd__or2_4 _07465_ (.A(_02184_),
+    .B(\u_m1_res_fifo.mem[2][3] ),
+    .X(_02437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07568_ (.A(_02298_),
+ sky130_fd_sc_hd__and3_4 _07466_ (.A(_02435_),
+    .B(_02436_),
+    .C(_02437_),
     .X(_02438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07569_ (.A1(_02436_),
-    .A2(_02263_),
-    .B1(_02437_),
-    .B2(_02438_),
+ sky130_fd_sc_hd__or3_4 _07467_ (.A(_02262_),
+    .B(_02434_),
+    .C(_02438_),
     .X(_02439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _07570_ (.A1(\u_spictrl.cfg_m1_cs_reg[3] ),
-    .A2(_02305_),
-    .B1(\u_spim_regs.cfg_m1_addr[3] ),
-    .B2(_02092_),
-    .Y(_02440_),
+ sky130_fd_sc_hd__and3_4 _07468_ (.A(_02431_),
+    .B(_02439_),
+    .C(_02271_),
+    .X(_02440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07571_ (.A(\u_spim_regs.cfg_m1_cmd_reg[3] ),
+ sky130_fd_sc_hd__inv_2 _07469_ (.A(_02440_),
     .Y(_02441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07572_ (.A(\u_spim_regs.cfg_m0_cmd_reg[3] ),
-    .Y(_02442_),
+ sky130_fd_sc_hd__and4_4 _07470_ (.A(_02418_),
+    .B(_02419_),
+    .C(_02421_),
+    .D(_02441_),
+    .X(_02442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07573_ (.A1(_02441_),
-    .A2(_02269_),
-    .B1(_02442_),
-    .B2(_02181_),
-    .X(_02443_),
+ sky130_fd_sc_hd__a2bb2o_4 _07471_ (.A1_N(_02414_),
+    .A2_N(_02442_),
+    .B1(\u_spim_regs.spim_reg_rdata[3] ),
+    .B2(_02414_),
+    .X(_01243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07574_ (.A(_01948_),
-    .X(_02444_),
+ sky130_fd_sc_hd__inv_2 _07472_ (.A(\u_spictrl.cfg_cs_late[0] ),
+    .Y(_02443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07575_ (.A(_02184_),
-    .B(\u_m1_res_fifo.mem[4][3] ),
+ sky130_fd_sc_hd__inv_2 _07473_ (.A(\u_spictrl.cfg_m0_cs_reg[2] ),
+    .Y(_02444_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07474_ (.A1(_02443_),
+    .A2(_02247_),
+    .B1(_02444_),
+    .B2(_02417_),
     .X(_02445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07576_ (.A(_02186_),
-    .B(\u_m1_res_fifo.mem[5][3] ),
-    .X(_02446_),
+ sky130_fd_sc_hd__a22oi_4 _07475_ (.A1(\u_spictrl.cfg_m1_cs_reg[2] ),
+    .A2(_02286_),
+    .B1(\u_spim_regs.cfg_m1_addr[2] ),
+    .B2(_02086_),
+    .Y(_02446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07577_ (.A(_02444_),
-    .B(_02445_),
-    .C(_02446_),
-    .X(_02447_),
+ sky130_fd_sc_hd__a22oi_4 _07476_ (.A1(\u_spim_regs.cfg_m1_cmd_reg[2] ),
+    .A2(_02252_),
+    .B1(\u_spim_regs.cfg_m0_cmd_reg[2] ),
+    .B2(_02420_),
+    .Y(_02447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07578_ (.A(_01659_),
+ sky130_fd_sc_hd__or2_4 _07477_ (.A(_02054_),
+    .B(\u_m1_res_fifo.mem[4][2] ),
     .X(_02448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07579_ (.A(_02448_),
-    .B(\u_m1_res_fifo.mem[7][3] ),
+ sky130_fd_sc_hd__or2_4 _07478_ (.A(_02104_),
+    .B(\u_m1_res_fifo.mem[5][2] ),
     .X(_02449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07580_ (.A(_01655_),
+ sky130_fd_sc_hd__and3_4 _07479_ (.A(_02422_),
+    .B(_02448_),
+    .C(_02449_),
     .X(_02450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07581_ (.A(_02450_),
-    .B(\u_m1_res_fifo.mem[6][3] ),
+ sky130_fd_sc_hd__or2_4 _07480_ (.A(_02426_),
+    .B(\u_m1_res_fifo.mem[7][2] ),
     .X(_02451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07582_ (.A(_02275_),
-    .B(_02449_),
-    .C(_02451_),
+ sky130_fd_sc_hd__or2_4 _07481_ (.A(_02428_),
+    .B(\u_m1_res_fifo.mem[6][2] ),
     .X(_02452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07583_ (.A(_02183_),
-    .B(_02447_),
+ sky130_fd_sc_hd__and3_4 _07482_ (.A(_02257_),
+    .B(_02451_),
     .C(_02452_),
     .X(_02453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07584_ (.A(_02314_),
-    .B(\u_m1_res_fifo.mem[0][3] ),
+ sky130_fd_sc_hd__or3_4 _07483_ (.A(_02052_),
+    .B(_02450_),
+    .C(_02453_),
     .X(_02454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07585_ (.A(_02316_),
-    .B(\u_m1_res_fifo.mem[1][3] ),
+ sky130_fd_sc_hd__or2_4 _07484_ (.A(_02295_),
+    .B(\u_m1_res_fifo.mem[0][2] ),
     .X(_02455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07586_ (.A(_02281_),
-    .B(_02454_),
-    .C(_02455_),
+ sky130_fd_sc_hd__or2_4 _07485_ (.A(_02297_),
+    .B(\u_m1_res_fifo.mem[1][2] ),
     .X(_02456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07587_ (.A(_01695_),
+ sky130_fd_sc_hd__and3_4 _07486_ (.A(_02263_),
+    .B(_02455_),
+    .C(_02456_),
     .X(_02457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07588_ (.A(_02196_),
-    .B(\u_m1_res_fifo.mem[3][3] ),
+ sky130_fd_sc_hd__or2_4 _07487_ (.A(_02056_),
+    .B(\u_m1_res_fifo.mem[3][2] ),
     .X(_02458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07589_ (.A(_02198_),
-    .B(\u_m1_res_fifo.mem[2][3] ),
+ sky130_fd_sc_hd__or2_4 _07488_ (.A(_02090_),
+    .B(\u_m1_res_fifo.mem[2][2] ),
     .X(_02459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07590_ (.A(_02457_),
+ sky130_fd_sc_hd__and3_4 _07489_ (.A(_02435_),
     .B(_02458_),
     .C(_02459_),
     .X(_02460_),
@@ -8610,357 +8649,356 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07591_ (.A(_02280_),
-    .B(_02456_),
+ sky130_fd_sc_hd__or3_4 _07490_ (.A(_02262_),
+    .B(_02457_),
     .C(_02460_),
     .X(_02461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07592_ (.A(_02453_),
+ sky130_fd_sc_hd__and3_4 _07491_ (.A(_02454_),
     .B(_02461_),
-    .C(_02289_),
+    .C(_02271_),
     .X(_02462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07593_ (.A(_02462_),
+ sky130_fd_sc_hd__inv_2 _07492_ (.A(_02462_),
     .Y(_02463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07594_ (.A(_02439_),
-    .B(_02440_),
-    .C(_02443_),
+ sky130_fd_sc_hd__and4_4 _07493_ (.A(_02445_),
+    .B(_02446_),
+    .C(_02447_),
     .D(_02463_),
     .X(_02464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07595_ (.A1_N(_02435_),
+ sky130_fd_sc_hd__a2bb2o_4 _07494_ (.A1_N(_02414_),
     .A2_N(_02464_),
-    .B1(\u_spim_regs.spim_reg_rdata[3] ),
-    .B2(_02435_),
+    .B1(\u_spim_regs.spim_reg_rdata[2] ),
+    .B2(_02414_),
     .X(_01242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07596_ (.A(\u_spictrl.cfg_cs_late[0] ),
-    .Y(_02465_),
+ sky130_fd_sc_hd__buf_2 _07495_ (.A(_02307_),
+    .X(_02465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07597_ (.A(\u_spictrl.cfg_m0_cs_reg[2] ),
+ sky130_fd_sc_hd__inv_2 _07496_ (.A(\u_spictrl.cfg_cs_early[1] ),
     .Y(_02466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07598_ (.A1(_02465_),
-    .A2(_02263_),
-    .B1(_02466_),
-    .B2(_02438_),
-    .X(_02467_),
+ sky130_fd_sc_hd__inv_2 _07497_ (.A(\u_spictrl.cfg_m0_cs_reg[1] ),
+    .Y(_02467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _07599_ (.A1(\u_spictrl.cfg_m1_cs_reg[2] ),
-    .A2(_02305_),
-    .B1(\u_spim_regs.cfg_m1_addr[2] ),
-    .B2(_02092_),
-    .Y(_02468_),
+ sky130_fd_sc_hd__o22a_4 _07498_ (.A1(_02466_),
+    .A2(_02117_),
+    .B1(_02467_),
+    .B2(_02310_),
+    .X(_02468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07600_ (.A(\u_spim_regs.cfg_m1_cmd_reg[2] ),
+ sky130_fd_sc_hd__a22oi_4 _07499_ (.A1(\u_spictrl.cfg_m1_cs_reg[1] ),
+    .A2(_02286_),
+    .B1(\u_spim_regs.cfg_m1_addr[1] ),
+    .B2(_02048_),
     .Y(_02469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07601_ (.A(\u_spim_regs.cfg_m0_cmd_reg[2] ),
+ sky130_fd_sc_hd__inv_2 _07500_ (.A(\u_spim_regs.cfg_m1_cmd_reg[1] ),
     .Y(_02470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07602_ (.A1(_02469_),
-    .A2(_02269_),
-    .B1(_02470_),
-    .B2(_02181_),
-    .X(_02471_),
+ sky130_fd_sc_hd__inv_2 _07501_ (.A(\u_spim_regs.cfg_m0_cmd_reg[1] ),
+    .Y(_02471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07603_ (.A(_02060_),
-    .B(\u_m1_res_fifo.mem[4][2] ),
+ sky130_fd_sc_hd__o22a_4 _07502_ (.A1(_02470_),
+    .A2(_02022_),
+    .B1(_02471_),
+    .B2(_02046_),
     .X(_02472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07604_ (.A(_02112_),
-    .B(\u_m1_res_fifo.mem[5][2] ),
+ sky130_fd_sc_hd__or2_4 _07503_ (.A(_02054_),
+    .B(\u_m1_res_fifo.mem[4][1] ),
     .X(_02473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07605_ (.A(_02444_),
-    .B(_02472_),
-    .C(_02473_),
+ sky130_fd_sc_hd__or2_4 _07504_ (.A(_02104_),
+    .B(\u_m1_res_fifo.mem[5][1] ),
     .X(_02474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07606_ (.A(_02448_),
-    .B(\u_m1_res_fifo.mem[7][2] ),
+ sky130_fd_sc_hd__and3_4 _07505_ (.A(_02422_),
+    .B(_02473_),
+    .C(_02474_),
     .X(_02475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07607_ (.A(_02450_),
-    .B(\u_m1_res_fifo.mem[6][2] ),
+ sky130_fd_sc_hd__or2_4 _07506_ (.A(_02426_),
+    .B(\u_m1_res_fifo.mem[7][1] ),
     .X(_02476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07608_ (.A(_02275_),
-    .B(_02475_),
-    .C(_02476_),
+ sky130_fd_sc_hd__or2_4 _07507_ (.A(_02428_),
+    .B(\u_m1_res_fifo.mem[6][1] ),
     .X(_02477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07609_ (.A(_02058_),
-    .B(_02474_),
+ sky130_fd_sc_hd__and3_4 _07508_ (.A(_02059_),
+    .B(_02476_),
     .C(_02477_),
     .X(_02478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07610_ (.A(_02314_),
-    .B(\u_m1_res_fifo.mem[0][2] ),
+ sky130_fd_sc_hd__or3_4 _07509_ (.A(_02052_),
+    .B(_02475_),
+    .C(_02478_),
     .X(_02479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07611_ (.A(_02316_),
-    .B(\u_m1_res_fifo.mem[1][2] ),
+ sky130_fd_sc_hd__or2_4 _07510_ (.A(_02295_),
+    .B(\u_m1_res_fifo.mem[0][1] ),
     .X(_02480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07612_ (.A(_02281_),
-    .B(_02479_),
-    .C(_02480_),
+ sky130_fd_sc_hd__or2_4 _07511_ (.A(_02297_),
+    .B(\u_m1_res_fifo.mem[1][1] ),
     .X(_02481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07613_ (.A(_02062_),
-    .B(\u_m1_res_fifo.mem[3][2] ),
+ sky130_fd_sc_hd__and3_4 _07512_ (.A(_01688_),
+    .B(_02480_),
+    .C(_02481_),
     .X(_02482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07614_ (.A(_02098_),
-    .B(\u_m1_res_fifo.mem[2][2] ),
+ sky130_fd_sc_hd__or2_4 _07513_ (.A(_02056_),
+    .B(\u_m1_res_fifo.mem[3][1] ),
     .X(_02483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07615_ (.A(_02457_),
-    .B(_02482_),
-    .C(_02483_),
+ sky130_fd_sc_hd__or2_4 _07514_ (.A(_02090_),
+    .B(\u_m1_res_fifo.mem[2][1] ),
     .X(_02484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07616_ (.A(_02280_),
-    .B(_02481_),
+ sky130_fd_sc_hd__and3_4 _07515_ (.A(_02435_),
+    .B(_02483_),
     .C(_02484_),
     .X(_02485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07617_ (.A(_02478_),
-    .B(_02485_),
-    .C(_02289_),
+ sky130_fd_sc_hd__or3_4 _07516_ (.A(_01707_),
+    .B(_02482_),
+    .C(_02485_),
     .X(_02486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07618_ (.A(_02486_),
-    .Y(_02487_),
+ sky130_fd_sc_hd__and3_4 _07517_ (.A(_02479_),
+    .B(_02486_),
+    .C(_01722_),
+    .X(_02487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07619_ (.A(_02467_),
-    .B(_02468_),
-    .C(_02471_),
-    .D(_02487_),
-    .X(_02488_),
+ sky130_fd_sc_hd__inv_2 _07518_ (.A(_02487_),
+    .Y(_02488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07620_ (.A1_N(_02435_),
-    .A2_N(_02488_),
-    .B1(\u_spim_regs.spim_reg_rdata[2] ),
-    .B2(_02435_),
-    .X(_01241_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07621_ (.A(_02326_),
+ sky130_fd_sc_hd__and4_4 _07519_ (.A(_02468_),
+    .B(_02469_),
+    .C(_02472_),
+    .D(_02488_),
     .X(_02489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07622_ (.A(\u_spictrl.cfg_cs_early[1] ),
+ sky130_fd_sc_hd__a2bb2o_4 _07520_ (.A1_N(_02465_),
+    .A2_N(_02489_),
+    .B1(\u_spim_regs.spim_reg_rdata[1] ),
+    .B2(_02465_),
+    .X(_01241_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07521_ (.A(\u_spim_regs.cfg_m1_cmd_reg[0] ),
     .Y(_02490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07623_ (.A(\u_spictrl.cfg_m0_cs_reg[1] ),
+ sky130_fd_sc_hd__inv_2 _07522_ (.A(\u_spictrl.cfg_cs_early[0] ),
     .Y(_02491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07624_ (.A1(_02490_),
-    .A2(_02127_),
+ sky130_fd_sc_hd__o22a_4 _07523_ (.A1(_02490_),
+    .A2(_01634_),
     .B1(_02491_),
-    .B2(_02329_),
+    .B2(_02084_),
     .X(_02492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _07625_ (.A1(\u_spictrl.cfg_m1_cs_reg[1] ),
-    .A2(_02305_),
-    .B1(\u_spim_regs.cfg_m1_addr[1] ),
-    .B2(_02054_),
+ sky130_fd_sc_hd__inv_2 _07524_ (.A(\u_spictrl.cfg_m0_cs_reg[0] ),
     .Y(_02493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07626_ (.A(\u_spim_regs.cfg_m1_cmd_reg[1] ),
+ sky130_fd_sc_hd__inv_2 _07525_ (.A(\u_spictrl.cfg_m1_cs_reg[0] ),
     .Y(_02494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07627_ (.A(\u_spim_regs.cfg_m0_cmd_reg[1] ),
-    .Y(_02495_),
+ sky130_fd_sc_hd__o22a_4 _07526_ (.A1(_02493_),
+    .A2(_02280_),
+    .B1(_02494_),
+    .B2(_02284_),
+    .X(_02495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07628_ (.A1(_02494_),
-    .A2(_02269_),
-    .B1(_02495_),
-    .B2(_01674_),
+ sky130_fd_sc_hd__buf_2 _07527_ (.A(_01532_),
     .X(_02496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07629_ (.A(_02060_),
-    .B(\u_m1_res_fifo.mem[4][1] ),
+ sky130_fd_sc_hd__or4_4 _07528_ (.A(psn_net_190),
+    .B(_01539_),
+    .C(_01533_),
+    .D(_02496_),
     .X(_02497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07630_ (.A(_02112_),
-    .B(\u_m1_res_fifo.mem[5][1] ),
+ sky130_fd_sc_hd__buf_2 _07529_ (.A(_02497_),
     .X(_02498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07631_ (.A(_02444_),
-    .B(_02497_),
-    .C(_02498_),
-    .X(_02499_),
+ sky130_fd_sc_hd__a22oi_4 _07530_ (.A1(\u_spim_regs.cfg_m0_cmd_reg[0] ),
+    .A2(_01508_),
+    .B1(\u_spim_regs.cfg_m1_addr[0] ),
+    .B2(_02114_),
+    .Y(_02499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07632_ (.A(_02448_),
-    .B(\u_m1_res_fifo.mem[7][1] ),
+ sky130_fd_sc_hd__o21a_4 _07531_ (.A1(_01733_),
+    .A2(_02498_),
+    .B1(_02499_),
     .X(_02500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07633_ (.A(_02450_),
-    .B(\u_m1_res_fifo.mem[6][1] ),
+ sky130_fd_sc_hd__or2_4 _07532_ (.A(_02054_),
+    .B(\u_m1_res_fifo.mem[4][0] ),
     .X(_02501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07634_ (.A(_02065_),
-    .B(_02500_),
-    .C(_02501_),
+ sky130_fd_sc_hd__or2_4 _07533_ (.A(_02104_),
+    .B(\u_m1_res_fifo.mem[5][0] ),
     .X(_02502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07635_ (.A(_02058_),
-    .B(_02499_),
+ sky130_fd_sc_hd__and3_4 _07534_ (.A(_02422_),
+    .B(_02501_),
     .C(_02502_),
     .X(_02503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07636_ (.A(_02314_),
-    .B(\u_m1_res_fifo.mem[0][1] ),
+ sky130_fd_sc_hd__or2_4 _07535_ (.A(_02426_),
+    .B(\u_m1_res_fifo.mem[7][0] ),
     .X(_02504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07637_ (.A(_02316_),
-    .B(\u_m1_res_fifo.mem[1][1] ),
+ sky130_fd_sc_hd__or2_4 _07536_ (.A(_02428_),
+    .B(\u_m1_res_fifo.mem[6][0] ),
     .X(_02505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07638_ (.A(_01687_),
+ sky130_fd_sc_hd__and3_4 _07537_ (.A(_02059_),
     .B(_02504_),
     .C(_02505_),
     .X(_02506_),
@@ -8968,2404 +9006,2491 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07639_ (.A(_02062_),
-    .B(\u_m1_res_fifo.mem[3][1] ),
+ sky130_fd_sc_hd__or3_4 _07538_ (.A(_02052_),
+    .B(_02503_),
+    .C(_02506_),
     .X(_02507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07640_ (.A(_02098_),
-    .B(\u_m1_res_fifo.mem[2][1] ),
+ sky130_fd_sc_hd__or2_4 _07539_ (.A(_01702_),
+    .B(\u_m1_res_fifo.mem[0][0] ),
     .X(_02508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07641_ (.A(_02457_),
-    .B(_02507_),
-    .C(_02508_),
+ sky130_fd_sc_hd__or2_4 _07540_ (.A(_01699_),
+    .B(\u_m1_res_fifo.mem[1][0] ),
     .X(_02509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07642_ (.A(_01706_),
-    .B(_02506_),
+ sky130_fd_sc_hd__and3_4 _07541_ (.A(_01688_),
+    .B(_02508_),
     .C(_02509_),
     .X(_02510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07643_ (.A(_02503_),
-    .B(_02510_),
-    .C(_01721_),
+ sky130_fd_sc_hd__or2_4 _07542_ (.A(_02056_),
+    .B(\u_m1_res_fifo.mem[3][0] ),
     .X(_02511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07644_ (.A(_02511_),
-    .Y(_02512_),
+ sky130_fd_sc_hd__or2_4 _07543_ (.A(_02090_),
+    .B(\u_m1_res_fifo.mem[2][0] ),
+    .X(_02512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07645_ (.A(_02492_),
-    .B(_02493_),
-    .C(_02496_),
-    .D(_02512_),
+ sky130_fd_sc_hd__and3_4 _07544_ (.A(_02435_),
+    .B(_02511_),
+    .C(_02512_),
     .X(_02513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07646_ (.A1_N(_02489_),
-    .A2_N(_02513_),
-    .B1(\u_spim_regs.spim_reg_rdata[1] ),
-    .B2(_02489_),
+ sky130_fd_sc_hd__or3_4 _07545_ (.A(_01707_),
+    .B(_02510_),
+    .C(_02513_),
+    .X(_02514_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _07546_ (.A(_02507_),
+    .B(_02514_),
+    .C(_01722_),
+    .X(_02515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07547_ (.A(_02515_),
+    .Y(_02516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _07548_ (.A(_02492_),
+    .B(_02495_),
+    .C(_02500_),
+    .D(_02516_),
+    .X(_02517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _07549_ (.A1_N(_02465_),
+    .A2_N(_02517_),
+    .B1(\u_spim_regs.spim_reg_rdata[0] ),
+    .B2(_02465_),
     .X(_01240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07647_ (.A(\u_spim_regs.cfg_m1_cmd_reg[0] ),
-    .Y(_02514_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07648_ (.A(\u_spictrl.cfg_cs_early[0] ),
-    .Y(_02515_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07649_ (.A1(_02514_),
-    .A2(_01632_),
-    .B1(_02515_),
-    .B2(_02090_),
-    .X(_02516_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07650_ (.A(\u_spictrl.cfg_m0_cs_reg[0] ),
-    .Y(_02517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07651_ (.A(\u_spictrl.cfg_m1_cs_reg[0] ),
+ sky130_fd_sc_hd__inv_2 _07550_ (.A(\u_spim_regs.spim_reg_we ),
     .Y(_02518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07652_ (.A1(_02517_),
-    .A2(_02299_),
-    .B1(_02518_),
-    .B2(_02303_),
+ sky130_fd_sc_hd__buf_2 _07551_ (.A(spi_debug[9]),
     .X(_02519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07653_ (.A(_01993_),
-    .B(_02024_),
-    .C(_01534_),
-    .X(_02520_),
+ sky130_fd_sc_hd__inv_2 _07552_ (.A(_01839_),
+    .Y(_02520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07654_ (.A(_01934_),
-    .B(_02520_),
-    .X(_02521_),
+ sky130_fd_sc_hd__inv_2 _07553_ (.A(\u_spim_regs.cfg_m1_req ),
+    .Y(_02521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07655_ (.A(_02521_),
+ sky130_fd_sc_hd__or4_4 _07554_ (.A(_01282_),
+    .B(_01331_),
+    .C(_02518_),
+    .D(_02249_),
     .X(_02522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07656_ (.A(_02522_),
-    .Y(_02523_),
+ sky130_fd_sc_hd__and3_4 _07555_ (.A(_02521_),
+    .B(_01497_),
+    .C(_02522_),
+    .X(_02523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07657_ (.A(\u_spim_regs.cfg_m0_cmd_reg[0] ),
-    .Y(_02524_),
+ sky130_fd_sc_hd__or2_4 _07556_ (.A(_02520_),
+    .B(_02523_),
+    .X(_02524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07658_ (.A(\u_spim_regs.cfg_m1_addr[0] ),
-    .Y(_02525_),
+ sky130_fd_sc_hd__or4_4 _07557_ (.A(_01472_),
+    .B(_02519_),
+    .C(_01478_),
+    .D(_02524_),
+    .X(_02525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07659_ (.A1(_02524_),
-    .A2(_01633_),
-    .B1(_02525_),
-    .B2(_01515_),
+ sky130_fd_sc_hd__buf_2 _07558_ (.A(_01962_),
     .X(_02526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07660_ (.A1(_01731_),
-    .A2(_02523_),
-    .B1(_02526_),
+ sky130_fd_sc_hd__buf_2 _07559_ (.A(\u_spim_regs.cfg_m1_spi_seq[2] ),
     .X(_02527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07661_ (.A(_02060_),
-    .B(\u_m1_res_fifo.mem[4][0] ),
+ sky130_fd_sc_hd__or2_4 _07560_ (.A(_02526_),
+    .B(_02527_),
     .X(_02528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07662_ (.A(_02112_),
-    .B(\u_m1_res_fifo.mem[5][0] ),
+ sky130_fd_sc_hd__buf_2 _07561_ (.A(\u_spim_regs.cfg_m1_spi_seq[1] ),
     .X(_02529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07663_ (.A(_02444_),
-    .B(_02528_),
-    .C(_02529_),
+ sky130_fd_sc_hd__buf_2 _07562_ (.A(spi_debug[10]),
     .X(_02530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07664_ (.A(_02448_),
-    .B(\u_m1_res_fifo.mem[7][0] ),
+ sky130_fd_sc_hd__buf_2 _07563_ (.A(_02530_),
     .X(_02531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07665_ (.A(_02450_),
-    .B(\u_m1_res_fifo.mem[6][0] ),
-    .X(_02532_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07666_ (.A(_02065_),
-    .B(_02531_),
-    .C(_02532_),
-    .X(_02533_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07667_ (.A(_02058_),
-    .B(_02530_),
-    .C(_02533_),
-    .X(_02534_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07668_ (.A(_01701_),
-    .B(\u_m1_res_fifo.mem[0][0] ),
-    .X(_02535_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07669_ (.A(_01698_),
-    .B(\u_m1_res_fifo.mem[1][0] ),
-    .X(_02536_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07670_ (.A(_01687_),
-    .B(_02535_),
-    .C(_02536_),
-    .X(_02537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07671_ (.A(_02062_),
-    .B(\u_m1_res_fifo.mem[3][0] ),
-    .X(_02538_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07672_ (.A(_02098_),
-    .B(\u_m1_res_fifo.mem[2][0] ),
-    .X(_02539_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07673_ (.A(_02457_),
-    .B(_02538_),
-    .C(_02539_),
-    .X(_02540_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07674_ (.A(_01706_),
-    .B(_02537_),
-    .C(_02540_),
-    .X(_02541_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07675_ (.A(_02534_),
-    .B(_02541_),
-    .C(_01721_),
-    .X(_02542_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07676_ (.A(_02542_),
-    .Y(_02543_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _07677_ (.A(_02516_),
-    .B(_02519_),
-    .C(_02527_),
-    .D(_02543_),
-    .X(_02544_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07678_ (.A1_N(_02489_),
-    .A2_N(_02544_),
-    .B1(\u_spim_regs.spim_reg_rdata[0] ),
-    .B2(_02489_),
-    .X(_01239_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07679_ (.A(\u_spim_regs.spim_reg_we ),
-    .Y(_02545_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07680_ (.A(spi_debug[9]),
-    .X(_02546_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07681_ (.A(_01840_),
-    .Y(_02547_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07682_ (.A(\u_spim_regs.cfg_m1_req ),
-    .Y(_02548_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07683_ (.A(_01281_),
-    .B(_01329_),
-    .C(_02545_),
-    .D(_02265_),
-    .X(_02549_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07684_ (.A(_02548_),
-    .B(_01498_),
-    .C(_02549_),
-    .X(_02550_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07685_ (.A(_02547_),
-    .B(_02550_),
-    .X(_02551_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07686_ (.A(_01473_),
-    .B(_02546_),
-    .C(_01479_),
-    .D(_02551_),
-    .X(_02552_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07687_ (.A(_01965_),
-    .X(_02553_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07688_ (.A(\u_spim_regs.cfg_m1_spi_seq[2] ),
-    .X(_02554_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07689_ (.A(_02553_),
-    .B(_02554_),
-    .X(_02555_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07690_ (.A(\u_spim_regs.cfg_m1_spi_seq[1] ),
-    .X(_02556_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07691_ (.A(_01471_),
-    .X(_02557_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07692_ (.A(_02547_),
+ sky130_fd_sc_hd__buf_2 _07564_ (.A(_02520_),
     .X(\u_m1_cmd_fifo.full ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07693_ (.A(_01480_),
-    .B(_02557_),
-    .C(_01476_),
+ sky130_fd_sc_hd__or4_4 _07565_ (.A(_01478_),
+    .B(_02531_),
+    .C(_01475_),
     .D(\u_m1_cmd_fifo.full ),
-    .X(_02558_),
+    .X(_02532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07694_ (.A(_02556_),
-    .B(_02558_),
-    .X(_02559_),
+ sky130_fd_sc_hd__and2_4 _07566_ (.A(_02529_),
+    .B(_02532_),
+    .X(_02533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07695_ (.A(_02546_),
-    .X(_02560_),
+ sky130_fd_sc_hd__buf_2 _07567_ (.A(_02519_),
+    .X(_02534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07696_ (.A(_01866_),
-    .B(_02550_),
-    .X(_02561_),
+ sky130_fd_sc_hd__or2_4 _07568_ (.A(_01864_),
+    .B(_02523_),
+    .X(_02535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07697_ (.A(_02557_),
-    .B(_02560_),
-    .C(_01479_),
-    .D(_02561_),
-    .X(_02562_),
+ sky130_fd_sc_hd__or4_4 _07569_ (.A(_02530_),
+    .B(_02534_),
+    .C(_01478_),
+    .D(_02535_),
+    .X(_02536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07698_ (.A(_02028_),
-    .B(_02562_),
-    .X(_02563_),
+ sky130_fd_sc_hd__and2_4 _07570_ (.A(_02021_),
+    .B(_02536_),
+    .X(_02537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07699_ (.A(_02049_),
-    .B(_02555_),
-    .C(_02559_),
-    .D(_02563_),
-    .X(_02564_),
+ sky130_fd_sc_hd__or4_4 _07571_ (.A(_02043_),
+    .B(_02528_),
+    .C(_02533_),
+    .D(_02537_),
+    .X(_02538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07700_ (.A(_02266_),
-    .B(_02552_),
-    .C(_02564_),
-    .X(_02565_),
+ sky130_fd_sc_hd__and3_4 _07572_ (.A(_02250_),
+    .B(_02525_),
+    .C(_02538_),
+    .X(_02539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07701_ (.A(_01464_),
+ sky130_fd_sc_hd__or4_4 _07573_ (.A(_01283_),
     .B(_01465_),
-    .C(_02545_),
-    .D(_02565_),
-    .X(_02566_),
+    .C(_02518_),
+    .D(_02539_),
+    .X(_02540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07702_ (.A(_01626_),
-    .X(_02567_),
+ sky130_fd_sc_hd__buf_2 _07574_ (.A(_01628_),
+    .X(_02541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07703_ (.A1(_01501_),
-    .A2(_02566_),
+ sky130_fd_sc_hd__a211o_4 _07575_ (.A1(_01500_),
+    .A2(_02540_),
     .B1(\u_spim_regs.spim_reg_ack ),
-    .C1(_02567_),
-    .X(_02568_),
+    .C1(_02541_),
+    .X(_02542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07704_ (.A(_02568_),
-    .Y(_01238_),
+ sky130_fd_sc_hd__inv_2 _07576_ (.A(_02542_),
+    .Y(_01239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07705_ (.A(_02296_),
-    .B(_01425_),
-    .C(_01397_),
+ sky130_fd_sc_hd__and3_4 _07577_ (.A(_02278_),
+    .B(_01446_),
+    .C(_01399_),
+    .X(_01238_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07578_ (.A(_01291_),
+    .Y(_02543_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07579_ (.A(_02543_),
+    .X(_02544_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07580_ (.A(spi_debug[13]),
+    .B(_02544_),
+    .X(_02545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _07581_ (.A1(_01364_),
+    .A2(_01465_),
+    .A3(_02544_),
+    .B1(_01408_),
+    .B2(_01398_),
+    .X(_02546_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _07582_ (.A1(spi_debug[14]),
+    .A2(_02545_),
+    .A3(psn_net_126),
+    .B1(_01280_),
+    .B2(_02546_),
+    .X(_02547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07583_ (.A(_02278_),
+    .B(_02547_),
     .X(_01237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07706_ (.A(_01289_),
-    .Y(_02569_),
+ sky130_fd_sc_hd__buf_2 _07584_ (.A(\u_spim_regs.spi_init_done ),
+    .X(_02548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07707_ (.A(_02569_),
-    .X(_02570_),
+ sky130_fd_sc_hd__buf_2 _07585_ (.A(_02548_),
+    .X(_02549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07708_ (.A(spi_debug[13]),
-    .B(_02570_),
+ sky130_fd_sc_hd__buf_2 _07586_ (.A(_02549_),
+    .X(_02550_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07587_ (.A(_02550_),
+    .X(_02551_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07588_ (.A(_02551_),
+    .X(_02552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07589_ (.A(\u_spim_regs.spim_reg_wdata[15] ),
+    .X(_02553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07590_ (.A(_02552_),
+    .B(_02553_),
+    .X(_02554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07591_ (.A(\u_spim_regs.spim_reg_be[1] ),
+    .Y(_02555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _07592_ (.A(_01282_),
+    .B(_01365_),
+    .C(_02518_),
+    .D(_01464_),
+    .X(_02556_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07593_ (.A(_02556_),
+    .Y(_02557_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07594_ (.A(_02555_),
+    .B(_02557_),
+    .X(_02558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07595_ (.A(\u_spim_regs.spi_init_state[5] ),
+    .Y(_02559_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07596_ (.A(\u_spim_regs.spi_init_state[4] ),
+    .Y(_02560_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07597_ (.A(\u_spim_regs.spi_init_state[6] ),
+    .Y(_02561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _07598_ (.A(_02559_),
+    .B(_02560_),
+    .C(_02561_),
+    .X(_02562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _07599_ (.A(_02548_),
+    .B(_02562_),
+    .Y(_02563_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07600_ (.A(_01517_),
+    .B(_02556_),
+    .X(_02564_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07601_ (.A(_02564_),
+    .Y(_02565_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07602_ (.A(_02563_),
+    .B(_02565_),
+    .X(_02566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07603_ (.A(_02566_),
+    .Y(_02567_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07604_ (.A(_02558_),
+    .B(_02567_),
+    .X(_02568_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07605_ (.A(_02568_),
+    .X(_02569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07606_ (.A(_02568_),
+    .Y(_02570_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07607_ (.A(_02570_),
     .X(_02571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07709_ (.A1(_01362_),
-    .A2(_01465_),
-    .A3(_02569_),
-    .B1(_01406_),
-    .B2(_01396_),
-    .X(_02572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07710_ (.A1(spi_debug[14]),
-    .A2(_02571_),
-    .A3(_01424_),
-    .B1(_01279_),
-    .B2(_02572_),
-    .X(_02573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07711_ (.A(_02296_),
-    .B(_02573_),
+ sky130_fd_sc_hd__o22a_4 _07608_ (.A1(_02554_),
+    .A2(_02569_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[7] ),
+    .B2(_02571_),
     .X(_01236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07712_ (.A(\u_spim_regs.cfg_m1_wdata[9] ),
-    .Y(_02574_),
+ sky130_fd_sc_hd__buf_2 _07609_ (.A(_02551_),
+    .X(_02572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07713_ (.A(\u_spim_regs.spi_init_state[5] ),
-    .Y(_02575_),
+ sky130_fd_sc_hd__buf_2 _07610_ (.A(\u_spim_regs.spim_reg_wdata[14] ),
+    .X(_02573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07714_ (.A(\u_spim_regs.spi_init_state[4] ),
-    .Y(_02576_),
+ sky130_fd_sc_hd__and2_4 _07611_ (.A(_02572_),
+    .B(_02573_),
+    .X(_02574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07715_ (.A(\u_spim_regs.spi_init_state[6] ),
-    .Y(_02577_),
+ sky130_fd_sc_hd__o22a_4 _07612_ (.A1(_02569_),
+    .A2(_02574_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[6] ),
+    .B2(_02571_),
+    .X(_01235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07716_ (.A(_02575_),
-    .B(_02576_),
-    .C(_02577_),
+ sky130_fd_sc_hd__buf_2 _07613_ (.A(_02550_),
+    .X(_02575_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07614_ (.A(_02575_),
+    .X(_02576_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07615_ (.A(\u_spim_regs.spim_reg_wdata[13] ),
+    .X(_02577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07616_ (.A(_02576_),
+    .B(_02577_),
     .X(_02578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07717_ (.A(_01462_),
-    .B(_02578_),
-    .Y(_02579_),
+ sky130_fd_sc_hd__o22a_4 _07617_ (.A1(_02569_),
+    .A2(_02578_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[5] ),
+    .B2(_02571_),
+    .X(_01234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07718_ (.A(_02575_),
+ sky130_fd_sc_hd__buf_2 _07618_ (.A(\u_spim_regs.spim_reg_wdata[12] ),
+    .X(_02579_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07619_ (.A(_02576_),
+    .B(_02579_),
     .X(_02580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07719_ (.A(_01462_),
+ sky130_fd_sc_hd__o22a_4 _07620_ (.A1(_02569_),
+    .A2(_02580_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[4] ),
+    .B2(_02571_),
+    .X(_01233_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07621_ (.A(_02568_),
     .X(_02581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07720_ (.A(_02581_),
+ sky130_fd_sc_hd__buf_2 _07622_ (.A(\u_spim_regs.spim_reg_wdata[11] ),
     .X(_02582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07721_ (.A(_02580_),
+ sky130_fd_sc_hd__and2_4 _07623_ (.A(_02576_),
     .B(_02582_),
     .X(_02583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _07722_ (.A1(_02574_),
-    .A2(_02579_),
-    .B1(_02583_),
-    .Y(_01235_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07723_ (.A(_02582_),
+ sky130_fd_sc_hd__buf_2 _07624_ (.A(_02570_),
     .X(_02584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07724_ (.A(\u_spim_regs.spim_reg_wdata[7] ),
+ sky130_fd_sc_hd__o22a_4 _07625_ (.A1(_02581_),
+    .A2(_02583_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[3] ),
+    .B2(_02584_),
+    .X(_01232_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07626_ (.A(\u_spim_regs.spim_reg_wdata[10] ),
     .X(_02585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07725_ (.A(_02584_),
+ sky130_fd_sc_hd__and2_4 _07627_ (.A(_02576_),
     .B(_02585_),
     .X(_02586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07726_ (.A(_01625_),
-    .X(_02587_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07727_ (.A(_02580_),
-    .B(_02587_),
-    .C(_02577_),
-    .X(_02588_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07728_ (.A(\u_spim_regs.spim_reg_be[0] ),
-    .Y(_02589_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07729_ (.A(_01464_),
-    .B(_01363_),
-    .C(_02545_),
-    .D(_01463_),
-    .X(_02590_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07730_ (.A(_02590_),
-    .Y(_02591_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07731_ (.A(_02591_),
-    .X(_02592_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07732_ (.A(_02589_),
-    .B(_02592_),
-    .X(_02593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07733_ (.A(_02579_),
-    .B(_02591_),
-    .Y(_02594_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _07734_ (.A1(_01462_),
-    .A2(_01518_),
-    .B1(_02594_),
-    .X(_02595_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07735_ (.A(_02593_),
-    .B(_02595_),
-    .X(_02596_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07736_ (.A(_02596_),
-    .X(_02597_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07737_ (.A(_02597_),
-    .X(_02598_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07738_ (.A(_02596_),
-    .Y(_02599_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07739_ (.A(_02599_),
-    .X(_02600_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _07740_ (.A1(_02586_),
-    .A2(_02588_),
-    .A3(_02598_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[7] ),
-    .B2(_02600_),
-    .X(_01234_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07741_ (.A(_02582_),
-    .X(_02601_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07742_ (.A(\u_spim_regs.spim_reg_wdata[6] ),
-    .X(_02602_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07743_ (.A(_02601_),
-    .B(_02602_),
-    .X(_02603_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07744_ (.A(_02599_),
-    .X(_02604_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07745_ (.A1(_02598_),
-    .A2(_02603_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[6] ),
-    .B2(_02604_),
-    .X(_01233_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07746_ (.A(_02582_),
-    .X(_02605_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07747_ (.A(\u_spim_regs.spim_reg_wdata[5] ),
-    .X(_02606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07748_ (.A(_02605_),
-    .B(_02606_),
-    .X(_02607_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _07749_ (.A1(_02588_),
-    .A2(_02607_),
-    .A3(_02597_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[5] ),
-    .B2(_02600_),
-    .X(_01232_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07750_ (.A(\u_spim_regs.spim_reg_wdata[4] ),
-    .X(_02608_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07751_ (.A(_02605_),
-    .B(_02608_),
-    .X(_02609_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07752_ (.A1(_02598_),
-    .A2(_02609_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[4] ),
-    .B2(_02604_),
+ sky130_fd_sc_hd__o22a_4 _07628_ (.A1(_02581_),
+    .A2(_02586_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[2] ),
+    .B2(_02584_),
     .X(_01231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07753_ (.A(_02605_),
-    .B(\u_spim_regs.spim_reg_wdata[3] ),
-    .X(_02610_),
+ sky130_fd_sc_hd__buf_2 _07629_ (.A(_02575_),
+    .X(_02587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _07754_ (.A1(_02588_),
-    .A2(_02610_),
-    .A3(_02597_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[3] ),
-    .B2(_02600_),
+ sky130_fd_sc_hd__buf_2 _07630_ (.A(\u_spim_regs.spim_reg_wdata[9] ),
+    .X(_02588_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07631_ (.A(_02587_),
+    .B(_02588_),
+    .X(_02589_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07632_ (.A1(_02581_),
+    .A2(_02589_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[1] ),
+    .B2(_02584_),
     .X(_01230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07755_ (.A(_02584_),
-    .B(\u_spim_regs.spim_reg_wdata[2] ),
-    .X(_02611_),
+ sky130_fd_sc_hd__and2_4 _07633_ (.A(_02587_),
+    .B(\u_spim_regs.spim_reg_wdata[8] ),
+    .X(_02590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07756_ (.A(_01626_),
-    .B(\u_spim_regs.spi_init_state[6] ),
-    .X(_02612_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _07757_ (.A1(_02611_),
-    .A2(_02612_),
-    .A3(_02597_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[2] ),
-    .B2(_02600_),
+ sky130_fd_sc_hd__o22a_4 _07634_ (.A1(_02581_),
+    .A2(_02590_),
+    .B1(\u_spim_regs.cfg_m1_mode_reg[0] ),
+    .B2(_02584_),
     .X(_01229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07758_ (.A(_02581_),
-    .X(_02613_),
+ sky130_fd_sc_hd__buf_2 _07635_ (.A(_02556_),
+    .X(_02591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07759_ (.A(_02613_),
-    .X(_02614_),
+ sky130_fd_sc_hd__inv_2 _07636_ (.A(rst_n),
+    .Y(_02592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07760_ (.A(_02614_),
-    .B(\u_spim_regs.spim_reg_wdata[1] ),
-    .X(_02615_),
+ sky130_fd_sc_hd__nor2_4 _07637_ (.A(_02557_),
+    .B(_02563_),
+    .Y(_02593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07761_ (.A1(_02580_),
-    .A2(_02587_),
-    .B1(_02612_),
-    .C1(_02615_),
-    .X(_02616_),
+ sky130_fd_sc_hd__a211o_4 _07638_ (.A1(_02548_),
+    .A2(_01514_),
+    .B1(_02592_),
+    .C1(_02593_),
+    .X(_02594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _07762_ (.A1_N(_02494_),
-    .A2_N(_02604_),
-    .B1(_02604_),
-    .B2(_02616_),
+ sky130_fd_sc_hd__inv_2 _07639_ (.A(_02594_),
+    .Y(_02595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _07640_ (.A1(\u_spim_regs.spim_reg_be[2] ),
+    .A2(_02591_),
+    .B1(_02595_),
+    .X(_02596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07641_ (.A(_02596_),
+    .Y(_02597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07642_ (.A(_02597_),
+    .X(_02598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07643_ (.A(_02549_),
+    .X(_02599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07644_ (.A(_02599_),
+    .X(_02600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07645_ (.A(\u_spim_regs.spim_reg_wdata[23] ),
+    .B(_02600_),
+    .X(_02601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07646_ (.A(_02596_),
+    .X(_02602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07647_ (.A1(_02598_),
+    .A2(_02601_),
+    .B1(\u_spim_regs.cfg_m1_addr[23] ),
+    .B2(_02602_),
     .X(_01228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07763_ (.A(_02587_),
-    .B(\u_spim_regs.spim_reg_wdata[0] ),
-    .X(_02617_),
+ sky130_fd_sc_hd__and2_4 _07648_ (.A(_02587_),
+    .B(\u_spim_regs.spim_reg_wdata[22] ),
+    .X(_02603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07764_ (.A(_02613_),
-    .X(_02618_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _07765_ (.A(\u_spim_regs.spi_init_state[5] ),
-    .B(_02618_),
-    .C(_02577_),
-    .X(_02619_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07766_ (.A1(_02617_),
-    .A2(_02619_),
-    .A3(_02599_),
-    .B1(\u_spim_regs.cfg_m1_cmd_reg[0] ),
-    .B2(_02598_),
+ sky130_fd_sc_hd__o22a_4 _07649_ (.A1(_02598_),
+    .A2(_02603_),
+    .B1(\u_spim_regs.cfg_m1_addr[22] ),
+    .B2(_02602_),
     .X(_01227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07767_ (.A(\u_spim_regs.spim_reg_wdata[15] ),
+ sky130_fd_sc_hd__and2_4 _07650_ (.A(_02587_),
+    .B(\u_spim_regs.spim_reg_wdata[21] ),
+    .X(_02604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07651_ (.A1(_02598_),
+    .A2(_02604_),
+    .B1(\u_spim_regs.cfg_m1_addr[21] ),
+    .B2(_02602_),
+    .X(_01226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07652_ (.A(_02551_),
+    .X(_02605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07653_ (.A(_02605_),
+    .B(\u_spim_regs.spim_reg_wdata[20] ),
+    .X(_02606_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07654_ (.A1(_02598_),
+    .A2(_02606_),
+    .B1(\u_spim_regs.cfg_m1_addr[20] ),
+    .B2(_02602_),
+    .X(_01225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07655_ (.A(_02597_),
+    .X(_02607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07656_ (.A(_02605_),
+    .B(\u_spim_regs.spim_reg_wdata[19] ),
+    .X(_02608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07657_ (.A(_02596_),
+    .X(_02609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07658_ (.A1(_02607_),
+    .A2(_02608_),
+    .B1(\u_spim_regs.cfg_m1_addr[19] ),
+    .B2(_02609_),
+    .X(_01224_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07659_ (.A(_02605_),
+    .B(\u_spim_regs.spim_reg_wdata[18] ),
+    .X(_02610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07660_ (.A1(_02607_),
+    .A2(_02610_),
+    .B1(\u_spim_regs.cfg_m1_addr[18] ),
+    .B2(_02609_),
+    .X(_01223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07661_ (.A(_02605_),
+    .B(\u_spim_regs.spim_reg_wdata[17] ),
+    .X(_02611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07662_ (.A1(_02607_),
+    .A2(_02611_),
+    .B1(\u_spim_regs.cfg_m1_addr[17] ),
+    .B2(_02609_),
+    .X(_01222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07663_ (.A(_02549_),
+    .X(_02612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07664_ (.A(_02612_),
+    .B(\u_spim_regs.spim_reg_wdata[16] ),
+    .X(_02613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07665_ (.A1(_02607_),
+    .A2(_02613_),
+    .B1(\u_spim_regs.cfg_m1_addr[16] ),
+    .B2(_02609_),
+    .X(_01221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07666_ (.A(\u_spim_regs.spim_reg_be[0] ),
+    .Y(_02614_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07667_ (.A(_02614_),
+    .B(_02557_),
+    .X(_02615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07668_ (.A(_02594_),
+    .B(_02615_),
+    .X(_02616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07669_ (.A(_02616_),
+    .X(_02617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07670_ (.A(_02599_),
+    .X(_02618_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07671_ (.A(\u_spim_regs.spim_reg_wdata[7] ),
+    .X(_02619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07672_ (.A(_02618_),
+    .B(_02619_),
     .X(_02620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07768_ (.A(_02601_),
-    .B(_02620_),
-    .X(_02621_),
+ sky130_fd_sc_hd__inv_2 _07673_ (.A(_02616_),
+    .Y(_02621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07769_ (.A(\u_spim_regs.spim_reg_be[1] ),
-    .Y(_02622_),
+ sky130_fd_sc_hd__buf_2 _07674_ (.A(_02621_),
+    .X(_02622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07770_ (.A(_02622_),
-    .B(_02592_),
+ sky130_fd_sc_hd__o22a_4 _07675_ (.A1(_02617_),
+    .A2(_02620_),
+    .B1(\u_spim_regs.cfg_m1_addr[7] ),
+    .B2(_02622_),
+    .X(_01220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07676_ (.A(\u_spim_regs.spim_reg_wdata[6] ),
     .X(_02623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07771_ (.A(_02595_),
+ sky130_fd_sc_hd__and2_4 _07677_ (.A(_02600_),
     .B(_02623_),
     .X(_02624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07772_ (.A(_02624_),
-    .X(_02625_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07773_ (.A(_02624_),
-    .Y(_02626_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07774_ (.A(_02626_),
-    .X(_02627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07775_ (.A1(_02621_),
-    .A2(_02625_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[7] ),
-    .B2(_02627_),
-    .X(_01226_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07776_ (.A(_02613_),
-    .X(_02628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07777_ (.A(_02628_),
-    .X(_02629_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07778_ (.A(\u_spim_regs.spim_reg_wdata[14] ),
-    .X(_02630_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07779_ (.A(_02629_),
-    .B(_02630_),
-    .X(_02631_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07780_ (.A1(_02625_),
-    .A2(_02631_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[6] ),
-    .B2(_02627_),
-    .X(_01225_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07781_ (.A(\u_spim_regs.spim_reg_wdata[13] ),
-    .X(_02632_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07782_ (.A(_02629_),
-    .B(_02632_),
-    .X(_02633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07783_ (.A1(_02625_),
-    .A2(_02633_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[5] ),
-    .B2(_02627_),
-    .X(_01224_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07784_ (.A(_02614_),
-    .X(_02634_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07785_ (.A(\u_spim_regs.spim_reg_wdata[12] ),
-    .X(_02635_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07786_ (.A(_02634_),
-    .B(_02635_),
-    .X(_02636_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07787_ (.A1(_02625_),
-    .A2(_02636_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[4] ),
-    .B2(_02627_),
-    .X(_01223_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07788_ (.A(_02624_),
-    .X(_02637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07789_ (.A(\u_spim_regs.spim_reg_wdata[11] ),
-    .X(_02638_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07790_ (.A(_02634_),
-    .B(_02638_),
-    .X(_02639_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07791_ (.A(_02626_),
-    .X(_02640_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07792_ (.A1(_02637_),
-    .A2(_02639_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[3] ),
-    .B2(_02640_),
-    .X(_01222_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07793_ (.A(\u_spim_regs.spim_reg_wdata[10] ),
-    .X(_02641_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07794_ (.A(_02634_),
-    .B(_02641_),
-    .X(_02642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07795_ (.A1(_02637_),
-    .A2(_02642_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[2] ),
-    .B2(_02640_),
-    .X(_01221_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07796_ (.A(\u_spim_regs.spim_reg_wdata[9] ),
-    .X(_02643_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07797_ (.A(_02634_),
-    .B(_02643_),
-    .X(_02644_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07798_ (.A1(_02637_),
-    .A2(_02644_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[1] ),
-    .B2(_02640_),
-    .X(_01220_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07799_ (.A(_02628_),
-    .X(_02645_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07800_ (.A(_02645_),
-    .B(\u_spim_regs.spim_reg_wdata[8] ),
-    .X(_02646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07801_ (.A1(_02637_),
-    .A2(_02646_),
-    .B1(\u_spim_regs.cfg_m1_mode_reg[0] ),
-    .B2(_02640_),
+ sky130_fd_sc_hd__o22a_4 _07678_ (.A1(_02617_),
+    .A2(_02624_),
+    .B1(\u_spim_regs.cfg_m1_addr[6] ),
+    .B2(_02622_),
     .X(_01219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07802_ (.A(\u_spim_regs.spim_reg_be[2] ),
-    .Y(_02647_),
+ sky130_fd_sc_hd__buf_2 _07679_ (.A(\u_spim_regs.spim_reg_wdata[5] ),
+    .X(_02625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07803_ (.A(_02647_),
-    .B(_02592_),
-    .X(_02648_),
+ sky130_fd_sc_hd__and2_4 _07680_ (.A(_02618_),
+    .B(_02625_),
+    .X(_02626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07804_ (.A(rst_n),
-    .Y(_02649_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07805_ (.A1(_02581_),
-    .A2(_01677_),
-    .B1(_02649_),
-    .C1(_02594_),
-    .X(_02650_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07806_ (.A(_02648_),
-    .B(_02650_),
-    .X(_02651_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07807_ (.A(_02651_),
-    .X(_02652_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07808_ (.A(\u_spim_regs.spim_reg_wdata[23] ),
-    .B(_02605_),
-    .X(_02653_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07809_ (.A(_02651_),
-    .Y(_02654_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07810_ (.A(_02654_),
-    .X(_02655_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07811_ (.A1(_02652_),
-    .A2(_02653_),
-    .B1(\u_spim_regs.cfg_m1_addr[23] ),
-    .B2(_02655_),
+ sky130_fd_sc_hd__o22a_4 _07681_ (.A1(_02617_),
+    .A2(_02626_),
+    .B1(\u_spim_regs.cfg_m1_addr[5] ),
+    .B2(_02622_),
     .X(_01218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07812_ (.A(_02645_),
-    .B(\u_spim_regs.spim_reg_wdata[22] ),
-    .X(_02656_),
+ sky130_fd_sc_hd__buf_2 _07682_ (.A(\u_spim_regs.spim_reg_wdata[4] ),
+    .X(_02627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07813_ (.A1(_02652_),
-    .A2(_02656_),
-    .B1(\u_spim_regs.cfg_m1_addr[22] ),
-    .B2(_02655_),
+ sky130_fd_sc_hd__and2_4 _07683_ (.A(_02600_),
+    .B(_02627_),
+    .X(_02628_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07684_ (.A1(_02617_),
+    .A2(_02628_),
+    .B1(\u_spim_regs.cfg_m1_addr[4] ),
+    .B2(_02622_),
     .X(_01217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07814_ (.A(_02645_),
-    .B(\u_spim_regs.spim_reg_wdata[21] ),
-    .X(_02657_),
+ sky130_fd_sc_hd__buf_2 _07685_ (.A(_02616_),
+    .X(_02629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07815_ (.A1(_02652_),
-    .A2(_02657_),
-    .B1(\u_spim_regs.cfg_m1_addr[21] ),
-    .B2(_02655_),
+ sky130_fd_sc_hd__and2_4 _07686_ (.A(_02618_),
+    .B(\u_spim_regs.spim_reg_wdata[3] ),
+    .X(_02630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07687_ (.A(_02621_),
+    .X(_02631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07688_ (.A1(_02629_),
+    .A2(_02630_),
+    .B1(\u_spim_regs.cfg_m1_addr[3] ),
+    .B2(_02631_),
     .X(_01216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07816_ (.A(_02645_),
-    .B(\u_spim_regs.spim_reg_wdata[20] ),
-    .X(_02658_),
+ sky130_fd_sc_hd__and2_4 _07689_ (.A(_02618_),
+    .B(\u_spim_regs.spim_reg_wdata[2] ),
+    .X(_02632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07817_ (.A1(_02652_),
-    .A2(_02658_),
-    .B1(\u_spim_regs.cfg_m1_addr[20] ),
-    .B2(_02655_),
+ sky130_fd_sc_hd__o22a_4 _07690_ (.A1(_02629_),
+    .A2(_02632_),
+    .B1(\u_spim_regs.cfg_m1_addr[2] ),
+    .B2(_02631_),
     .X(_01215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07818_ (.A(_02651_),
-    .X(_02659_),
+ sky130_fd_sc_hd__and2_4 _07691_ (.A(_02599_),
+    .B(\u_spim_regs.spim_reg_wdata[1] ),
+    .X(_02633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07819_ (.A(_02628_),
-    .X(_02660_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07820_ (.A(_02660_),
-    .B(\u_spim_regs.spim_reg_wdata[19] ),
-    .X(_02661_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07821_ (.A(_02654_),
-    .X(_02662_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07822_ (.A1(_02659_),
-    .A2(_02661_),
-    .B1(\u_spim_regs.cfg_m1_addr[19] ),
-    .B2(_02662_),
+ sky130_fd_sc_hd__o22a_4 _07692_ (.A1(_02629_),
+    .A2(_02633_),
+    .B1(\u_spim_regs.cfg_m1_addr[1] ),
+    .B2(_02631_),
     .X(_01214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07823_ (.A(_02660_),
-    .B(\u_spim_regs.spim_reg_wdata[18] ),
-    .X(_02663_),
+ sky130_fd_sc_hd__buf_2 _07693_ (.A(_02600_),
+    .X(_02634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07824_ (.A1(_02659_),
-    .A2(_02663_),
-    .B1(\u_spim_regs.cfg_m1_addr[18] ),
-    .B2(_02662_),
+ sky130_fd_sc_hd__a32o_4 _07694_ (.A1(_02634_),
+    .A2(\u_spim_regs.spim_reg_wdata[0] ),
+    .A3(_02631_),
+    .B1(\u_spim_regs.cfg_m1_addr[0] ),
+    .B2(_02629_),
     .X(_01213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07825_ (.A(_02660_),
-    .B(\u_spim_regs.spim_reg_wdata[17] ),
-    .X(_02664_),
+ sky130_fd_sc_hd__o21a_4 _07695_ (.A1(\u_spim_regs.spim_reg_be[2] ),
+    .A2(_02564_),
+    .B1(_02566_),
+    .X(_02635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07826_ (.A1(_02659_),
-    .A2(_02664_),
-    .B1(\u_spim_regs.cfg_m1_addr[17] ),
-    .B2(_02662_),
+ sky130_fd_sc_hd__inv_2 _07696_ (.A(_02635_),
+    .Y(_02636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07697_ (.A(_02636_),
+    .X(_02637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07698_ (.A(_02635_),
+    .X(_02638_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07699_ (.A(_02638_),
+    .X(_02639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07700_ (.A1(_02604_),
+    .A2(_02637_),
+    .B1(\u_spim_regs.cfg_m1_addr_cnt[1] ),
+    .B2(_02639_),
     .X(_01212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07827_ (.A(_02584_),
-    .B(\u_spim_regs.spim_reg_wdata[16] ),
-    .X(_02665_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07828_ (.A1(_02659_),
-    .A2(_02665_),
-    .B1(\u_spim_regs.cfg_m1_addr[16] ),
-    .B2(_02662_),
+ sky130_fd_sc_hd__o22a_4 _07701_ (.A1(_02606_),
+    .A2(_02637_),
+    .B1(\u_spim_regs.cfg_m1_addr_cnt[0] ),
+    .B2(_02639_),
     .X(_01211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07829_ (.A(_02593_),
-    .B(_02650_),
-    .X(_02666_),
+ sky130_fd_sc_hd__buf_2 _07702_ (.A(_02636_),
+    .X(_02640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07830_ (.A(_02666_),
-    .X(_02667_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07831_ (.A(_02666_),
-    .Y(_02668_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07832_ (.A(_02668_),
-    .X(_02669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07833_ (.A1(_02586_),
-    .A2(_02667_),
-    .B1(\u_spim_regs.cfg_m1_addr[7] ),
-    .B2(_02669_),
+ sky130_fd_sc_hd__o22a_4 _07703_ (.A1(_02601_),
+    .A2(_02640_),
+    .B1(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
+    .B2(_02639_),
     .X(_01210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07834_ (.A1(_02603_),
-    .A2(_02667_),
-    .B1(\u_spim_regs.cfg_m1_addr[6] ),
-    .B2(_02669_),
+ sky130_fd_sc_hd__o22a_4 _07704_ (.A1(_02603_),
+    .A2(_02640_),
+    .B1(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
+    .B2(_02639_),
     .X(_01209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07835_ (.A1(_02607_),
-    .A2(_02667_),
-    .B1(\u_spim_regs.cfg_m1_addr[5] ),
-    .B2(_02669_),
+ sky130_fd_sc_hd__and2_4 _07705_ (.A(_02552_),
+    .B(\u_spim_regs.spim_reg_wdata[31] ),
+    .X(_02641_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _07706_ (.A1(\u_spim_regs.spim_reg_be[3] ),
+    .A2(_02564_),
+    .B1(_02566_),
+    .X(_02642_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07707_ (.A(_02642_),
+    .Y(_02643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07708_ (.A(_02643_),
+    .X(_02644_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07709_ (.A(_02642_),
+    .X(_02645_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07710_ (.A(_02645_),
+    .X(_02646_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07711_ (.A1(_02641_),
+    .A2(_02644_),
+    .B1(\u_spim_regs.cfg_m1_data_cnt[7] ),
+    .B2(_02646_),
     .X(_01208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07836_ (.A1(_02609_),
-    .A2(_02667_),
-    .B1(\u_spim_regs.cfg_m1_addr[4] ),
-    .B2(_02669_),
+ sky130_fd_sc_hd__buf_2 _07712_ (.A(_02551_),
+    .X(_02647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07713_ (.A(_02647_),
+    .B(\u_spim_regs.spim_reg_wdata[30] ),
+    .X(_02648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07714_ (.A1(_02644_),
+    .A2(_02648_),
+    .B1(_01602_),
+    .B2(_02646_),
     .X(_01207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07837_ (.A(_02666_),
-    .X(_02670_),
+ sky130_fd_sc_hd__buf_2 _07715_ (.A(_02643_),
+    .X(_02649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07838_ (.A(_02668_),
-    .X(_02671_),
+ sky130_fd_sc_hd__and2_4 _07716_ (.A(_02647_),
+    .B(\u_spim_regs.spim_reg_wdata[29] ),
+    .X(_02650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07839_ (.A1(_02610_),
-    .A2(_02670_),
-    .B1(\u_spim_regs.cfg_m1_addr[3] ),
-    .B2(_02671_),
+ sky130_fd_sc_hd__o22a_4 _07717_ (.A1(_02649_),
+    .A2(_02650_),
+    .B1(\u_spim_regs.cfg_m1_data_cnt[5] ),
+    .B2(_02646_),
     .X(_01206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07840_ (.A1(_02611_),
-    .A2(_02670_),
-    .B1(\u_spim_regs.cfg_m1_addr[2] ),
-    .B2(_02671_),
+ sky130_fd_sc_hd__and2_4 _07718_ (.A(_02647_),
+    .B(\u_spim_regs.spim_reg_wdata[28] ),
+    .X(_02651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07719_ (.A1(_02649_),
+    .A2(_02651_),
+    .B1(_01680_),
+    .B2(_02646_),
     .X(_01205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07841_ (.A1(_02615_),
-    .A2(_02670_),
-    .B1(\u_spim_regs.cfg_m1_addr[1] ),
-    .B2(_02671_),
+ sky130_fd_sc_hd__and2_4 _07720_ (.A(_02647_),
+    .B(\u_spim_regs.spim_reg_wdata[27] ),
+    .X(_02652_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07721_ (.A1(_02649_),
+    .A2(_02652_),
+    .B1(_01730_),
+    .B2(_02645_),
     .X(_01204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07842_ (.A(_02618_),
-    .X(_02672_),
+ sky130_fd_sc_hd__and2_4 _07722_ (.A(_02552_),
+    .B(\u_spim_regs.spim_reg_wdata[26] ),
+    .X(_02653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07843_ (.A1(_02672_),
-    .A2(\u_spim_regs.spim_reg_wdata[0] ),
-    .A3(_02671_),
-    .B1(\u_spim_regs.cfg_m1_addr[0] ),
-    .B2(_02670_),
+ sky130_fd_sc_hd__o22a_4 _07723_ (.A1(_02649_),
+    .A2(_02653_),
+    .B1(_01764_),
+    .B2(_02645_),
     .X(_01203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07844_ (.A(_02548_),
+ sky130_fd_sc_hd__and2_4 _07724_ (.A(_02612_),
+    .B(\u_spim_regs.spim_reg_wdata[25] ),
+    .X(_02654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07725_ (.A(_02550_),
+    .B(_02559_),
+    .X(_02655_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07726_ (.A(_02655_),
+    .Y(_02656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _07727_ (.A(_02654_),
+    .B(_02656_),
+    .Y(_02657_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _07728_ (.A1_N(_02644_),
+    .A2_N(_02657_),
+    .B1(_01794_),
+    .B2(_02644_),
+    .X(_01202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07729_ (.A(_02552_),
+    .B(\u_spim_regs.spim_reg_wdata[24] ),
+    .X(_02658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07730_ (.A1(_02643_),
+    .A2(_02658_),
+    .B1(_01824_),
+    .B2(_02645_),
+    .X(_01201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07731_ (.A(_01627_),
+    .X(_02659_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _07732_ (.A(_02659_),
+    .B(_02559_),
+    .C(_02561_),
+    .X(_02660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07733_ (.A(_02567_),
+    .B(_02615_),
+    .X(_02661_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07734_ (.A(_02661_),
+    .X(_02662_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07735_ (.A(_02662_),
+    .X(_02663_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07736_ (.A(_02661_),
+    .Y(_02664_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07737_ (.A(_02664_),
+    .X(_02665_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_4 _07738_ (.A1(_02660_),
+    .A2(_02620_),
+    .A3(_02663_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[7] ),
+    .B2(_02665_),
+    .X(_01200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07739_ (.A(_02664_),
+    .X(_02666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07740_ (.A1(_02624_),
+    .A2(_02663_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[6] ),
+    .B2(_02666_),
+    .X(_01199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_4 _07741_ (.A1(_02660_),
+    .A2(_02626_),
+    .A3(_02662_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[5] ),
+    .B2(_02665_),
+    .X(_01198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07742_ (.A1(_02628_),
+    .A2(_02663_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[4] ),
+    .B2(_02666_),
+    .X(_01197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_4 _07743_ (.A1(_02660_),
+    .A2(_02630_),
+    .A3(_02662_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[3] ),
+    .B2(_02665_),
+    .X(_01196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07744_ (.A(_01628_),
+    .B(\u_spim_regs.spi_init_state[6] ),
+    .X(_02667_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_4 _07745_ (.A1(_02632_),
+    .A2(_02667_),
+    .A3(_02662_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[2] ),
+    .B2(_02665_),
+    .X(_01195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _07746_ (.A1(_02659_),
+    .A2(_02559_),
+    .B1(_02633_),
+    .C1(_02667_),
+    .X(_02668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _07747_ (.A1_N(_02470_),
+    .A2_N(_02666_),
+    .B1(_02666_),
+    .B2(_02668_),
+    .X(_01194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07748_ (.A(_02659_),
+    .B(\u_spim_regs.spim_reg_wdata[0] ),
+    .X(_02669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _07749_ (.A(_02612_),
+    .B(\u_spim_regs.spi_init_state[5] ),
+    .C(_02561_),
+    .X(_02670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _07750_ (.A1(_02669_),
+    .A2(_02670_),
+    .A3(_02664_),
+    .B1(\u_spim_regs.cfg_m1_cmd_reg[0] ),
+    .B2(_02663_),
+    .X(_01193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07751_ (.A(_02521_),
+    .X(_02671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07752_ (.A(_02671_),
+    .X(_02672_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07753_ (.A(_01627_),
     .X(_02673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07845_ (.A(_02673_),
+ sky130_fd_sc_hd__and2_4 _07754_ (.A(_02531_),
+    .B(_01475_),
     .X(_02674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07846_ (.A(spi_debug[10]),
-    .B(_01475_),
+ sky130_fd_sc_hd__and2_4 _07755_ (.A(_01479_),
+    .B(_02674_),
     .X(_02675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07847_ (.A(spi_debug[11]),
-    .B(_02675_),
+ sky130_fd_sc_hd__buf_2 _07756_ (.A(_02675_),
     .X(_02676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07848_ (.A(_01625_),
+ sky130_fd_sc_hd__and2_4 _07757_ (.A(_02673_),
     .B(_02676_),
     .X(_02677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07849_ (.A(_02677_),
-    .X(_02678_),
+ sky130_fd_sc_hd__nor2_4 _07758_ (.A(\u_spim_regs.cfg_m1_req ),
+    .B(_02563_),
+    .Y(_02678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07850_ (.A(\u_spim_regs.cfg_m1_req ),
-    .B(_02579_),
-    .Y(_02679_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07851_ (.A(\u_spim_regs.spi_init_state[2] ),
+ sky130_fd_sc_hd__or4_4 _07759_ (.A(\u_spim_regs.spi_init_state[2] ),
     .B(\u_spim_regs.spi_init_state[1] ),
     .C(\u_spim_regs.spi_init_state[3] ),
-    .D(_02679_),
+    .D(_02678_),
+    .X(_02679_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _07760_ (.A1(_02672_),
+    .A2(_02677_),
+    .B1(_02679_),
+    .Y(_01192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07761_ (.A(\u_spim_regs.spi_init_state[0] ),
+    .B(\u_spim_regs.spi_init_state[7] ),
     .X(_02680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _07852_ (.A1(_02674_),
-    .A2(_02678_),
-    .B1(_02680_),
-    .Y(_01202_),
+ sky130_fd_sc_hd__buf_2 _07762_ (.A(_02680_),
+    .X(_02681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07853_ (.A(\u_spim_regs.spi_delay_cnt[8] ),
-    .Y(_02681_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07854_ (.A(\u_spim_regs.spi_delay_cnt[7] ),
+ sky130_fd_sc_hd__inv_2 _07763_ (.A(\u_spim_regs.spi_delay_cnt[7] ),
     .Y(_02682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07855_ (.A(\u_spim_regs.spi_delay_cnt[6] ),
+ sky130_fd_sc_hd__inv_2 _07764_ (.A(\u_spim_regs.spi_delay_cnt[6] ),
     .Y(_02683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07856_ (.A(\u_spim_regs.spi_delay_cnt[5] ),
+ sky130_fd_sc_hd__inv_2 _07765_ (.A(\u_spim_regs.spi_delay_cnt[8] ),
     .Y(_02684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07857_ (.A(\u_spim_regs.spi_delay_cnt[4] ),
+ sky130_fd_sc_hd__inv_2 _07766_ (.A(\u_spim_regs.spi_delay_cnt[5] ),
     .Y(_02685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07858_ (.A(\u_spim_regs.spi_delay_cnt[3] ),
+ sky130_fd_sc_hd__inv_2 _07767_ (.A(\u_spim_regs.spi_delay_cnt[4] ),
     .Y(_02686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07859_ (.A(\u_spim_regs.spi_init_state[3] ),
+ sky130_fd_sc_hd__inv_2 _07768_ (.A(\u_spim_regs.spi_delay_cnt[3] ),
     .Y(_02687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07860_ (.A(\u_spim_regs.spi_init_state[0] ),
-    .B(\u_spim_regs.spi_init_state[7] ),
-    .X(_02688_),
+ sky130_fd_sc_hd__inv_2 _07769_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
+    .Y(_02688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07861_ (.A(_02688_),
+ sky130_fd_sc_hd__inv_2 _07770_ (.A(\u_spim_regs.spi_delay_cnt[0] ),
     .Y(_02689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07862_ (.A(\u_spim_regs.spi_delay_cnt[9] ),
+ sky130_fd_sc_hd__inv_2 _07771_ (.A(\u_spim_regs.spi_delay_cnt[2] ),
     .Y(_02690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07863_ (.A(_02681_),
-    .B(_02682_),
+ sky130_fd_sc_hd__or3_4 _07772_ (.A(_02688_),
+    .B(_02689_),
     .C(_02690_),
-    .D(_02683_),
     .X(_02691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07864_ (.A(_02684_),
-    .B(\u_spim_regs.spi_delay_cnt[4] ),
-    .C(_02686_),
-    .D(\u_spim_regs.spi_delay_cnt[2] ),
+ sky130_fd_sc_hd__or3_4 _07773_ (.A(_02686_),
+    .B(_02687_),
+    .C(_02691_),
     .X(_02692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _07865_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
-    .B(\u_spim_regs.spi_delay_cnt[0] ),
-    .C(_02691_),
-    .D(_02692_),
+ sky130_fd_sc_hd__or2_4 _07774_ (.A(_02685_),
+    .B(_02692_),
     .X(_02693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07866_ (.A(_02693_),
-    .Y(_02694_),
+ sky130_fd_sc_hd__or4_4 _07775_ (.A(_02682_),
+    .B(_02683_),
+    .C(_02684_),
+    .D(_02693_),
+    .X(_02694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07867_ (.A(_02687_),
-    .B(_02676_),
+ sky130_fd_sc_hd__inv_2 _07776_ (.A(\u_spim_regs.spi_init_state[3] ),
     .Y(_02695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07868_ (.A1(_02688_),
-    .A2(_02694_),
-    .B1(\u_spim_regs.spi_init_done ),
-    .C1(_02695_),
-    .X(_02696_),
+ sky130_fd_sc_hd__inv_2 _07777_ (.A(_02680_),
+    .Y(_02696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _07869_ (.A1(_02687_),
-    .A2(_02689_),
-    .B1(_02696_),
+ sky130_fd_sc_hd__and2_4 _07778_ (.A(_02695_),
+    .B(_02696_),
     .X(_02697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07870_ (.A(_02697_),
+ sky130_fd_sc_hd__nor2_4 _07779_ (.A(_02695_),
+    .B(_02675_),
     .Y(_02698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07871_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
-    .B(\u_spim_regs.spi_delay_cnt[0] ),
-    .C(\u_spim_regs.spi_delay_cnt[2] ),
-    .X(_02699_),
+ sky130_fd_sc_hd__inv_2 _07780_ (.A(\u_spim_regs.spi_delay_cnt[9] ),
+    .Y(_02699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _07872_ (.A(_02698_),
-    .B(_02699_),
-    .Y(_02700_),
+ sky130_fd_sc_hd__or4_4 _07781_ (.A(_02699_),
+    .B(_02685_),
+    .C(\u_spim_regs.spi_delay_cnt[4] ),
+    .D(_02687_),
+    .X(_02700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07873_ (.A(_02686_),
-    .B(_02700_),
+ sky130_fd_sc_hd__or4_4 _07782_ (.A(\u_spim_regs.spi_delay_cnt[2] ),
+    .B(\u_spim_regs.spi_delay_cnt[1] ),
+    .C(\u_spim_regs.spi_delay_cnt[0] ),
+    .D(_02700_),
     .X(_02701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07874_ (.A(_02685_),
-    .B(_02701_),
+ sky130_fd_sc_hd__or4_4 _07783_ (.A(_02682_),
+    .B(_02683_),
+    .C(_02684_),
+    .D(_02701_),
     .X(_02702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07875_ (.A(_02684_),
-    .B(_02702_),
-    .X(_02703_),
+ sky130_fd_sc_hd__inv_2 _07784_ (.A(_02702_),
+    .Y(_02703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07876_ (.A(_02683_),
+ sky130_fd_sc_hd__and2_4 _07785_ (.A(_02680_),
     .B(_02703_),
     .X(_02704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07877_ (.A(_02682_),
-    .B(_02704_),
+ sky130_fd_sc_hd__or4_4 _07786_ (.A(_02548_),
+    .B(_02697_),
+    .C(_02698_),
+    .D(_02704_),
     .X(_02705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07878_ (.A(_02681_),
-    .B(_02705_),
+ sky130_fd_sc_hd__buf_2 _07787_ (.A(_02705_),
     .X(_02706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07879_ (.A(_02706_),
-    .Y(_02707_),
+ sky130_fd_sc_hd__buf_2 _07788_ (.A(_02706_),
+    .X(_02707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07880_ (.A(_02689_),
+ sky130_fd_sc_hd__a21o_4 _07789_ (.A1(_02681_),
+    .A2(_02694_),
+    .B1(_02707_),
     .X(_02708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07881_ (.A(_02708_),
-    .B(_02698_),
+ sky130_fd_sc_hd__buf_2 _07790_ (.A(_02696_),
     .X(_02709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07882_ (.A(_02690_),
-    .B(_02706_),
+ sky130_fd_sc_hd__buf_2 _07791_ (.A(_02706_),
     .X(_02710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07883_ (.A1(\u_spim_regs.spi_delay_cnt[9] ),
-    .A2(_02707_),
-    .B1(_02709_),
-    .C1(_02710_),
+ sky130_fd_sc_hd__or4_4 _07792_ (.A(\u_spim_regs.spi_delay_cnt[9] ),
+    .B(_02709_),
+    .C(_02694_),
+    .D(_02710_),
     .X(_02711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07884_ (.A(_02711_),
-    .Y(_01201_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07885_ (.A(_02705_),
-    .Y(_02712_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07886_ (.A1(_02681_),
-    .A2(_02682_),
-    .B1(_02688_),
-    .X(_02713_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07887_ (.A(\u_spim_regs.spi_delay_cnt[6] ),
-    .B(\u_spim_regs.spi_delay_cnt[5] ),
-    .X(_02714_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07888_ (.A(\u_spim_regs.spi_delay_cnt[4] ),
-    .B(\u_spim_regs.spi_delay_cnt[3] ),
-    .X(_02715_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07889_ (.A1(_02689_),
-    .A2(_02699_),
-    .B1(_02698_),
-    .X(_02716_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07890_ (.A1(_02708_),
-    .A2(_02715_),
-    .B1(_02716_),
-    .X(_02717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _07891_ (.A1(_02708_),
-    .A2(_02714_),
-    .B1(_02717_),
-    .Y(_02718_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07892_ (.A1(\u_spim_regs.spi_delay_cnt[8] ),
-    .A2(_02712_),
-    .B1(_02713_),
-    .B2(_02718_),
-    .X(_01200_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07893_ (.A1(_02682_),
-    .A2(_02704_),
-    .B1(_02712_),
-    .C1(_02709_),
-    .X(_02719_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07894_ (.A(_02719_),
-    .Y(_01199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07895_ (.A(_02703_),
-    .Y(_02720_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07896_ (.A1(\u_spim_regs.spi_delay_cnt[6] ),
-    .A2(_02720_),
-    .B1(_02718_),
-    .X(_01198_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07897_ (.A1(_02684_),
-    .A2(_02702_),
-    .B1(_02720_),
-    .C1(_02709_),
-    .X(_02721_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07898_ (.A(_02721_),
-    .Y(_01197_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _07899_ (.A1(_02685_),
-    .A2(_02701_),
-    .B1(_02717_),
-    .Y(_01196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07900_ (.A(_02701_),
-    .Y(_02722_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07901_ (.A1(_02686_),
-    .A2(_02700_),
-    .B1(_02722_),
-    .C1(_02709_),
-    .X(_02723_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07902_ (.A(_02723_),
-    .Y(_01195_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07903_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
-    .X(_02724_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07904_ (.A(\u_spim_regs.spi_delay_cnt[0] ),
-    .X(_02725_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07905_ (.A(_02724_),
-    .B(_02725_),
-    .C(_02698_),
-    .X(_02726_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07906_ (.A(_02716_),
-    .Y(_02727_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07907_ (.A1(\u_spim_regs.spi_delay_cnt[2] ),
-    .A2(_02726_),
-    .B1(_02727_),
-    .X(_01194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _07908_ (.A(_02724_),
-    .B(\u_spim_regs.spi_delay_cnt[0] ),
-    .X(_02728_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _07909_ (.A(_02724_),
-    .B(_02725_),
-    .Y(_02729_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _07910_ (.A(_02708_),
-    .B(_02696_),
-    .Y(_02730_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _07911_ (.A1(_02728_),
-    .A2(_02729_),
-    .A3(_02730_),
-    .B1(_02724_),
-    .B2(_02697_),
-    .X(_01193_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07912_ (.A(_02725_),
-    .Y(_02731_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07913_ (.A1(_02731_),
-    .A2(_02697_),
-    .B1(_02725_),
-    .B2(_02730_),
-    .X(_01192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07914_ (.A(_01362_),
-    .B(_01282_),
-    .C(\u_spim_regs.spim_reg_ack ),
-    .X(_02732_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07915_ (.A(_01362_),
-    .B(_01363_),
-    .C(_01426_),
-    .X(_02733_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07916_ (.A(_02545_),
-    .B(_02733_),
-    .X(_02734_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _07917_ (.A1(_02732_),
-    .A2(_02734_),
-    .B1(_02613_),
-    .X(_02735_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07918_ (.A(_02735_),
-    .X(_02736_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07919_ (.A(_02736_),
-    .X(_02737_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07920_ (.A(_02735_),
-    .Y(_02738_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07921_ (.A(_02738_),
-    .X(_02739_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07922_ (.A(_02739_),
-    .X(_02740_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07923_ (.A(_01417_),
-    .X(_02741_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07924_ (.A(_02741_),
-    .X(_02742_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07925_ (.A(_01414_),
-    .X(_02743_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07926_ (.A(_02743_),
-    .X(_02744_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07927_ (.A(_02744_),
-    .X(_02745_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07928_ (.A(\u_m0_res_fifo.rd_ptr[1] ),
-    .X(_02746_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07929_ (.A(_02746_),
-    .X(_02747_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07930_ (.A(_02747_),
-    .X(_02748_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07931_ (.A(_01420_),
-    .X(_02749_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07932_ (.A(_02749_),
-    .X(_02750_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07933_ (.A(_02750_),
-    .X(_02751_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07934_ (.A(_02751_),
-    .B(\u_m0_res_fifo.mem[0][31] ),
-    .X(_02752_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07935_ (.A1(_02745_),
-    .A2(\u_m0_res_fifo.mem[1][31] ),
-    .B1(_02748_),
-    .C1(_02752_),
-    .X(_02753_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07936_ (.A(_02743_),
-    .X(_02754_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07937_ (.A(_02754_),
-    .X(_02755_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07938_ (.A(_01419_),
-    .X(_02756_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07939_ (.A(_02756_),
-    .X(_02757_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07940_ (.A(_02757_),
-    .X(_02758_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07941_ (.A(_02749_),
-    .X(_02759_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07942_ (.A(_02759_),
-    .X(_02760_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07943_ (.A(_02760_),
-    .B(\u_m0_res_fifo.mem[2][31] ),
-    .X(_02761_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07944_ (.A1(_02755_),
-    .A2(\u_m0_res_fifo.mem[3][31] ),
-    .B1(_02758_),
-    .C1(_02761_),
-    .X(_02762_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07945_ (.A(_02742_),
-    .B(_02753_),
-    .C(_02762_),
-    .X(_02763_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07946_ (.A(\u_m0_res_fifo.rd_ptr[2] ),
-    .X(_02764_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07947_ (.A(_02764_),
-    .X(_02765_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07948_ (.A(_01414_),
-    .X(_02766_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07949_ (.A(_02766_),
-    .X(_02767_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07950_ (.A(_02767_),
-    .X(_02768_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07951_ (.A(_02746_),
-    .X(_02769_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07952_ (.A(_02769_),
-    .X(_02770_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07953_ (.A(_01420_),
-    .X(_02771_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07954_ (.A(_02771_),
-    .X(_02772_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07955_ (.A(_02772_),
-    .X(_02773_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07956_ (.A(_02773_),
-    .B(\u_m0_res_fifo.mem[4][31] ),
-    .X(_02774_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07957_ (.A1(_02768_),
-    .A2(\u_m0_res_fifo.mem[5][31] ),
-    .B1(_02770_),
-    .C1(_02774_),
-    .X(_02775_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07958_ (.A(_02743_),
-    .X(_02776_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07959_ (.A(_02776_),
-    .X(_02777_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07960_ (.A(_02757_),
-    .X(_02778_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07961_ (.A(_02772_),
-    .X(_02779_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07962_ (.A(_02779_),
-    .B(\u_m0_res_fifo.mem[6][31] ),
-    .X(_02780_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07963_ (.A1(_02777_),
-    .A2(\u_m0_res_fifo.mem[7][31] ),
-    .B1(_02778_),
-    .C1(_02780_),
-    .X(_02781_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07964_ (.A(_02765_),
-    .B(_02775_),
-    .C(_02781_),
-    .X(_02782_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _07965_ (.A(_02734_),
-    .Y(_02783_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07966_ (.A(_02783_),
-    .X(_02784_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07967_ (.A(_02784_),
-    .X(_02785_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07968_ (.A(_02734_),
-    .X(_02786_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07969_ (.A(_02786_),
-    .X(_02787_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _07970_ (.A1(_02763_),
-    .A2(_02782_),
-    .A3(_02785_),
-    .B1(\u_spim_regs.spim_reg_rdata[31] ),
-    .B2(_02787_),
-    .X(_02788_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07971_ (.A1(wbd_dat_o[31]),
-    .A2(_02737_),
-    .B1(_02740_),
-    .B2(_02788_),
+ sky130_fd_sc_hd__a21bo_4 _07793_ (.A1(\u_spim_regs.spi_delay_cnt[9] ),
+    .A2(_02708_),
+    .B1_N(_02711_),
     .X(_01191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07972_ (.A(_02751_),
+ sky130_fd_sc_hd__nor2_4 _07794_ (.A(_02693_),
+    .B(_02706_),
+    .Y(_02712_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _07795_ (.A(\u_spim_regs.spi_delay_cnt[7] ),
+    .B(\u_spim_regs.spi_delay_cnt[6] ),
+    .C(_02712_),
+    .X(_02713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _07796_ (.A1(\u_spim_regs.spi_delay_cnt[8] ),
+    .A2(_02713_),
+    .B1(_02708_),
+    .X(_01190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _07797_ (.A(_02683_),
+    .B(_02693_),
+    .X(_02714_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _07798_ (.A1(_02681_),
+    .A2(_02714_),
+    .B1(_02707_),
+    .X(_02715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _07799_ (.A(\u_spim_regs.spi_delay_cnt[7] ),
+    .B(_02709_),
+    .C(_02706_),
+    .D(_02714_),
+    .X(_02716_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _07800_ (.A1(\u_spim_regs.spi_delay_cnt[7] ),
+    .A2(_02715_),
+    .B1_N(_02716_),
+    .X(_01189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _07801_ (.A1(\u_spim_regs.spi_delay_cnt[6] ),
+    .A2(_02712_),
+    .B1(_02715_),
+    .X(_01188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _07802_ (.A1(_02681_),
+    .A2(_02692_),
+    .B1(_02707_),
+    .X(_02717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _07803_ (.A(\u_spim_regs.spi_delay_cnt[5] ),
+    .B(_02696_),
+    .C(_02692_),
+    .D(_02710_),
+    .X(_02718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _07804_ (.A1(\u_spim_regs.spi_delay_cnt[5] ),
+    .A2(_02717_),
+    .B1_N(_02718_),
+    .X(_01187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07805_ (.A(_02705_),
+    .Y(_02719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _07806_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
+    .B(\u_spim_regs.spi_delay_cnt[0] ),
+    .C(_02719_),
+    .X(_02720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07807_ (.A(\u_spim_regs.spi_delay_cnt[2] ),
+    .B(_02720_),
+    .X(_02721_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07808_ (.A(\u_spim_regs.spi_delay_cnt[3] ),
+    .B(_02721_),
+    .X(_02722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _07809_ (.A1(\u_spim_regs.spi_delay_cnt[4] ),
+    .A2(_02722_),
+    .B1(_02717_),
+    .X(_01186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _07810_ (.A1(_02680_),
+    .A2(_02691_),
+    .B1(_02710_),
+    .X(_02723_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _07811_ (.A1(_02687_),
+    .A2(_02681_),
+    .A3(_02721_),
+    .B1(\u_spim_regs.spi_delay_cnt[3] ),
+    .B2(_02723_),
+    .X(_01185_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _07812_ (.A1(\u_spim_regs.spi_delay_cnt[2] ),
+    .A2(_02720_),
+    .B1(_02723_),
+    .X(_01184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _07813_ (.A1(\u_spim_regs.spi_delay_cnt[0] ),
+    .A2(_02709_),
+    .B1(_02719_),
+    .X(_02724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _07814_ (.A(\u_spim_regs.spi_delay_cnt[1] ),
+    .B(_02689_),
+    .C(_02709_),
+    .D(_02710_),
+    .X(_02725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _07815_ (.A1(_02688_),
+    .A2(_02724_),
+    .B1(_02725_),
+    .Y(_01183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _07816_ (.A1(_02689_),
+    .A2(_02707_),
+    .B1(_02724_),
+    .Y(_01182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _07817_ (.A(_01364_),
+    .B(_01284_),
+    .C(\u_spim_regs.spim_reg_ack ),
+    .X(_02726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07818_ (.A(_01428_),
+    .X(_02727_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _07819_ (.A(_01364_),
+    .B(_01365_),
+    .C(_02727_),
+    .X(_02728_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07820_ (.A(_02518_),
+    .B(_02728_),
+    .X(_02729_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _07821_ (.A1(_02726_),
+    .A2(_02729_),
+    .B1(_02549_),
+    .X(_02730_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07822_ (.A(_02730_),
+    .X(_02731_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07823_ (.A(_02731_),
+    .X(_02732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07824_ (.A(_02730_),
+    .Y(_02733_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07825_ (.A(_02733_),
+    .X(_02734_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07826_ (.A(_02734_),
+    .X(_02735_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07827_ (.A(_01419_),
+    .X(_02736_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07828_ (.A(_02736_),
+    .X(_02737_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07829_ (.A(_01416_),
+    .X(_02738_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07830_ (.A(_02738_),
+    .X(_02739_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07831_ (.A(_02739_),
+    .X(_02740_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07832_ (.A(\u_m0_res_fifo.rd_ptr[1] ),
+    .X(_02741_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07833_ (.A(_02741_),
+    .X(_02742_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07834_ (.A(_02742_),
+    .X(_02743_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07835_ (.A(_01422_),
+    .X(_02744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07836_ (.A(_02744_),
+    .X(_02745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07837_ (.A(_02745_),
+    .X(_02746_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07838_ (.A(_02746_),
+    .B(\u_m0_res_fifo.mem[0][31] ),
+    .X(_02747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _07839_ (.A1(_02740_),
+    .A2(\u_m0_res_fifo.mem[1][31] ),
+    .B1(_02743_),
+    .C1(_02747_),
+    .X(_02748_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07840_ (.A(_02738_),
+    .X(_02749_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07841_ (.A(_02749_),
+    .X(_02750_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07842_ (.A(_01421_),
+    .X(_02751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07843_ (.A(_02751_),
+    .X(_02752_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07844_ (.A(_02752_),
+    .X(_02753_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07845_ (.A(_02744_),
+    .X(_02754_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07846_ (.A(_02754_),
+    .X(_02755_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07847_ (.A(_02755_),
+    .B(\u_m0_res_fifo.mem[2][31] ),
+    .X(_02756_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _07848_ (.A1(_02750_),
+    .A2(\u_m0_res_fifo.mem[3][31] ),
+    .B1(_02753_),
+    .C1(_02756_),
+    .X(_02757_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _07849_ (.A(_02737_),
+    .B(_02748_),
+    .C(_02757_),
+    .X(_02758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07850_ (.A(\u_m0_res_fifo.rd_ptr[2] ),
+    .X(_02759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07851_ (.A(_02759_),
+    .X(_02760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07852_ (.A(_01416_),
+    .X(_02761_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07853_ (.A(_02761_),
+    .X(_02762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07854_ (.A(_02762_),
+    .X(_02763_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07855_ (.A(_02741_),
+    .X(_02764_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07856_ (.A(_02764_),
+    .X(_02765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07857_ (.A(_01422_),
+    .X(_02766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07858_ (.A(_02766_),
+    .X(_02767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07859_ (.A(_02767_),
+    .X(_02768_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07860_ (.A(_02768_),
+    .B(\u_m0_res_fifo.mem[4][31] ),
+    .X(_02769_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _07861_ (.A1(_02763_),
+    .A2(\u_m0_res_fifo.mem[5][31] ),
+    .B1(_02765_),
+    .C1(_02769_),
+    .X(_02770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07862_ (.A(_02738_),
+    .X(_02771_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07863_ (.A(_02771_),
+    .X(_02772_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07864_ (.A(_02752_),
+    .X(_02773_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07865_ (.A(_02767_),
+    .X(_02774_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07866_ (.A(_02774_),
+    .B(\u_m0_res_fifo.mem[6][31] ),
+    .X(_02775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _07867_ (.A1(_02772_),
+    .A2(\u_m0_res_fifo.mem[7][31] ),
+    .B1(_02773_),
+    .C1(_02775_),
+    .X(_02776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _07868_ (.A(_02760_),
+    .B(_02770_),
+    .C(_02776_),
+    .X(_02777_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _07869_ (.A(_02729_),
+    .Y(_02778_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07870_ (.A(_02778_),
+    .X(_02779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07871_ (.A(_02779_),
+    .X(_02780_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07872_ (.A(_02729_),
+    .X(_02781_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07873_ (.A(_02781_),
+    .X(_02782_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_4 _07874_ (.A1(_02758_),
+    .A2(_02777_),
+    .A3(_02780_),
+    .B1(\u_spim_regs.spim_reg_rdata[31] ),
+    .B2(_02782_),
+    .X(_02783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _07875_ (.A1(wbd_dat_o[31]),
+    .A2(_02732_),
+    .B1(_02735_),
+    .B2(_02783_),
+    .X(_01181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07876_ (.A(_02746_),
     .B(\u_m0_res_fifo.mem[0][30] ),
+    .X(_02784_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _07877_ (.A1(_02740_),
+    .A2(\u_m0_res_fifo.mem[1][30] ),
+    .B1(_02743_),
+    .C1(_02784_),
+    .X(_02785_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07878_ (.A(_02755_),
+    .B(\u_m0_res_fifo.mem[2][30] ),
+    .X(_02786_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _07879_ (.A1(_02750_),
+    .A2(\u_m0_res_fifo.mem[3][30] ),
+    .B1(_02753_),
+    .C1(_02786_),
+    .X(_02787_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _07880_ (.A(_02737_),
+    .B(_02785_),
+    .C(_02787_),
+    .X(_02788_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07881_ (.A(_02768_),
+    .B(\u_m0_res_fifo.mem[4][30] ),
     .X(_02789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07973_ (.A1(_02745_),
-    .A2(\u_m0_res_fifo.mem[1][30] ),
-    .B1(_02748_),
+ sky130_fd_sc_hd__a211o_4 _07882_ (.A1(_02763_),
+    .A2(\u_m0_res_fifo.mem[5][30] ),
+    .B1(_02765_),
     .C1(_02789_),
     .X(_02790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07974_ (.A(_02760_),
-    .B(\u_m0_res_fifo.mem[2][30] ),
+ sky130_fd_sc_hd__and2_4 _07883_ (.A(_02774_),
+    .B(\u_m0_res_fifo.mem[6][30] ),
     .X(_02791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07975_ (.A1(_02755_),
-    .A2(\u_m0_res_fifo.mem[3][30] ),
-    .B1(_02758_),
+ sky130_fd_sc_hd__a211o_4 _07884_ (.A1(_02772_),
+    .A2(\u_m0_res_fifo.mem[7][30] ),
+    .B1(_02773_),
     .C1(_02791_),
     .X(_02792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07976_ (.A(_02742_),
+ sky130_fd_sc_hd__and3_4 _07885_ (.A(_02760_),
     .B(_02790_),
     .C(_02792_),
     .X(_02793_),
@@ -11373,521 +11498,521 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07977_ (.A(_02773_),
-    .B(\u_m0_res_fifo.mem[4][30] ),
+ sky130_fd_sc_hd__o32a_4 _07886_ (.A1(_02788_),
+    .A2(_02793_),
+    .A3(_02780_),
+    .B1(\u_spim_regs.spim_reg_rdata[30] ),
+    .B2(_02782_),
     .X(_02794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07978_ (.A1(_02768_),
-    .A2(\u_m0_res_fifo.mem[5][30] ),
-    .B1(_02770_),
-    .C1(_02794_),
+ sky130_fd_sc_hd__o22a_4 _07887_ (.A1(wbd_dat_o[30]),
+    .A2(_02732_),
+    .B1(_02735_),
+    .B2(_02794_),
+    .X(_01180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07888_ (.A(_02736_),
     .X(_02795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07979_ (.A(_02779_),
-    .B(\u_m0_res_fifo.mem[6][30] ),
+ sky130_fd_sc_hd__buf_2 _07889_ (.A(_02795_),
     .X(_02796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07980_ (.A1(_02777_),
-    .A2(\u_m0_res_fifo.mem[7][30] ),
-    .B1(_02778_),
-    .C1(_02796_),
+ sky130_fd_sc_hd__and2_4 _07890_ (.A(_02746_),
+    .B(\u_m0_res_fifo.mem[0][29] ),
     .X(_02797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07981_ (.A(_02765_),
-    .B(_02795_),
-    .C(_02797_),
+ sky130_fd_sc_hd__a211o_4 _07891_ (.A1(_02740_),
+    .A2(\u_m0_res_fifo.mem[1][29] ),
+    .B1(_02743_),
+    .C1(_02797_),
     .X(_02798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _07982_ (.A1(_02793_),
-    .A2(_02798_),
-    .A3(_02785_),
-    .B1(\u_spim_regs.spim_reg_rdata[30] ),
-    .B2(_02787_),
+ sky130_fd_sc_hd__buf_2 _07892_ (.A(_02752_),
     .X(_02799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _07983_ (.A1(wbd_dat_o[30]),
-    .A2(_02737_),
-    .B1(_02740_),
-    .B2(_02799_),
-    .X(_01190_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07984_ (.A(_02741_),
+ sky130_fd_sc_hd__and2_4 _07893_ (.A(_02755_),
+    .B(\u_m0_res_fifo.mem[2][29] ),
     .X(_02800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07985_ (.A(_02800_),
+ sky130_fd_sc_hd__a211o_4 _07894_ (.A1(_02750_),
+    .A2(\u_m0_res_fifo.mem[3][29] ),
+    .B1(_02799_),
+    .C1(_02800_),
     .X(_02801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07986_ (.A(_02751_),
-    .B(\u_m0_res_fifo.mem[0][29] ),
+ sky130_fd_sc_hd__and3_4 _07895_ (.A(_02796_),
+    .B(_02798_),
+    .C(_02801_),
     .X(_02802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07987_ (.A1(_02745_),
-    .A2(\u_m0_res_fifo.mem[1][29] ),
-    .B1(_02748_),
-    .C1(_02802_),
+ sky130_fd_sc_hd__and2_4 _07896_ (.A(_02768_),
+    .B(\u_m0_res_fifo.mem[4][29] ),
     .X(_02803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07988_ (.A(_02757_),
+ sky130_fd_sc_hd__a211o_4 _07897_ (.A1(_02763_),
+    .A2(\u_m0_res_fifo.mem[5][29] ),
+    .B1(_02765_),
+    .C1(_02803_),
     .X(_02804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07989_ (.A(_02760_),
-    .B(\u_m0_res_fifo.mem[2][29] ),
+ sky130_fd_sc_hd__buf_2 _07898_ (.A(_01421_),
     .X(_02805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07990_ (.A1(_02755_),
-    .A2(\u_m0_res_fifo.mem[3][29] ),
-    .B1(_02804_),
-    .C1(_02805_),
+ sky130_fd_sc_hd__buf_2 _07899_ (.A(_02805_),
     .X(_02806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07991_ (.A(_02801_),
-    .B(_02803_),
-    .C(_02806_),
+ sky130_fd_sc_hd__and2_4 _07900_ (.A(_02774_),
+    .B(\u_m0_res_fifo.mem[6][29] ),
     .X(_02807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07992_ (.A(_02773_),
-    .B(\u_m0_res_fifo.mem[4][29] ),
+ sky130_fd_sc_hd__a211o_4 _07901_ (.A1(_02772_),
+    .A2(\u_m0_res_fifo.mem[7][29] ),
+    .B1(_02806_),
+    .C1(_02807_),
     .X(_02808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07993_ (.A1(_02768_),
-    .A2(\u_m0_res_fifo.mem[5][29] ),
-    .B1(_02770_),
-    .C1(_02808_),
+ sky130_fd_sc_hd__and3_4 _07902_ (.A(_02760_),
+    .B(_02804_),
+    .C(_02808_),
     .X(_02809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07994_ (.A(_01419_),
+ sky130_fd_sc_hd__o32a_4 _07903_ (.A1(_02802_),
+    .A2(_02809_),
+    .A3(_02780_),
+    .B1(\u_spim_regs.spim_reg_rdata[29] ),
+    .B2(_02782_),
     .X(_02810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _07995_ (.A(_02810_),
+ sky130_fd_sc_hd__o22a_4 _07904_ (.A1(wbd_dat_o[29]),
+    .A2(_02732_),
+    .B1(_02735_),
+    .B2(_02810_),
+    .X(_01179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07905_ (.A(_02739_),
     .X(_02811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _07996_ (.A(_02779_),
-    .B(\u_m0_res_fifo.mem[6][29] ),
+ sky130_fd_sc_hd__buf_2 _07906_ (.A(_02745_),
     .X(_02812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _07997_ (.A1(_02777_),
-    .A2(\u_m0_res_fifo.mem[7][29] ),
-    .B1(_02811_),
-    .C1(_02812_),
+ sky130_fd_sc_hd__and2_4 _07907_ (.A(_02812_),
+    .B(\u_m0_res_fifo.mem[0][28] ),
     .X(_02813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _07998_ (.A(_02765_),
-    .B(_02809_),
-    .C(_02813_),
+ sky130_fd_sc_hd__a211o_4 _07908_ (.A1(_02811_),
+    .A2(\u_m0_res_fifo.mem[1][28] ),
+    .B1(_02743_),
+    .C1(_02813_),
     .X(_02814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _07999_ (.A1(_02807_),
-    .A2(_02814_),
-    .A3(_02785_),
-    .B1(\u_spim_regs.spim_reg_rdata[29] ),
-    .B2(_02787_),
+ sky130_fd_sc_hd__buf_2 _07909_ (.A(_02749_),
     .X(_02815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08000_ (.A1(wbd_dat_o[29]),
-    .A2(_02737_),
-    .B1(_02740_),
-    .B2(_02815_),
-    .X(_01189_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08001_ (.A(_02744_),
+ sky130_fd_sc_hd__buf_2 _07910_ (.A(_02754_),
     .X(_02816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08002_ (.A(_02750_),
+ sky130_fd_sc_hd__and2_4 _07911_ (.A(_02816_),
+    .B(\u_m0_res_fifo.mem[2][28] ),
     .X(_02817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08003_ (.A(_02817_),
-    .B(\u_m0_res_fifo.mem[0][28] ),
+ sky130_fd_sc_hd__a211o_4 _07912_ (.A1(_02815_),
+    .A2(\u_m0_res_fifo.mem[3][28] ),
+    .B1(_02799_),
+    .C1(_02817_),
     .X(_02818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08004_ (.A1(_02816_),
-    .A2(\u_m0_res_fifo.mem[1][28] ),
-    .B1(_02748_),
-    .C1(_02818_),
+ sky130_fd_sc_hd__and3_4 _07913_ (.A(_02796_),
+    .B(_02814_),
+    .C(_02818_),
     .X(_02819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08005_ (.A(_02754_),
+ sky130_fd_sc_hd__buf_2 _07914_ (.A(_02762_),
     .X(_02820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08006_ (.A(_02759_),
+ sky130_fd_sc_hd__buf_2 _07915_ (.A(_02767_),
     .X(_02821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08007_ (.A(_02821_),
-    .B(\u_m0_res_fifo.mem[2][28] ),
+ sky130_fd_sc_hd__and2_4 _07916_ (.A(_02821_),
+    .B(\u_m0_res_fifo.mem[4][28] ),
     .X(_02822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08008_ (.A1(_02820_),
-    .A2(\u_m0_res_fifo.mem[3][28] ),
-    .B1(_02804_),
+ sky130_fd_sc_hd__a211o_4 _07917_ (.A1(_02820_),
+    .A2(\u_m0_res_fifo.mem[5][28] ),
+    .B1(_02765_),
     .C1(_02822_),
     .X(_02823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08009_ (.A(_02801_),
-    .B(_02819_),
-    .C(_02823_),
+ sky130_fd_sc_hd__buf_2 _07918_ (.A(_02771_),
     .X(_02824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08010_ (.A(_02767_),
+ sky130_fd_sc_hd__buf_2 _07919_ (.A(_02744_),
     .X(_02825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08011_ (.A(_02772_),
+ sky130_fd_sc_hd__buf_2 _07920_ (.A(_02825_),
     .X(_02826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08012_ (.A(_02826_),
-    .B(\u_m0_res_fifo.mem[4][28] ),
+ sky130_fd_sc_hd__and2_4 _07921_ (.A(_02826_),
+    .B(\u_m0_res_fifo.mem[6][28] ),
     .X(_02827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08013_ (.A1(_02825_),
-    .A2(\u_m0_res_fifo.mem[5][28] ),
-    .B1(_02770_),
+ sky130_fd_sc_hd__a211o_4 _07922_ (.A1(_02824_),
+    .A2(\u_m0_res_fifo.mem[7][28] ),
+    .B1(_02806_),
     .C1(_02827_),
     .X(_02828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08014_ (.A(_02776_),
+ sky130_fd_sc_hd__and3_4 _07923_ (.A(_02760_),
+    .B(_02823_),
+    .C(_02828_),
     .X(_02829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08015_ (.A(_02749_),
+ sky130_fd_sc_hd__o32a_4 _07924_ (.A1(_02819_),
+    .A2(_02829_),
+    .A3(_02780_),
+    .B1(\u_spim_regs.spim_reg_rdata[28] ),
+    .B2(_02782_),
     .X(_02830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08016_ (.A(_02830_),
+ sky130_fd_sc_hd__o22a_4 _07925_ (.A1(wbd_dat_o[28]),
+    .A2(_02732_),
+    .B1(_02735_),
+    .B2(_02830_),
+    .X(_01178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07926_ (.A(_02731_),
     .X(_02831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08017_ (.A(_02831_),
-    .B(\u_m0_res_fifo.mem[6][28] ),
+ sky130_fd_sc_hd__buf_2 _07927_ (.A(_02734_),
     .X(_02832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08018_ (.A1(_02829_),
-    .A2(\u_m0_res_fifo.mem[7][28] ),
-    .B1(_02811_),
-    .C1(_02832_),
+ sky130_fd_sc_hd__buf_2 _07928_ (.A(_02742_),
     .X(_02833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08019_ (.A(_02765_),
-    .B(_02828_),
-    .C(_02833_),
+ sky130_fd_sc_hd__and2_4 _07929_ (.A(_02812_),
+    .B(\u_m0_res_fifo.mem[0][27] ),
     .X(_02834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08020_ (.A1(_02824_),
-    .A2(_02834_),
-    .A3(_02785_),
-    .B1(\u_spim_regs.spim_reg_rdata[28] ),
-    .B2(_02787_),
+ sky130_fd_sc_hd__a211o_4 _07930_ (.A1(_02811_),
+    .A2(\u_m0_res_fifo.mem[1][27] ),
+    .B1(_02833_),
+    .C1(_02834_),
     .X(_02835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08021_ (.A1(wbd_dat_o[28]),
-    .A2(_02737_),
-    .B1(_02740_),
-    .B2(_02835_),
-    .X(_01188_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08022_ (.A(_02736_),
+ sky130_fd_sc_hd__and2_4 _07931_ (.A(_02816_),
+    .B(\u_m0_res_fifo.mem[2][27] ),
     .X(_02836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08023_ (.A(_02739_),
+ sky130_fd_sc_hd__a211o_4 _07932_ (.A1(_02815_),
+    .A2(\u_m0_res_fifo.mem[3][27] ),
+    .B1(_02799_),
+    .C1(_02836_),
     .X(_02837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08024_ (.A(_02747_),
+ sky130_fd_sc_hd__and3_4 _07933_ (.A(_02796_),
+    .B(_02835_),
+    .C(_02837_),
     .X(_02838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08025_ (.A(_02817_),
-    .B(\u_m0_res_fifo.mem[0][27] ),
+ sky130_fd_sc_hd__buf_2 _07934_ (.A(_02759_),
     .X(_02839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08026_ (.A1(_02816_),
-    .A2(\u_m0_res_fifo.mem[1][27] ),
-    .B1(_02838_),
-    .C1(_02839_),
+ sky130_fd_sc_hd__buf_2 _07935_ (.A(_02764_),
     .X(_02840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08027_ (.A(_02821_),
-    .B(\u_m0_res_fifo.mem[2][27] ),
+ sky130_fd_sc_hd__and2_4 _07936_ (.A(_02821_),
+    .B(\u_m0_res_fifo.mem[4][27] ),
     .X(_02841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08028_ (.A1(_02820_),
-    .A2(\u_m0_res_fifo.mem[3][27] ),
-    .B1(_02804_),
+ sky130_fd_sc_hd__a211o_4 _07937_ (.A1(_02820_),
+    .A2(\u_m0_res_fifo.mem[5][27] ),
+    .B1(_02840_),
     .C1(_02841_),
     .X(_02842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08029_ (.A(_02801_),
-    .B(_02840_),
-    .C(_02842_),
+ sky130_fd_sc_hd__and2_4 _07938_ (.A(_02826_),
+    .B(\u_m0_res_fifo.mem[6][27] ),
     .X(_02843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08030_ (.A(_02764_),
+ sky130_fd_sc_hd__a211o_4 _07939_ (.A1(_02824_),
+    .A2(\u_m0_res_fifo.mem[7][27] ),
+    .B1(_02806_),
+    .C1(_02843_),
     .X(_02844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08031_ (.A(_02769_),
+ sky130_fd_sc_hd__and3_4 _07940_ (.A(_02839_),
+    .B(_02842_),
+    .C(_02844_),
     .X(_02845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08032_ (.A(_02826_),
-    .B(\u_m0_res_fifo.mem[4][27] ),
+ sky130_fd_sc_hd__buf_2 _07941_ (.A(_02779_),
     .X(_02846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08033_ (.A1(_02825_),
-    .A2(\u_m0_res_fifo.mem[5][27] ),
-    .B1(_02845_),
-    .C1(_02846_),
+ sky130_fd_sc_hd__buf_2 _07942_ (.A(_02781_),
     .X(_02847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08034_ (.A(_02831_),
-    .B(\u_m0_res_fifo.mem[6][27] ),
+ sky130_fd_sc_hd__o32a_4 _07943_ (.A1(_02838_),
+    .A2(_02845_),
+    .A3(_02846_),
+    .B1(\u_spim_regs.spim_reg_rdata[27] ),
+    .B2(_02847_),
     .X(_02848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08035_ (.A1(_02829_),
-    .A2(\u_m0_res_fifo.mem[7][27] ),
-    .B1(_02811_),
-    .C1(_02848_),
+ sky130_fd_sc_hd__o22a_4 _07944_ (.A1(wbd_dat_o[27]),
+    .A2(_02831_),
+    .B1(_02832_),
+    .B2(_02848_),
+    .X(_01177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _07945_ (.A(_02812_),
+    .B(\u_m0_res_fifo.mem[0][26] ),
     .X(_02849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08036_ (.A(_02844_),
-    .B(_02847_),
-    .C(_02849_),
+ sky130_fd_sc_hd__a211o_4 _07946_ (.A1(_02811_),
+    .A2(\u_m0_res_fifo.mem[1][26] ),
+    .B1(_02833_),
+    .C1(_02849_),
     .X(_02850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08037_ (.A(_02784_),
+ sky130_fd_sc_hd__and2_4 _07947_ (.A(_02816_),
+    .B(\u_m0_res_fifo.mem[2][26] ),
     .X(_02851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08038_ (.A(_02786_),
+ sky130_fd_sc_hd__a211o_4 _07948_ (.A1(_02815_),
+    .A2(\u_m0_res_fifo.mem[3][26] ),
+    .B1(_02799_),
+    .C1(_02851_),
     .X(_02852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08039_ (.A1(_02843_),
-    .A2(_02850_),
-    .A3(_02851_),
-    .B1(\u_spim_regs.spim_reg_rdata[27] ),
-    .B2(_02852_),
+ sky130_fd_sc_hd__and3_4 _07949_ (.A(_02796_),
+    .B(_02850_),
+    .C(_02852_),
     .X(_02853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08040_ (.A1(wbd_dat_o[27]),
-    .A2(_02836_),
-    .B1(_02837_),
-    .B2(_02853_),
-    .X(_01187_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08041_ (.A(_02817_),
-    .B(\u_m0_res_fifo.mem[0][26] ),
+ sky130_fd_sc_hd__and2_4 _07950_ (.A(_02821_),
+    .B(\u_m0_res_fifo.mem[4][26] ),
     .X(_02854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08042_ (.A1(_02816_),
-    .A2(\u_m0_res_fifo.mem[1][26] ),
-    .B1(_02838_),
+ sky130_fd_sc_hd__a211o_4 _07951_ (.A1(_02820_),
+    .A2(\u_m0_res_fifo.mem[5][26] ),
+    .B1(_02840_),
     .C1(_02854_),
     .X(_02855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08043_ (.A(_02821_),
-    .B(\u_m0_res_fifo.mem[2][26] ),
+ sky130_fd_sc_hd__and2_4 _07952_ (.A(_02826_),
+    .B(\u_m0_res_fifo.mem[6][26] ),
     .X(_02856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08044_ (.A1(_02820_),
-    .A2(\u_m0_res_fifo.mem[3][26] ),
-    .B1(_02804_),
+ sky130_fd_sc_hd__a211o_4 _07953_ (.A1(_02824_),
+    .A2(\u_m0_res_fifo.mem[7][26] ),
+    .B1(_02806_),
     .C1(_02856_),
     .X(_02857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08045_ (.A(_02801_),
+ sky130_fd_sc_hd__and3_4 _07954_ (.A(_02839_),
     .B(_02855_),
     .C(_02857_),
     .X(_02858_),
@@ -11895,509 +12020,509 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08046_ (.A(_02826_),
-    .B(\u_m0_res_fifo.mem[4][26] ),
+ sky130_fd_sc_hd__o32a_4 _07955_ (.A1(_02853_),
+    .A2(_02858_),
+    .A3(_02846_),
+    .B1(\u_spim_regs.spim_reg_rdata[26] ),
+    .B2(_02847_),
     .X(_02859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08047_ (.A1(_02825_),
-    .A2(\u_m0_res_fifo.mem[5][26] ),
-    .B1(_02845_),
-    .C1(_02859_),
+ sky130_fd_sc_hd__o22a_4 _07956_ (.A1(wbd_dat_o[26]),
+    .A2(_02831_),
+    .B1(_02832_),
+    .B2(_02859_),
+    .X(_01176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07957_ (.A(_02795_),
     .X(_02860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08048_ (.A(_02831_),
-    .B(\u_m0_res_fifo.mem[6][26] ),
+ sky130_fd_sc_hd__and2_4 _07958_ (.A(_02812_),
+    .B(\u_m0_res_fifo.mem[0][25] ),
     .X(_02861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08049_ (.A1(_02829_),
-    .A2(\u_m0_res_fifo.mem[7][26] ),
-    .B1(_02811_),
+ sky130_fd_sc_hd__a211o_4 _07959_ (.A1(_02811_),
+    .A2(\u_m0_res_fifo.mem[1][25] ),
+    .B1(_02833_),
     .C1(_02861_),
     .X(_02862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08050_ (.A(_02844_),
-    .B(_02860_),
-    .C(_02862_),
+ sky130_fd_sc_hd__buf_2 _07960_ (.A(_01421_),
     .X(_02863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08051_ (.A1(_02858_),
-    .A2(_02863_),
-    .A3(_02851_),
-    .B1(\u_spim_regs.spim_reg_rdata[26] ),
-    .B2(_02852_),
+ sky130_fd_sc_hd__buf_2 _07961_ (.A(_02863_),
     .X(_02864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08052_ (.A1(wbd_dat_o[26]),
-    .A2(_02836_),
-    .B1(_02837_),
-    .B2(_02864_),
-    .X(_01186_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08053_ (.A(_02800_),
+ sky130_fd_sc_hd__and2_4 _07962_ (.A(_02816_),
+    .B(\u_m0_res_fifo.mem[2][25] ),
     .X(_02865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08054_ (.A(_02817_),
-    .B(\u_m0_res_fifo.mem[0][25] ),
+ sky130_fd_sc_hd__a211o_4 _07963_ (.A1(_02815_),
+    .A2(\u_m0_res_fifo.mem[3][25] ),
+    .B1(_02864_),
+    .C1(_02865_),
     .X(_02866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08055_ (.A1(_02816_),
-    .A2(\u_m0_res_fifo.mem[1][25] ),
-    .B1(_02838_),
-    .C1(_02866_),
+ sky130_fd_sc_hd__and3_4 _07964_ (.A(_02860_),
+    .B(_02862_),
+    .C(_02866_),
     .X(_02867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08056_ (.A(_01419_),
+ sky130_fd_sc_hd__and2_4 _07965_ (.A(_02821_),
+    .B(\u_m0_res_fifo.mem[4][25] ),
     .X(_02868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08057_ (.A(_02868_),
+ sky130_fd_sc_hd__a211o_4 _07966_ (.A1(_02820_),
+    .A2(\u_m0_res_fifo.mem[5][25] ),
+    .B1(_02840_),
+    .C1(_02868_),
     .X(_02869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08058_ (.A(_02821_),
-    .B(\u_m0_res_fifo.mem[2][25] ),
+ sky130_fd_sc_hd__buf_2 _07967_ (.A(_02805_),
     .X(_02870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08059_ (.A1(_02820_),
-    .A2(\u_m0_res_fifo.mem[3][25] ),
-    .B1(_02869_),
-    .C1(_02870_),
+ sky130_fd_sc_hd__and2_4 _07968_ (.A(_02826_),
+    .B(\u_m0_res_fifo.mem[6][25] ),
     .X(_02871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08060_ (.A(_02865_),
-    .B(_02867_),
-    .C(_02871_),
+ sky130_fd_sc_hd__a211o_4 _07969_ (.A1(_02824_),
+    .A2(\u_m0_res_fifo.mem[7][25] ),
+    .B1(_02870_),
+    .C1(_02871_),
     .X(_02872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08061_ (.A(_02826_),
-    .B(\u_m0_res_fifo.mem[4][25] ),
+ sky130_fd_sc_hd__and3_4 _07970_ (.A(_02839_),
+    .B(_02869_),
+    .C(_02872_),
     .X(_02873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08062_ (.A1(_02825_),
-    .A2(\u_m0_res_fifo.mem[5][25] ),
-    .B1(_02845_),
-    .C1(_02873_),
+ sky130_fd_sc_hd__o32a_4 _07971_ (.A1(_02867_),
+    .A2(_02873_),
+    .A3(_02846_),
+    .B1(\u_spim_regs.spim_reg_rdata[25] ),
+    .B2(_02847_),
     .X(_02874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08063_ (.A(_02810_),
+ sky130_fd_sc_hd__o22a_4 _07972_ (.A1(wbd_dat_o[25]),
+    .A2(_02831_),
+    .B1(_02832_),
+    .B2(_02874_),
+    .X(_01175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07973_ (.A(_02739_),
     .X(_02875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08064_ (.A(_02831_),
-    .B(\u_m0_res_fifo.mem[6][25] ),
+ sky130_fd_sc_hd__buf_2 _07974_ (.A(_02745_),
     .X(_02876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08065_ (.A1(_02829_),
-    .A2(\u_m0_res_fifo.mem[7][25] ),
-    .B1(_02875_),
-    .C1(_02876_),
+ sky130_fd_sc_hd__and2_4 _07975_ (.A(_02876_),
+    .B(\u_m0_res_fifo.mem[0][24] ),
     .X(_02877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08066_ (.A(_02844_),
-    .B(_02874_),
-    .C(_02877_),
+ sky130_fd_sc_hd__a211o_4 _07976_ (.A1(_02875_),
+    .A2(\u_m0_res_fifo.mem[1][24] ),
+    .B1(_02833_),
+    .C1(_02877_),
     .X(_02878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08067_ (.A1(_02872_),
-    .A2(_02878_),
-    .A3(_02851_),
-    .B1(\u_spim_regs.spim_reg_rdata[25] ),
-    .B2(_02852_),
+ sky130_fd_sc_hd__buf_2 _07977_ (.A(_02749_),
     .X(_02879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08068_ (.A1(wbd_dat_o[25]),
-    .A2(_02836_),
-    .B1(_02837_),
-    .B2(_02879_),
-    .X(_01185_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08069_ (.A(_02744_),
+ sky130_fd_sc_hd__buf_2 _07978_ (.A(_02754_),
     .X(_02880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08070_ (.A(_02750_),
+ sky130_fd_sc_hd__and2_4 _07979_ (.A(_02880_),
+    .B(\u_m0_res_fifo.mem[2][24] ),
     .X(_02881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08071_ (.A(_02881_),
-    .B(\u_m0_res_fifo.mem[0][24] ),
+ sky130_fd_sc_hd__a211o_4 _07980_ (.A1(_02879_),
+    .A2(\u_m0_res_fifo.mem[3][24] ),
+    .B1(_02864_),
+    .C1(_02881_),
     .X(_02882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08072_ (.A1(_02880_),
-    .A2(\u_m0_res_fifo.mem[1][24] ),
-    .B1(_02838_),
-    .C1(_02882_),
+ sky130_fd_sc_hd__and3_4 _07981_ (.A(_02860_),
+    .B(_02878_),
+    .C(_02882_),
     .X(_02883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08073_ (.A(_02754_),
+ sky130_fd_sc_hd__buf_2 _07982_ (.A(_02762_),
     .X(_02884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08074_ (.A(_02759_),
+ sky130_fd_sc_hd__buf_2 _07983_ (.A(_02767_),
     .X(_02885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08075_ (.A(_02885_),
-    .B(\u_m0_res_fifo.mem[2][24] ),
+ sky130_fd_sc_hd__and2_4 _07984_ (.A(_02885_),
+    .B(\u_m0_res_fifo.mem[4][24] ),
     .X(_02886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08076_ (.A1(_02884_),
-    .A2(\u_m0_res_fifo.mem[3][24] ),
-    .B1(_02869_),
+ sky130_fd_sc_hd__a211o_4 _07985_ (.A1(_02884_),
+    .A2(\u_m0_res_fifo.mem[5][24] ),
+    .B1(_02840_),
     .C1(_02886_),
     .X(_02887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08077_ (.A(_02865_),
-    .B(_02883_),
-    .C(_02887_),
+ sky130_fd_sc_hd__buf_2 _07986_ (.A(_02771_),
     .X(_02888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08078_ (.A(_02767_),
+ sky130_fd_sc_hd__buf_2 _07987_ (.A(_02825_),
     .X(_02889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08079_ (.A(_02772_),
+ sky130_fd_sc_hd__and2_4 _07988_ (.A(_02889_),
+    .B(\u_m0_res_fifo.mem[6][24] ),
     .X(_02890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08080_ (.A(_02890_),
-    .B(\u_m0_res_fifo.mem[4][24] ),
+ sky130_fd_sc_hd__a211o_4 _07989_ (.A1(_02888_),
+    .A2(\u_m0_res_fifo.mem[7][24] ),
+    .B1(_02870_),
+    .C1(_02890_),
     .X(_02891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08081_ (.A1(_02889_),
-    .A2(\u_m0_res_fifo.mem[5][24] ),
-    .B1(_02845_),
-    .C1(_02891_),
+ sky130_fd_sc_hd__and3_4 _07990_ (.A(_02839_),
+    .B(_02887_),
+    .C(_02891_),
     .X(_02892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08082_ (.A(_02776_),
+ sky130_fd_sc_hd__o32a_4 _07991_ (.A1(_02883_),
+    .A2(_02892_),
+    .A3(_02846_),
+    .B1(\u_spim_regs.spim_reg_rdata[24] ),
+    .B2(_02847_),
     .X(_02893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08083_ (.A(_02830_),
+ sky130_fd_sc_hd__o22a_4 _07992_ (.A1(wbd_dat_o[24]),
+    .A2(_02831_),
+    .B1(_02832_),
+    .B2(_02893_),
+    .X(_01174_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _07993_ (.A(_02731_),
     .X(_02894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08084_ (.A(_02894_),
-    .B(\u_m0_res_fifo.mem[6][24] ),
+ sky130_fd_sc_hd__buf_2 _07994_ (.A(_02734_),
     .X(_02895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08085_ (.A1(_02893_),
-    .A2(\u_m0_res_fifo.mem[7][24] ),
-    .B1(_02875_),
-    .C1(_02895_),
+ sky130_fd_sc_hd__buf_2 _07995_ (.A(_02742_),
     .X(_02896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08086_ (.A(_02844_),
-    .B(_02892_),
-    .C(_02896_),
+ sky130_fd_sc_hd__and2_4 _07996_ (.A(_02876_),
+    .B(\u_m0_res_fifo.mem[0][23] ),
     .X(_02897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08087_ (.A1(_02888_),
-    .A2(_02897_),
-    .A3(_02851_),
-    .B1(\u_spim_regs.spim_reg_rdata[24] ),
-    .B2(_02852_),
+ sky130_fd_sc_hd__a211o_4 _07997_ (.A1(_02875_),
+    .A2(\u_m0_res_fifo.mem[1][23] ),
+    .B1(_02896_),
+    .C1(_02897_),
     .X(_02898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08088_ (.A1(wbd_dat_o[24]),
-    .A2(_02836_),
-    .B1(_02837_),
-    .B2(_02898_),
-    .X(_01184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08089_ (.A(_02736_),
+ sky130_fd_sc_hd__and2_4 _07998_ (.A(_02880_),
+    .B(\u_m0_res_fifo.mem[2][23] ),
     .X(_02899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08090_ (.A(_02739_),
+ sky130_fd_sc_hd__a211o_4 _07999_ (.A1(_02879_),
+    .A2(\u_m0_res_fifo.mem[3][23] ),
+    .B1(_02864_),
+    .C1(_02899_),
     .X(_02900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08091_ (.A(_02747_),
+ sky130_fd_sc_hd__and3_4 _08000_ (.A(_02860_),
+    .B(_02898_),
+    .C(_02900_),
     .X(_02901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08092_ (.A(_02881_),
-    .B(\u_m0_res_fifo.mem[0][23] ),
+ sky130_fd_sc_hd__buf_2 _08001_ (.A(_02759_),
     .X(_02902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08093_ (.A1(_02880_),
-    .A2(\u_m0_res_fifo.mem[1][23] ),
-    .B1(_02901_),
-    .C1(_02902_),
+ sky130_fd_sc_hd__buf_2 _08002_ (.A(_02764_),
     .X(_02903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08094_ (.A(_02885_),
-    .B(\u_m0_res_fifo.mem[2][23] ),
+ sky130_fd_sc_hd__and2_4 _08003_ (.A(_02885_),
+    .B(\u_m0_res_fifo.mem[4][23] ),
     .X(_02904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08095_ (.A1(_02884_),
-    .A2(\u_m0_res_fifo.mem[3][23] ),
-    .B1(_02869_),
+ sky130_fd_sc_hd__a211o_4 _08004_ (.A1(_02884_),
+    .A2(\u_m0_res_fifo.mem[5][23] ),
+    .B1(_02903_),
     .C1(_02904_),
     .X(_02905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08096_ (.A(_02865_),
-    .B(_02903_),
-    .C(_02905_),
+ sky130_fd_sc_hd__and2_4 _08005_ (.A(_02889_),
+    .B(\u_m0_res_fifo.mem[6][23] ),
     .X(_02906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08097_ (.A(_02764_),
+ sky130_fd_sc_hd__a211o_4 _08006_ (.A1(_02888_),
+    .A2(\u_m0_res_fifo.mem[7][23] ),
+    .B1(_02870_),
+    .C1(_02906_),
     .X(_02907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08098_ (.A(_02769_),
+ sky130_fd_sc_hd__and3_4 _08007_ (.A(_02902_),
+    .B(_02905_),
+    .C(_02907_),
     .X(_02908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08099_ (.A(_02890_),
-    .B(\u_m0_res_fifo.mem[4][23] ),
+ sky130_fd_sc_hd__buf_2 _08008_ (.A(_02779_),
     .X(_02909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08100_ (.A1(_02889_),
-    .A2(\u_m0_res_fifo.mem[5][23] ),
-    .B1(_02908_),
-    .C1(_02909_),
+ sky130_fd_sc_hd__buf_2 _08009_ (.A(_02781_),
     .X(_02910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08101_ (.A(_02894_),
-    .B(\u_m0_res_fifo.mem[6][23] ),
+ sky130_fd_sc_hd__o32a_4 _08010_ (.A1(_02901_),
+    .A2(_02908_),
+    .A3(_02909_),
+    .B1(\u_spim_regs.spim_reg_rdata[23] ),
+    .B2(_02910_),
     .X(_02911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08102_ (.A1(_02893_),
-    .A2(\u_m0_res_fifo.mem[7][23] ),
-    .B1(_02875_),
-    .C1(_02911_),
+ sky130_fd_sc_hd__o22a_4 _08011_ (.A1(wbd_dat_o[23]),
+    .A2(_02894_),
+    .B1(_02895_),
+    .B2(_02911_),
+    .X(_01173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08012_ (.A(_02876_),
+    .B(\u_m0_res_fifo.mem[0][22] ),
     .X(_02912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08103_ (.A(_02907_),
-    .B(_02910_),
-    .C(_02912_),
+ sky130_fd_sc_hd__a211o_4 _08013_ (.A1(_02875_),
+    .A2(\u_m0_res_fifo.mem[1][22] ),
+    .B1(_02896_),
+    .C1(_02912_),
     .X(_02913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08104_ (.A(_02784_),
+ sky130_fd_sc_hd__and2_4 _08014_ (.A(_02880_),
+    .B(\u_m0_res_fifo.mem[2][22] ),
     .X(_02914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08105_ (.A(_02786_),
+ sky130_fd_sc_hd__a211o_4 _08015_ (.A1(_02879_),
+    .A2(\u_m0_res_fifo.mem[3][22] ),
+    .B1(_02864_),
+    .C1(_02914_),
     .X(_02915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08106_ (.A1(_02906_),
-    .A2(_02913_),
-    .A3(_02914_),
-    .B1(\u_spim_regs.spim_reg_rdata[23] ),
-    .B2(_02915_),
+ sky130_fd_sc_hd__and3_4 _08016_ (.A(_02860_),
+    .B(_02913_),
+    .C(_02915_),
     .X(_02916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08107_ (.A1(wbd_dat_o[23]),
-    .A2(_02899_),
-    .B1(_02900_),
-    .B2(_02916_),
-    .X(_01183_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08108_ (.A(_02881_),
-    .B(\u_m0_res_fifo.mem[0][22] ),
+ sky130_fd_sc_hd__and2_4 _08017_ (.A(_02885_),
+    .B(\u_m0_res_fifo.mem[4][22] ),
     .X(_02917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08109_ (.A1(_02880_),
-    .A2(\u_m0_res_fifo.mem[1][22] ),
-    .B1(_02901_),
+ sky130_fd_sc_hd__a211o_4 _08018_ (.A1(_02884_),
+    .A2(\u_m0_res_fifo.mem[5][22] ),
+    .B1(_02903_),
     .C1(_02917_),
     .X(_02918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08110_ (.A(_02885_),
-    .B(\u_m0_res_fifo.mem[2][22] ),
+ sky130_fd_sc_hd__and2_4 _08019_ (.A(_02889_),
+    .B(\u_m0_res_fifo.mem[6][22] ),
     .X(_02919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08111_ (.A1(_02884_),
-    .A2(\u_m0_res_fifo.mem[3][22] ),
-    .B1(_02869_),
+ sky130_fd_sc_hd__a211o_4 _08020_ (.A1(_02888_),
+    .A2(\u_m0_res_fifo.mem[7][22] ),
+    .B1(_02870_),
     .C1(_02919_),
     .X(_02920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08112_ (.A(_02865_),
+ sky130_fd_sc_hd__and3_4 _08021_ (.A(_02902_),
     .B(_02918_),
     .C(_02920_),
     .X(_02921_),
@@ -12405,557 +12530,557 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08113_ (.A(_02890_),
-    .B(\u_m0_res_fifo.mem[4][22] ),
+ sky130_fd_sc_hd__o32a_4 _08022_ (.A1(_02916_),
+    .A2(_02921_),
+    .A3(_02909_),
+    .B1(\u_spim_regs.spim_reg_rdata[22] ),
+    .B2(_02910_),
     .X(_02922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08114_ (.A1(_02889_),
-    .A2(\u_m0_res_fifo.mem[5][22] ),
-    .B1(_02908_),
-    .C1(_02922_),
+ sky130_fd_sc_hd__o22a_4 _08023_ (.A1(wbd_dat_o[22]),
+    .A2(_02894_),
+    .B1(_02895_),
+    .B2(_02922_),
+    .X(_01172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08024_ (.A(_02736_),
     .X(_02923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08115_ (.A(_02894_),
-    .B(\u_m0_res_fifo.mem[6][22] ),
+ sky130_fd_sc_hd__buf_2 _08025_ (.A(_02923_),
     .X(_02924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08116_ (.A1(_02893_),
-    .A2(\u_m0_res_fifo.mem[7][22] ),
-    .B1(_02875_),
-    .C1(_02924_),
+ sky130_fd_sc_hd__and2_4 _08026_ (.A(_02876_),
+    .B(\u_m0_res_fifo.mem[0][21] ),
     .X(_02925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08117_ (.A(_02907_),
-    .B(_02923_),
-    .C(_02925_),
+ sky130_fd_sc_hd__a211o_4 _08027_ (.A1(_02875_),
+    .A2(\u_m0_res_fifo.mem[1][21] ),
+    .B1(_02896_),
+    .C1(_02925_),
     .X(_02926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08118_ (.A1(_02921_),
-    .A2(_02926_),
-    .A3(_02914_),
-    .B1(\u_spim_regs.spim_reg_rdata[22] ),
-    .B2(_02915_),
+ sky130_fd_sc_hd__buf_2 _08028_ (.A(_02863_),
     .X(_02927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08119_ (.A1(wbd_dat_o[22]),
-    .A2(_02899_),
-    .B1(_02900_),
-    .B2(_02927_),
-    .X(_01182_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08120_ (.A(_02741_),
+ sky130_fd_sc_hd__and2_4 _08029_ (.A(_02880_),
+    .B(\u_m0_res_fifo.mem[2][21] ),
     .X(_02928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08121_ (.A(_02928_),
+ sky130_fd_sc_hd__a211o_4 _08030_ (.A1(_02879_),
+    .A2(\u_m0_res_fifo.mem[3][21] ),
+    .B1(_02927_),
+    .C1(_02928_),
     .X(_02929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08122_ (.A(_02881_),
-    .B(\u_m0_res_fifo.mem[0][21] ),
+ sky130_fd_sc_hd__and3_4 _08031_ (.A(_02924_),
+    .B(_02926_),
+    .C(_02929_),
     .X(_02930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08123_ (.A1(_02880_),
-    .A2(\u_m0_res_fifo.mem[1][21] ),
-    .B1(_02901_),
-    .C1(_02930_),
+ sky130_fd_sc_hd__and2_4 _08032_ (.A(_02885_),
+    .B(\u_m0_res_fifo.mem[4][21] ),
     .X(_02931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08124_ (.A(_02868_),
+ sky130_fd_sc_hd__a211o_4 _08033_ (.A1(_02884_),
+    .A2(\u_m0_res_fifo.mem[5][21] ),
+    .B1(_02903_),
+    .C1(_02931_),
     .X(_02932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08125_ (.A(_02885_),
-    .B(\u_m0_res_fifo.mem[2][21] ),
+ sky130_fd_sc_hd__buf_2 _08034_ (.A(_02751_),
     .X(_02933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08126_ (.A1(_02884_),
-    .A2(\u_m0_res_fifo.mem[3][21] ),
-    .B1(_02932_),
-    .C1(_02933_),
+ sky130_fd_sc_hd__buf_2 _08035_ (.A(_02933_),
     .X(_02934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08127_ (.A(_02929_),
-    .B(_02931_),
-    .C(_02934_),
+ sky130_fd_sc_hd__and2_4 _08036_ (.A(_02889_),
+    .B(\u_m0_res_fifo.mem[6][21] ),
     .X(_02935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08128_ (.A(_02890_),
-    .B(\u_m0_res_fifo.mem[4][21] ),
+ sky130_fd_sc_hd__a211o_4 _08037_ (.A1(_02888_),
+    .A2(\u_m0_res_fifo.mem[7][21] ),
+    .B1(_02934_),
+    .C1(_02935_),
     .X(_02936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08129_ (.A1(_02889_),
-    .A2(\u_m0_res_fifo.mem[5][21] ),
-    .B1(_02908_),
-    .C1(_02936_),
+ sky130_fd_sc_hd__and3_4 _08038_ (.A(_02902_),
+    .B(_02932_),
+    .C(_02936_),
     .X(_02937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08130_ (.A(_02756_),
+ sky130_fd_sc_hd__o32a_4 _08039_ (.A1(_02930_),
+    .A2(_02937_),
+    .A3(_02909_),
+    .B1(\u_spim_regs.spim_reg_rdata[21] ),
+    .B2(_02910_),
     .X(_02938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08131_ (.A(_02938_),
+ sky130_fd_sc_hd__o22a_4 _08040_ (.A1(wbd_dat_o[21]),
+    .A2(_02894_),
+    .B1(_02895_),
+    .B2(_02938_),
+    .X(_01171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08041_ (.A(_01416_),
     .X(_02939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08132_ (.A(_02894_),
-    .B(\u_m0_res_fifo.mem[6][21] ),
+ sky130_fd_sc_hd__buf_2 _08042_ (.A(_02939_),
     .X(_02940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08133_ (.A1(_02893_),
-    .A2(\u_m0_res_fifo.mem[7][21] ),
-    .B1(_02939_),
-    .C1(_02940_),
+ sky130_fd_sc_hd__buf_2 _08043_ (.A(_01422_),
     .X(_02941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08134_ (.A(_02907_),
-    .B(_02937_),
-    .C(_02941_),
+ sky130_fd_sc_hd__buf_2 _08044_ (.A(_02941_),
     .X(_02942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08135_ (.A1(_02935_),
-    .A2(_02942_),
-    .A3(_02914_),
-    .B1(\u_spim_regs.spim_reg_rdata[21] ),
-    .B2(_02915_),
+ sky130_fd_sc_hd__and2_4 _08045_ (.A(_02942_),
+    .B(\u_m0_res_fifo.mem[0][20] ),
     .X(_02943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08136_ (.A1(wbd_dat_o[21]),
-    .A2(_02899_),
-    .B1(_02900_),
-    .B2(_02943_),
-    .X(_01181_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08137_ (.A(_01414_),
+ sky130_fd_sc_hd__a211o_4 _08046_ (.A1(_02940_),
+    .A2(\u_m0_res_fifo.mem[1][20] ),
+    .B1(_02896_),
+    .C1(_02943_),
     .X(_02944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08138_ (.A(_02944_),
+ sky130_fd_sc_hd__buf_2 _08047_ (.A(_02738_),
     .X(_02945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08139_ (.A(_01420_),
+ sky130_fd_sc_hd__buf_2 _08048_ (.A(_02945_),
     .X(_02946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08140_ (.A(_02946_),
+ sky130_fd_sc_hd__buf_2 _08049_ (.A(_02744_),
     .X(_02947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08141_ (.A(_02947_),
-    .B(\u_m0_res_fifo.mem[0][20] ),
+ sky130_fd_sc_hd__buf_2 _08050_ (.A(_02947_),
     .X(_02948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08142_ (.A1(_02945_),
-    .A2(\u_m0_res_fifo.mem[1][20] ),
-    .B1(_02901_),
-    .C1(_02948_),
+ sky130_fd_sc_hd__and2_4 _08051_ (.A(_02948_),
+    .B(\u_m0_res_fifo.mem[2][20] ),
     .X(_02949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08143_ (.A(_02743_),
+ sky130_fd_sc_hd__a211o_4 _08052_ (.A1(_02946_),
+    .A2(\u_m0_res_fifo.mem[3][20] ),
+    .B1(_02927_),
+    .C1(_02949_),
     .X(_02950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08144_ (.A(_02950_),
+ sky130_fd_sc_hd__and3_4 _08053_ (.A(_02924_),
+    .B(_02944_),
+    .C(_02950_),
     .X(_02951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08145_ (.A(_02749_),
+ sky130_fd_sc_hd__buf_2 _08054_ (.A(_02761_),
     .X(_02952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08146_ (.A(_02952_),
+ sky130_fd_sc_hd__buf_2 _08055_ (.A(_02952_),
     .X(_02953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08147_ (.A(_02953_),
-    .B(\u_m0_res_fifo.mem[2][20] ),
+ sky130_fd_sc_hd__buf_2 _08056_ (.A(_02766_),
     .X(_02954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08148_ (.A1(_02951_),
-    .A2(\u_m0_res_fifo.mem[3][20] ),
-    .B1(_02932_),
-    .C1(_02954_),
+ sky130_fd_sc_hd__buf_2 _08057_ (.A(_02954_),
     .X(_02955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08149_ (.A(_02929_),
-    .B(_02949_),
-    .C(_02955_),
+ sky130_fd_sc_hd__and2_4 _08058_ (.A(_02955_),
+    .B(\u_m0_res_fifo.mem[4][20] ),
     .X(_02956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08150_ (.A(_02766_),
+ sky130_fd_sc_hd__a211o_4 _08059_ (.A1(_02953_),
+    .A2(\u_m0_res_fifo.mem[5][20] ),
+    .B1(_02903_),
+    .C1(_02956_),
     .X(_02957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08151_ (.A(_02957_),
+ sky130_fd_sc_hd__buf_2 _08060_ (.A(_02761_),
     .X(_02958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08152_ (.A(_02771_),
+ sky130_fd_sc_hd__buf_2 _08061_ (.A(_02958_),
     .X(_02959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08153_ (.A(_02959_),
+ sky130_fd_sc_hd__buf_2 _08062_ (.A(_02825_),
     .X(_02960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08154_ (.A(_02960_),
-    .B(\u_m0_res_fifo.mem[4][20] ),
+ sky130_fd_sc_hd__and2_4 _08063_ (.A(_02960_),
+    .B(\u_m0_res_fifo.mem[6][20] ),
     .X(_02961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08155_ (.A1(_02958_),
-    .A2(\u_m0_res_fifo.mem[5][20] ),
-    .B1(_02908_),
+ sky130_fd_sc_hd__a211o_4 _08064_ (.A1(_02959_),
+    .A2(\u_m0_res_fifo.mem[7][20] ),
+    .B1(_02934_),
     .C1(_02961_),
     .X(_02962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08156_ (.A(_02766_),
+ sky130_fd_sc_hd__and3_4 _08065_ (.A(_02902_),
+    .B(_02957_),
+    .C(_02962_),
     .X(_02963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08157_ (.A(_02963_),
+ sky130_fd_sc_hd__o32a_4 _08066_ (.A1(_02951_),
+    .A2(_02963_),
+    .A3(_02909_),
+    .B1(\u_spim_regs.spim_reg_rdata[20] ),
+    .B2(_02910_),
     .X(_02964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08158_ (.A(_02830_),
+ sky130_fd_sc_hd__o22a_4 _08067_ (.A1(wbd_dat_o[20]),
+    .A2(_02894_),
+    .B1(_02895_),
+    .B2(_02964_),
+    .X(_01170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08068_ (.A(_02731_),
     .X(_02965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08159_ (.A(_02965_),
-    .B(\u_m0_res_fifo.mem[6][20] ),
+ sky130_fd_sc_hd__buf_2 _08069_ (.A(_02734_),
     .X(_02966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08160_ (.A1(_02964_),
-    .A2(\u_m0_res_fifo.mem[7][20] ),
-    .B1(_02939_),
-    .C1(_02966_),
+ sky130_fd_sc_hd__buf_2 _08070_ (.A(_02742_),
     .X(_02967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08161_ (.A(_02907_),
-    .B(_02962_),
-    .C(_02967_),
+ sky130_fd_sc_hd__and2_4 _08071_ (.A(_02942_),
+    .B(\u_m0_res_fifo.mem[0][19] ),
     .X(_02968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08162_ (.A1(_02956_),
-    .A2(_02968_),
-    .A3(_02914_),
-    .B1(\u_spim_regs.spim_reg_rdata[20] ),
-    .B2(_02915_),
+ sky130_fd_sc_hd__a211o_4 _08072_ (.A1(_02940_),
+    .A2(\u_m0_res_fifo.mem[1][19] ),
+    .B1(_02967_),
+    .C1(_02968_),
     .X(_02969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08163_ (.A1(wbd_dat_o[20]),
-    .A2(_02899_),
-    .B1(_02900_),
-    .B2(_02969_),
-    .X(_01180_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08164_ (.A(_02736_),
+ sky130_fd_sc_hd__and2_4 _08073_ (.A(_02948_),
+    .B(\u_m0_res_fifo.mem[2][19] ),
     .X(_02970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08165_ (.A(_02739_),
+ sky130_fd_sc_hd__a211o_4 _08074_ (.A1(_02946_),
+    .A2(\u_m0_res_fifo.mem[3][19] ),
+    .B1(_02927_),
+    .C1(_02970_),
     .X(_02971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08166_ (.A(_02747_),
+ sky130_fd_sc_hd__and3_4 _08075_ (.A(_02924_),
+    .B(_02969_),
+    .C(_02971_),
     .X(_02972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08167_ (.A(_02947_),
-    .B(\u_m0_res_fifo.mem[0][19] ),
+ sky130_fd_sc_hd__buf_2 _08076_ (.A(_02759_),
     .X(_02973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08168_ (.A1(_02945_),
-    .A2(\u_m0_res_fifo.mem[1][19] ),
-    .B1(_02972_),
-    .C1(_02973_),
+ sky130_fd_sc_hd__buf_2 _08077_ (.A(_02764_),
     .X(_02974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08169_ (.A(_02953_),
-    .B(\u_m0_res_fifo.mem[2][19] ),
+ sky130_fd_sc_hd__and2_4 _08078_ (.A(_02955_),
+    .B(\u_m0_res_fifo.mem[4][19] ),
     .X(_02975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08170_ (.A1(_02951_),
-    .A2(\u_m0_res_fifo.mem[3][19] ),
-    .B1(_02932_),
+ sky130_fd_sc_hd__a211o_4 _08079_ (.A1(_02953_),
+    .A2(\u_m0_res_fifo.mem[5][19] ),
+    .B1(_02974_),
     .C1(_02975_),
     .X(_02976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08171_ (.A(_02929_),
-    .B(_02974_),
-    .C(_02976_),
+ sky130_fd_sc_hd__and2_4 _08080_ (.A(_02960_),
+    .B(\u_m0_res_fifo.mem[6][19] ),
     .X(_02977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08172_ (.A(_02764_),
+ sky130_fd_sc_hd__a211o_4 _08081_ (.A1(_02959_),
+    .A2(\u_m0_res_fifo.mem[7][19] ),
+    .B1(_02934_),
+    .C1(_02977_),
     .X(_02978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08173_ (.A(_02769_),
+ sky130_fd_sc_hd__and3_4 _08082_ (.A(_02973_),
+    .B(_02976_),
+    .C(_02978_),
     .X(_02979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08174_ (.A(_02960_),
-    .B(\u_m0_res_fifo.mem[4][19] ),
+ sky130_fd_sc_hd__buf_2 _08083_ (.A(_02779_),
     .X(_02980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08175_ (.A1(_02958_),
-    .A2(\u_m0_res_fifo.mem[5][19] ),
-    .B1(_02979_),
-    .C1(_02980_),
+ sky130_fd_sc_hd__buf_2 _08084_ (.A(_02781_),
     .X(_02981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08176_ (.A(_02965_),
-    .B(\u_m0_res_fifo.mem[6][19] ),
+ sky130_fd_sc_hd__o32a_4 _08085_ (.A1(_02972_),
+    .A2(_02979_),
+    .A3(_02980_),
+    .B1(\u_spim_regs.spim_reg_rdata[19] ),
+    .B2(_02981_),
     .X(_02982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08177_ (.A1(_02964_),
-    .A2(\u_m0_res_fifo.mem[7][19] ),
-    .B1(_02939_),
-    .C1(_02982_),
+ sky130_fd_sc_hd__o22a_4 _08086_ (.A1(wbd_dat_o[19]),
+    .A2(_02965_),
+    .B1(_02966_),
+    .B2(_02982_),
+    .X(_01169_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08087_ (.A(_02942_),
+    .B(\u_m0_res_fifo.mem[0][18] ),
     .X(_02983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08178_ (.A(_02978_),
-    .B(_02981_),
-    .C(_02983_),
+ sky130_fd_sc_hd__a211o_4 _08088_ (.A1(_02940_),
+    .A2(\u_m0_res_fifo.mem[1][18] ),
+    .B1(_02967_),
+    .C1(_02983_),
     .X(_02984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08179_ (.A(_02784_),
+ sky130_fd_sc_hd__and2_4 _08089_ (.A(_02948_),
+    .B(\u_m0_res_fifo.mem[2][18] ),
     .X(_02985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08180_ (.A(_02786_),
+ sky130_fd_sc_hd__a211o_4 _08090_ (.A1(_02946_),
+    .A2(\u_m0_res_fifo.mem[3][18] ),
+    .B1(_02927_),
+    .C1(_02985_),
     .X(_02986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08181_ (.A1(_02977_),
-    .A2(_02984_),
-    .A3(_02985_),
-    .B1(\u_spim_regs.spim_reg_rdata[19] ),
-    .B2(_02986_),
+ sky130_fd_sc_hd__and3_4 _08091_ (.A(_02924_),
+    .B(_02984_),
+    .C(_02986_),
     .X(_02987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08182_ (.A1(wbd_dat_o[19]),
-    .A2(_02970_),
-    .B1(_02971_),
-    .B2(_02987_),
-    .X(_01179_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08183_ (.A(_02947_),
-    .B(\u_m0_res_fifo.mem[0][18] ),
+ sky130_fd_sc_hd__and2_4 _08092_ (.A(_02955_),
+    .B(\u_m0_res_fifo.mem[4][18] ),
     .X(_02988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08184_ (.A1(_02945_),
-    .A2(\u_m0_res_fifo.mem[1][18] ),
-    .B1(_02972_),
+ sky130_fd_sc_hd__a211o_4 _08093_ (.A1(_02953_),
+    .A2(\u_m0_res_fifo.mem[5][18] ),
+    .B1(_02974_),
     .C1(_02988_),
     .X(_02989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08185_ (.A(_02953_),
-    .B(\u_m0_res_fifo.mem[2][18] ),
+ sky130_fd_sc_hd__and2_4 _08094_ (.A(_02960_),
+    .B(\u_m0_res_fifo.mem[6][18] ),
     .X(_02990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08186_ (.A1(_02951_),
-    .A2(\u_m0_res_fifo.mem[3][18] ),
-    .B1(_02932_),
+ sky130_fd_sc_hd__a211o_4 _08095_ (.A1(_02959_),
+    .A2(\u_m0_res_fifo.mem[7][18] ),
+    .B1(_02934_),
     .C1(_02990_),
     .X(_02991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08187_ (.A(_02929_),
+ sky130_fd_sc_hd__and3_4 _08096_ (.A(_02973_),
     .B(_02989_),
     .C(_02991_),
     .X(_02992_),
@@ -12963,551 +13088,551 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08188_ (.A(_02960_),
-    .B(\u_m0_res_fifo.mem[4][18] ),
+ sky130_fd_sc_hd__o32a_4 _08097_ (.A1(_02987_),
+    .A2(_02992_),
+    .A3(_02980_),
+    .B1(\u_spim_regs.spim_reg_rdata[18] ),
+    .B2(_02981_),
     .X(_02993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08189_ (.A1(_02958_),
-    .A2(\u_m0_res_fifo.mem[5][18] ),
-    .B1(_02979_),
-    .C1(_02993_),
+ sky130_fd_sc_hd__o22a_4 _08098_ (.A1(wbd_dat_o[18]),
+    .A2(_02965_),
+    .B1(_02966_),
+    .B2(_02993_),
+    .X(_01168_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08099_ (.A(_02923_),
     .X(_02994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08190_ (.A(_02965_),
-    .B(\u_m0_res_fifo.mem[6][18] ),
+ sky130_fd_sc_hd__and2_4 _08100_ (.A(_02942_),
+    .B(\u_m0_res_fifo.mem[0][17] ),
     .X(_02995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08191_ (.A1(_02964_),
-    .A2(\u_m0_res_fifo.mem[7][18] ),
-    .B1(_02939_),
+ sky130_fd_sc_hd__a211o_4 _08101_ (.A1(_02940_),
+    .A2(\u_m0_res_fifo.mem[1][17] ),
+    .B1(_02967_),
     .C1(_02995_),
     .X(_02996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08192_ (.A(_02978_),
-    .B(_02994_),
-    .C(_02996_),
+ sky130_fd_sc_hd__buf_2 _08102_ (.A(_02863_),
     .X(_02997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08193_ (.A1(_02992_),
-    .A2(_02997_),
-    .A3(_02985_),
-    .B1(\u_spim_regs.spim_reg_rdata[18] ),
-    .B2(_02986_),
+ sky130_fd_sc_hd__and2_4 _08103_ (.A(_02948_),
+    .B(\u_m0_res_fifo.mem[2][17] ),
     .X(_02998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08194_ (.A1(wbd_dat_o[18]),
-    .A2(_02970_),
-    .B1(_02971_),
-    .B2(_02998_),
-    .X(_01178_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08195_ (.A(_02928_),
+ sky130_fd_sc_hd__a211o_4 _08104_ (.A1(_02946_),
+    .A2(\u_m0_res_fifo.mem[3][17] ),
+    .B1(_02997_),
+    .C1(_02998_),
     .X(_02999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08196_ (.A(_02947_),
-    .B(\u_m0_res_fifo.mem[0][17] ),
+ sky130_fd_sc_hd__and3_4 _08105_ (.A(_02994_),
+    .B(_02996_),
+    .C(_02999_),
     .X(_03000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08197_ (.A1(_02945_),
-    .A2(\u_m0_res_fifo.mem[1][17] ),
-    .B1(_02972_),
-    .C1(_03000_),
+ sky130_fd_sc_hd__and2_4 _08106_ (.A(_02955_),
+    .B(\u_m0_res_fifo.mem[4][17] ),
     .X(_03001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08198_ (.A(_02868_),
+ sky130_fd_sc_hd__a211o_4 _08107_ (.A1(_02953_),
+    .A2(\u_m0_res_fifo.mem[5][17] ),
+    .B1(_02974_),
+    .C1(_03001_),
     .X(_03002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08199_ (.A(_02953_),
-    .B(\u_m0_res_fifo.mem[2][17] ),
+ sky130_fd_sc_hd__buf_2 _08108_ (.A(_02933_),
     .X(_03003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08200_ (.A1(_02951_),
-    .A2(\u_m0_res_fifo.mem[3][17] ),
-    .B1(_03002_),
-    .C1(_03003_),
+ sky130_fd_sc_hd__and2_4 _08109_ (.A(_02960_),
+    .B(\u_m0_res_fifo.mem[6][17] ),
     .X(_03004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08201_ (.A(_02999_),
-    .B(_03001_),
-    .C(_03004_),
+ sky130_fd_sc_hd__a211o_4 _08110_ (.A1(_02959_),
+    .A2(\u_m0_res_fifo.mem[7][17] ),
+    .B1(_03003_),
+    .C1(_03004_),
     .X(_03005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08202_ (.A(_02960_),
-    .B(\u_m0_res_fifo.mem[4][17] ),
+ sky130_fd_sc_hd__and3_4 _08111_ (.A(_02973_),
+    .B(_03002_),
+    .C(_03005_),
     .X(_03006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08203_ (.A1(_02958_),
-    .A2(\u_m0_res_fifo.mem[5][17] ),
-    .B1(_02979_),
-    .C1(_03006_),
+ sky130_fd_sc_hd__o32a_4 _08112_ (.A1(_03000_),
+    .A2(_03006_),
+    .A3(_02980_),
+    .B1(\u_spim_regs.spim_reg_rdata[17] ),
+    .B2(_02981_),
     .X(_03007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08204_ (.A(_02938_),
+ sky130_fd_sc_hd__o22a_4 _08113_ (.A1(wbd_dat_o[17]),
+    .A2(_02965_),
+    .B1(_02966_),
+    .B2(_03007_),
+    .X(_01167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08114_ (.A(_02939_),
     .X(_03008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08205_ (.A(_02965_),
-    .B(\u_m0_res_fifo.mem[6][17] ),
+ sky130_fd_sc_hd__buf_2 _08115_ (.A(_02941_),
     .X(_03009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08206_ (.A1(_02964_),
-    .A2(\u_m0_res_fifo.mem[7][17] ),
-    .B1(_03008_),
-    .C1(_03009_),
+ sky130_fd_sc_hd__and2_4 _08116_ (.A(_03009_),
+    .B(\u_m0_res_fifo.mem[0][16] ),
     .X(_03010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08207_ (.A(_02978_),
-    .B(_03007_),
-    .C(_03010_),
+ sky130_fd_sc_hd__a211o_4 _08117_ (.A1(_03008_),
+    .A2(\u_m0_res_fifo.mem[1][16] ),
+    .B1(_02967_),
+    .C1(_03010_),
     .X(_03011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08208_ (.A1(_03005_),
-    .A2(_03011_),
-    .A3(_02985_),
-    .B1(\u_spim_regs.spim_reg_rdata[17] ),
-    .B2(_02986_),
+ sky130_fd_sc_hd__buf_2 _08118_ (.A(_02945_),
     .X(_03012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08209_ (.A1(wbd_dat_o[17]),
-    .A2(_02970_),
-    .B1(_02971_),
-    .B2(_03012_),
-    .X(_01177_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08210_ (.A(_02944_),
+ sky130_fd_sc_hd__buf_2 _08119_ (.A(_02947_),
     .X(_03013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08211_ (.A(_02946_),
+ sky130_fd_sc_hd__and2_4 _08120_ (.A(_03013_),
+    .B(\u_m0_res_fifo.mem[2][16] ),
     .X(_03014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08212_ (.A(_03014_),
-    .B(\u_m0_res_fifo.mem[0][16] ),
+ sky130_fd_sc_hd__a211o_4 _08121_ (.A1(_03012_),
+    .A2(\u_m0_res_fifo.mem[3][16] ),
+    .B1(_02997_),
+    .C1(_03014_),
     .X(_03015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08213_ (.A1(_03013_),
-    .A2(\u_m0_res_fifo.mem[1][16] ),
-    .B1(_02972_),
-    .C1(_03015_),
+ sky130_fd_sc_hd__and3_4 _08122_ (.A(_02994_),
+    .B(_03011_),
+    .C(_03015_),
     .X(_03016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08214_ (.A(_02950_),
+ sky130_fd_sc_hd__buf_2 _08123_ (.A(_02952_),
     .X(_03017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08215_ (.A(_02952_),
+ sky130_fd_sc_hd__buf_2 _08124_ (.A(_02954_),
     .X(_03018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08216_ (.A(_03018_),
-    .B(\u_m0_res_fifo.mem[2][16] ),
+ sky130_fd_sc_hd__and2_4 _08125_ (.A(_03018_),
+    .B(\u_m0_res_fifo.mem[4][16] ),
     .X(_03019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08217_ (.A1(_03017_),
-    .A2(\u_m0_res_fifo.mem[3][16] ),
-    .B1(_03002_),
+ sky130_fd_sc_hd__a211o_4 _08126_ (.A1(_03017_),
+    .A2(\u_m0_res_fifo.mem[5][16] ),
+    .B1(_02974_),
     .C1(_03019_),
     .X(_03020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08218_ (.A(_02999_),
-    .B(_03016_),
-    .C(_03020_),
+ sky130_fd_sc_hd__buf_2 _08127_ (.A(_02958_),
     .X(_03021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08219_ (.A(_02957_),
+ sky130_fd_sc_hd__buf_2 _08128_ (.A(_02766_),
     .X(_03022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08220_ (.A(_02959_),
+ sky130_fd_sc_hd__buf_2 _08129_ (.A(_03022_),
     .X(_03023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08221_ (.A(_03023_),
-    .B(\u_m0_res_fifo.mem[4][16] ),
+ sky130_fd_sc_hd__and2_4 _08130_ (.A(_03023_),
+    .B(\u_m0_res_fifo.mem[6][16] ),
     .X(_03024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08222_ (.A1(_03022_),
-    .A2(\u_m0_res_fifo.mem[5][16] ),
-    .B1(_02979_),
+ sky130_fd_sc_hd__a211o_4 _08131_ (.A1(_03021_),
+    .A2(\u_m0_res_fifo.mem[7][16] ),
+    .B1(_03003_),
     .C1(_03024_),
     .X(_03025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08223_ (.A(_02963_),
+ sky130_fd_sc_hd__and3_4 _08132_ (.A(_02973_),
+    .B(_03020_),
+    .C(_03025_),
     .X(_03026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08224_ (.A(_02771_),
+ sky130_fd_sc_hd__o32a_4 _08133_ (.A1(_03016_),
+    .A2(_03026_),
+    .A3(_02980_),
+    .B1(\u_spim_regs.spim_reg_rdata[16] ),
+    .B2(_02981_),
     .X(_03027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08225_ (.A(_03027_),
+ sky130_fd_sc_hd__o22a_4 _08134_ (.A1(wbd_dat_o[16]),
+    .A2(_02965_),
+    .B1(_02966_),
+    .B2(_03027_),
+    .X(_01166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08135_ (.A(_02730_),
     .X(_03028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08226_ (.A(_03028_),
-    .B(\u_m0_res_fifo.mem[6][16] ),
+ sky130_fd_sc_hd__buf_2 _08136_ (.A(_03028_),
     .X(_03029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08227_ (.A1(_03026_),
-    .A2(\u_m0_res_fifo.mem[7][16] ),
-    .B1(_03008_),
-    .C1(_03029_),
+ sky130_fd_sc_hd__buf_2 _08137_ (.A(_02733_),
     .X(_03030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08228_ (.A(_02978_),
-    .B(_03025_),
-    .C(_03030_),
+ sky130_fd_sc_hd__buf_2 _08138_ (.A(_03030_),
     .X(_03031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08229_ (.A1(_03021_),
-    .A2(_03031_),
-    .A3(_02985_),
-    .B1(\u_spim_regs.spim_reg_rdata[16] ),
-    .B2(_02986_),
+ sky130_fd_sc_hd__buf_2 _08139_ (.A(_02741_),
     .X(_03032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08230_ (.A1(wbd_dat_o[16]),
-    .A2(_02970_),
-    .B1(_02971_),
-    .B2(_03032_),
-    .X(_01176_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08231_ (.A(_02735_),
+ sky130_fd_sc_hd__buf_2 _08140_ (.A(_03032_),
     .X(_03033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08232_ (.A(_03033_),
+ sky130_fd_sc_hd__and2_4 _08141_ (.A(_03009_),
+    .B(\u_m0_res_fifo.mem[0][15] ),
     .X(_03034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08233_ (.A(_02738_),
+ sky130_fd_sc_hd__a211o_4 _08142_ (.A1(_03008_),
+    .A2(\u_m0_res_fifo.mem[1][15] ),
+    .B1(_03033_),
+    .C1(_03034_),
     .X(_03035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08234_ (.A(_03035_),
+ sky130_fd_sc_hd__and2_4 _08143_ (.A(_03013_),
+    .B(\u_m0_res_fifo.mem[2][15] ),
     .X(_03036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08235_ (.A(_02746_),
+ sky130_fd_sc_hd__a211o_4 _08144_ (.A1(_03012_),
+    .A2(\u_m0_res_fifo.mem[3][15] ),
+    .B1(_02997_),
+    .C1(_03036_),
     .X(_03037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08236_ (.A(_03037_),
+ sky130_fd_sc_hd__and3_4 _08145_ (.A(_02994_),
+    .B(_03035_),
+    .C(_03037_),
     .X(_03038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08237_ (.A(_03014_),
-    .B(\u_m0_res_fifo.mem[0][15] ),
+ sky130_fd_sc_hd__buf_2 _08146_ (.A(\u_m0_res_fifo.rd_ptr[2] ),
     .X(_03039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08238_ (.A1(_03013_),
-    .A2(\u_m0_res_fifo.mem[1][15] ),
-    .B1(_03038_),
-    .C1(_03039_),
+ sky130_fd_sc_hd__buf_2 _08147_ (.A(_03039_),
     .X(_03040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08239_ (.A(_03018_),
-    .B(\u_m0_res_fifo.mem[2][15] ),
+ sky130_fd_sc_hd__buf_2 _08148_ (.A(_02741_),
     .X(_03041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08240_ (.A1(_03017_),
-    .A2(\u_m0_res_fifo.mem[3][15] ),
-    .B1(_03002_),
-    .C1(_03041_),
+ sky130_fd_sc_hd__buf_2 _08149_ (.A(_03041_),
     .X(_03042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08241_ (.A(_02999_),
-    .B(_03040_),
-    .C(_03042_),
+ sky130_fd_sc_hd__and2_4 _08150_ (.A(_03018_),
+    .B(\u_m0_res_fifo.mem[4][15] ),
     .X(_03043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08242_ (.A(\u_m0_res_fifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__a211o_4 _08151_ (.A1(_03017_),
+    .A2(\u_m0_res_fifo.mem[5][15] ),
+    .B1(_03042_),
+    .C1(_03043_),
     .X(_03044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08243_ (.A(_03044_),
+ sky130_fd_sc_hd__and2_4 _08152_ (.A(_03023_),
+    .B(\u_m0_res_fifo.mem[6][15] ),
     .X(_03045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08244_ (.A(_02746_),
+ sky130_fd_sc_hd__a211o_4 _08153_ (.A1(_03021_),
+    .A2(\u_m0_res_fifo.mem[7][15] ),
+    .B1(_03003_),
+    .C1(_03045_),
     .X(_03046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08245_ (.A(_03046_),
+ sky130_fd_sc_hd__and3_4 _08154_ (.A(_03040_),
+    .B(_03044_),
+    .C(_03046_),
     .X(_03047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08246_ (.A(_03023_),
-    .B(\u_m0_res_fifo.mem[4][15] ),
+ sky130_fd_sc_hd__buf_2 _08155_ (.A(_02778_),
     .X(_03048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08247_ (.A1(_03022_),
-    .A2(\u_m0_res_fifo.mem[5][15] ),
-    .B1(_03047_),
-    .C1(_03048_),
+ sky130_fd_sc_hd__buf_2 _08156_ (.A(_03048_),
     .X(_03049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08248_ (.A(_03028_),
-    .B(\u_m0_res_fifo.mem[6][15] ),
+ sky130_fd_sc_hd__buf_2 _08157_ (.A(_02729_),
     .X(_03050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08249_ (.A1(_03026_),
-    .A2(\u_m0_res_fifo.mem[7][15] ),
-    .B1(_03008_),
-    .C1(_03050_),
+ sky130_fd_sc_hd__buf_2 _08158_ (.A(_03050_),
     .X(_03051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08250_ (.A(_03045_),
-    .B(_03049_),
-    .C(_03051_),
+ sky130_fd_sc_hd__o32a_4 _08159_ (.A1(_03038_),
+    .A2(_03047_),
+    .A3(_03049_),
+    .B1(\u_spim_regs.spim_reg_rdata[15] ),
+    .B2(_03051_),
     .X(_03052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08251_ (.A(_02783_),
+ sky130_fd_sc_hd__o22a_4 _08160_ (.A1(wbd_dat_o[15]),
+    .A2(_03029_),
+    .B1(_03031_),
+    .B2(_03052_),
+    .X(_01165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08161_ (.A(_03009_),
+    .B(\u_m0_res_fifo.mem[0][14] ),
     .X(_03053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08252_ (.A(_03053_),
+ sky130_fd_sc_hd__a211o_4 _08162_ (.A1(_03008_),
+    .A2(\u_m0_res_fifo.mem[1][14] ),
+    .B1(_03033_),
+    .C1(_03053_),
     .X(_03054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08253_ (.A(_02734_),
+ sky130_fd_sc_hd__and2_4 _08163_ (.A(_03013_),
+    .B(\u_m0_res_fifo.mem[2][14] ),
     .X(_03055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08254_ (.A(_03055_),
+ sky130_fd_sc_hd__a211o_4 _08164_ (.A1(_03012_),
+    .A2(\u_m0_res_fifo.mem[3][14] ),
+    .B1(_02997_),
+    .C1(_03055_),
     .X(_03056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08255_ (.A1(_03043_),
-    .A2(_03052_),
-    .A3(_03054_),
-    .B1(\u_spim_regs.spim_reg_rdata[15] ),
-    .B2(_03056_),
+ sky130_fd_sc_hd__and3_4 _08165_ (.A(_02994_),
+    .B(_03054_),
+    .C(_03056_),
     .X(_03057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08256_ (.A1(wbd_dat_o[15]),
-    .A2(_03034_),
-    .B1(_03036_),
-    .B2(_03057_),
-    .X(_01175_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08257_ (.A(_03014_),
-    .B(\u_m0_res_fifo.mem[0][14] ),
+ sky130_fd_sc_hd__and2_4 _08166_ (.A(_03018_),
+    .B(\u_m0_res_fifo.mem[4][14] ),
     .X(_03058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08258_ (.A1(_03013_),
-    .A2(\u_m0_res_fifo.mem[1][14] ),
-    .B1(_03038_),
+ sky130_fd_sc_hd__a211o_4 _08167_ (.A1(_03017_),
+    .A2(\u_m0_res_fifo.mem[5][14] ),
+    .B1(_03042_),
     .C1(_03058_),
     .X(_03059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08259_ (.A(_03018_),
-    .B(\u_m0_res_fifo.mem[2][14] ),
+ sky130_fd_sc_hd__and2_4 _08168_ (.A(_03023_),
+    .B(\u_m0_res_fifo.mem[6][14] ),
     .X(_03060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08260_ (.A1(_03017_),
-    .A2(\u_m0_res_fifo.mem[3][14] ),
-    .B1(_03002_),
+ sky130_fd_sc_hd__a211o_4 _08169_ (.A1(_03021_),
+    .A2(\u_m0_res_fifo.mem[7][14] ),
+    .B1(_03003_),
     .C1(_03060_),
     .X(_03061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08261_ (.A(_02999_),
+ sky130_fd_sc_hd__and3_4 _08170_ (.A(_03040_),
     .B(_03059_),
     .C(_03061_),
     .X(_03062_),
@@ -13515,503 +13640,503 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08262_ (.A(_03023_),
-    .B(\u_m0_res_fifo.mem[4][14] ),
+ sky130_fd_sc_hd__o32a_4 _08171_ (.A1(_03057_),
+    .A2(_03062_),
+    .A3(_03049_),
+    .B1(\u_spim_regs.spim_reg_rdata[14] ),
+    .B2(_03051_),
     .X(_03063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08263_ (.A1(_03022_),
-    .A2(\u_m0_res_fifo.mem[5][14] ),
-    .B1(_03047_),
-    .C1(_03063_),
+ sky130_fd_sc_hd__o22a_4 _08172_ (.A1(wbd_dat_o[14]),
+    .A2(_03029_),
+    .B1(_03031_),
+    .B2(_03063_),
+    .X(_01164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08173_ (.A(_02923_),
     .X(_03064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08264_ (.A(_03028_),
-    .B(\u_m0_res_fifo.mem[6][14] ),
+ sky130_fd_sc_hd__and2_4 _08174_ (.A(_03009_),
+    .B(\u_m0_res_fifo.mem[0][13] ),
     .X(_03065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08265_ (.A1(_03026_),
-    .A2(\u_m0_res_fifo.mem[7][14] ),
-    .B1(_03008_),
+ sky130_fd_sc_hd__a211o_4 _08175_ (.A1(_03008_),
+    .A2(\u_m0_res_fifo.mem[1][13] ),
+    .B1(_03033_),
     .C1(_03065_),
     .X(_03066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08266_ (.A(_03045_),
-    .B(_03064_),
-    .C(_03066_),
+ sky130_fd_sc_hd__buf_2 _08176_ (.A(_02863_),
     .X(_03067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08267_ (.A1(_03062_),
-    .A2(_03067_),
-    .A3(_03054_),
-    .B1(\u_spim_regs.spim_reg_rdata[14] ),
-    .B2(_03056_),
+ sky130_fd_sc_hd__and2_4 _08177_ (.A(_03013_),
+    .B(\u_m0_res_fifo.mem[2][13] ),
     .X(_03068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08268_ (.A1(wbd_dat_o[14]),
-    .A2(_03034_),
-    .B1(_03036_),
-    .B2(_03068_),
-    .X(_01174_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08269_ (.A(_02928_),
+ sky130_fd_sc_hd__a211o_4 _08178_ (.A1(_03012_),
+    .A2(\u_m0_res_fifo.mem[3][13] ),
+    .B1(_03067_),
+    .C1(_03068_),
     .X(_03069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08270_ (.A(_03014_),
-    .B(\u_m0_res_fifo.mem[0][13] ),
+ sky130_fd_sc_hd__and3_4 _08179_ (.A(_03064_),
+    .B(_03066_),
+    .C(_03069_),
     .X(_03070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08271_ (.A1(_03013_),
-    .A2(\u_m0_res_fifo.mem[1][13] ),
-    .B1(_03038_),
-    .C1(_03070_),
+ sky130_fd_sc_hd__and2_4 _08180_ (.A(_03018_),
+    .B(\u_m0_res_fifo.mem[4][13] ),
     .X(_03071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08272_ (.A(_02868_),
+ sky130_fd_sc_hd__a211o_4 _08181_ (.A1(_03017_),
+    .A2(\u_m0_res_fifo.mem[5][13] ),
+    .B1(_03042_),
+    .C1(_03071_),
     .X(_03072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08273_ (.A(_03018_),
-    .B(\u_m0_res_fifo.mem[2][13] ),
+ sky130_fd_sc_hd__buf_2 _08182_ (.A(_02933_),
     .X(_03073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08274_ (.A1(_03017_),
-    .A2(\u_m0_res_fifo.mem[3][13] ),
-    .B1(_03072_),
-    .C1(_03073_),
+ sky130_fd_sc_hd__and2_4 _08183_ (.A(_03023_),
+    .B(\u_m0_res_fifo.mem[6][13] ),
     .X(_03074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08275_ (.A(_03069_),
-    .B(_03071_),
-    .C(_03074_),
+ sky130_fd_sc_hd__a211o_4 _08184_ (.A1(_03021_),
+    .A2(\u_m0_res_fifo.mem[7][13] ),
+    .B1(_03073_),
+    .C1(_03074_),
     .X(_03075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08276_ (.A(_03023_),
-    .B(\u_m0_res_fifo.mem[4][13] ),
+ sky130_fd_sc_hd__and3_4 _08185_ (.A(_03040_),
+    .B(_03072_),
+    .C(_03075_),
     .X(_03076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08277_ (.A1(_03022_),
-    .A2(\u_m0_res_fifo.mem[5][13] ),
-    .B1(_03047_),
-    .C1(_03076_),
+ sky130_fd_sc_hd__o32a_4 _08186_ (.A1(_03070_),
+    .A2(_03076_),
+    .A3(_03049_),
+    .B1(\u_spim_regs.spim_reg_rdata[13] ),
+    .B2(_03051_),
     .X(_03077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08278_ (.A(_02938_),
+ sky130_fd_sc_hd__o22a_4 _08187_ (.A1(wbd_dat_o[13]),
+    .A2(_03029_),
+    .B1(_03031_),
+    .B2(_03077_),
+    .X(_01163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08188_ (.A(_02939_),
     .X(_03078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08279_ (.A(_03028_),
-    .B(\u_m0_res_fifo.mem[6][13] ),
+ sky130_fd_sc_hd__buf_2 _08189_ (.A(_02941_),
     .X(_03079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08280_ (.A1(_03026_),
-    .A2(\u_m0_res_fifo.mem[7][13] ),
-    .B1(_03078_),
-    .C1(_03079_),
+ sky130_fd_sc_hd__and2_4 _08190_ (.A(_03079_),
+    .B(\u_m0_res_fifo.mem[0][12] ),
     .X(_03080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08281_ (.A(_03045_),
-    .B(_03077_),
-    .C(_03080_),
+ sky130_fd_sc_hd__a211o_4 _08191_ (.A1(_03078_),
+    .A2(\u_m0_res_fifo.mem[1][12] ),
+    .B1(_03033_),
+    .C1(_03080_),
     .X(_03081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08282_ (.A1(_03075_),
-    .A2(_03081_),
-    .A3(_03054_),
-    .B1(\u_spim_regs.spim_reg_rdata[13] ),
-    .B2(_03056_),
+ sky130_fd_sc_hd__buf_2 _08192_ (.A(_02945_),
     .X(_03082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08283_ (.A1(wbd_dat_o[13]),
-    .A2(_03034_),
-    .B1(_03036_),
-    .B2(_03082_),
-    .X(_01173_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08284_ (.A(_02944_),
+ sky130_fd_sc_hd__buf_2 _08193_ (.A(_02947_),
     .X(_03083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08285_ (.A(_02946_),
+ sky130_fd_sc_hd__and2_4 _08194_ (.A(_03083_),
+    .B(\u_m0_res_fifo.mem[2][12] ),
     .X(_03084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08286_ (.A(_03084_),
-    .B(\u_m0_res_fifo.mem[0][12] ),
+ sky130_fd_sc_hd__a211o_4 _08195_ (.A1(_03082_),
+    .A2(\u_m0_res_fifo.mem[3][12] ),
+    .B1(_03067_),
+    .C1(_03084_),
     .X(_03085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08287_ (.A1(_03083_),
-    .A2(\u_m0_res_fifo.mem[1][12] ),
-    .B1(_03038_),
-    .C1(_03085_),
+ sky130_fd_sc_hd__and3_4 _08196_ (.A(_03064_),
+    .B(_03081_),
+    .C(_03085_),
     .X(_03086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08288_ (.A(_02950_),
+ sky130_fd_sc_hd__buf_2 _08197_ (.A(_02952_),
     .X(_03087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08289_ (.A(_02952_),
+ sky130_fd_sc_hd__buf_2 _08198_ (.A(_02954_),
     .X(_03088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08290_ (.A(_03088_),
-    .B(\u_m0_res_fifo.mem[2][12] ),
+ sky130_fd_sc_hd__and2_4 _08199_ (.A(_03088_),
+    .B(\u_m0_res_fifo.mem[4][12] ),
     .X(_03089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08291_ (.A1(_03087_),
-    .A2(\u_m0_res_fifo.mem[3][12] ),
-    .B1(_03072_),
+ sky130_fd_sc_hd__a211o_4 _08200_ (.A1(_03087_),
+    .A2(\u_m0_res_fifo.mem[5][12] ),
+    .B1(_03042_),
     .C1(_03089_),
     .X(_03090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08292_ (.A(_03069_),
-    .B(_03086_),
-    .C(_03090_),
+ sky130_fd_sc_hd__buf_2 _08201_ (.A(_02958_),
     .X(_03091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08293_ (.A(_02957_),
+ sky130_fd_sc_hd__buf_2 _08202_ (.A(_03022_),
     .X(_03092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08294_ (.A(_02959_),
+ sky130_fd_sc_hd__and2_4 _08203_ (.A(_03092_),
+    .B(\u_m0_res_fifo.mem[6][12] ),
     .X(_03093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08295_ (.A(_03093_),
-    .B(\u_m0_res_fifo.mem[4][12] ),
+ sky130_fd_sc_hd__a211o_4 _08204_ (.A1(_03091_),
+    .A2(\u_m0_res_fifo.mem[7][12] ),
+    .B1(_03073_),
+    .C1(_03093_),
     .X(_03094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08296_ (.A1(_03092_),
-    .A2(\u_m0_res_fifo.mem[5][12] ),
-    .B1(_03047_),
-    .C1(_03094_),
+ sky130_fd_sc_hd__and3_4 _08205_ (.A(_03040_),
+    .B(_03090_),
+    .C(_03094_),
     .X(_03095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08297_ (.A(_02963_),
+ sky130_fd_sc_hd__o32a_4 _08206_ (.A1(_03086_),
+    .A2(_03095_),
+    .A3(_03049_),
+    .B1(\u_spim_regs.spim_reg_rdata[12] ),
+    .B2(_03051_),
     .X(_03096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08298_ (.A(_03027_),
+ sky130_fd_sc_hd__o22a_4 _08207_ (.A1(wbd_dat_o[12]),
+    .A2(_03029_),
+    .B1(_03031_),
+    .B2(_03096_),
+    .X(_01162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08208_ (.A(_03028_),
     .X(_03097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08299_ (.A(_03097_),
-    .B(\u_m0_res_fifo.mem[6][12] ),
+ sky130_fd_sc_hd__buf_2 _08209_ (.A(_03030_),
     .X(_03098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08300_ (.A1(_03096_),
-    .A2(\u_m0_res_fifo.mem[7][12] ),
-    .B1(_03078_),
-    .C1(_03098_),
+ sky130_fd_sc_hd__buf_2 _08210_ (.A(_03032_),
     .X(_03099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08301_ (.A(_03045_),
-    .B(_03095_),
-    .C(_03099_),
+ sky130_fd_sc_hd__and2_4 _08211_ (.A(_03079_),
+    .B(\u_m0_res_fifo.mem[0][11] ),
     .X(_03100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08302_ (.A1(_03091_),
-    .A2(_03100_),
-    .A3(_03054_),
-    .B1(\u_spim_regs.spim_reg_rdata[12] ),
-    .B2(_03056_),
+ sky130_fd_sc_hd__a211o_4 _08212_ (.A1(_03078_),
+    .A2(\u_m0_res_fifo.mem[1][11] ),
+    .B1(_03099_),
+    .C1(_03100_),
     .X(_03101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08303_ (.A1(wbd_dat_o[12]),
-    .A2(_03034_),
-    .B1(_03036_),
-    .B2(_03101_),
-    .X(_01172_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08304_ (.A(_03033_),
+ sky130_fd_sc_hd__and2_4 _08213_ (.A(_03083_),
+    .B(\u_m0_res_fifo.mem[2][11] ),
     .X(_03102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08305_ (.A(_03035_),
+ sky130_fd_sc_hd__a211o_4 _08214_ (.A1(_03082_),
+    .A2(\u_m0_res_fifo.mem[3][11] ),
+    .B1(_03067_),
+    .C1(_03102_),
     .X(_03103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08306_ (.A(_03037_),
+ sky130_fd_sc_hd__and3_4 _08215_ (.A(_03064_),
+    .B(_03101_),
+    .C(_03103_),
     .X(_03104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08307_ (.A(_03084_),
-    .B(\u_m0_res_fifo.mem[0][11] ),
+ sky130_fd_sc_hd__buf_2 _08216_ (.A(_03039_),
     .X(_03105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08308_ (.A1(_03083_),
-    .A2(\u_m0_res_fifo.mem[1][11] ),
-    .B1(_03104_),
-    .C1(_03105_),
+ sky130_fd_sc_hd__buf_2 _08217_ (.A(_03041_),
     .X(_03106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08309_ (.A(_03088_),
-    .B(\u_m0_res_fifo.mem[2][11] ),
+ sky130_fd_sc_hd__and2_4 _08218_ (.A(_03088_),
+    .B(\u_m0_res_fifo.mem[4][11] ),
     .X(_03107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08310_ (.A1(_03087_),
-    .A2(\u_m0_res_fifo.mem[3][11] ),
-    .B1(_03072_),
+ sky130_fd_sc_hd__a211o_4 _08219_ (.A1(_03087_),
+    .A2(\u_m0_res_fifo.mem[5][11] ),
+    .B1(_03106_),
     .C1(_03107_),
     .X(_03108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08311_ (.A(_03069_),
-    .B(_03106_),
-    .C(_03108_),
+ sky130_fd_sc_hd__and2_4 _08220_ (.A(_03092_),
+    .B(\u_m0_res_fifo.mem[6][11] ),
     .X(_03109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08312_ (.A(_03044_),
+ sky130_fd_sc_hd__a211o_4 _08221_ (.A1(_03091_),
+    .A2(\u_m0_res_fifo.mem[7][11] ),
+    .B1(_03073_),
+    .C1(_03109_),
     .X(_03110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08313_ (.A(_03046_),
+ sky130_fd_sc_hd__and3_4 _08222_ (.A(_03105_),
+    .B(_03108_),
+    .C(_03110_),
     .X(_03111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08314_ (.A(_03093_),
-    .B(\u_m0_res_fifo.mem[4][11] ),
+ sky130_fd_sc_hd__buf_2 _08223_ (.A(_03048_),
     .X(_03112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08315_ (.A1(_03092_),
-    .A2(\u_m0_res_fifo.mem[5][11] ),
-    .B1(_03111_),
-    .C1(_03112_),
+ sky130_fd_sc_hd__buf_2 _08224_ (.A(_03050_),
     .X(_03113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08316_ (.A(_03097_),
-    .B(\u_m0_res_fifo.mem[6][11] ),
+ sky130_fd_sc_hd__o32a_4 _08225_ (.A1(_03104_),
+    .A2(_03111_),
+    .A3(_03112_),
+    .B1(\u_spim_regs.spim_reg_rdata[11] ),
+    .B2(_03113_),
     .X(_03114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08317_ (.A1(_03096_),
-    .A2(\u_m0_res_fifo.mem[7][11] ),
-    .B1(_03078_),
-    .C1(_03114_),
+ sky130_fd_sc_hd__o22a_4 _08226_ (.A1(wbd_dat_o[11]),
+    .A2(_03097_),
+    .B1(_03098_),
+    .B2(_03114_),
+    .X(_01161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08227_ (.A(_03079_),
+    .B(\u_m0_res_fifo.mem[0][10] ),
     .X(_03115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08318_ (.A(_03110_),
-    .B(_03113_),
-    .C(_03115_),
+ sky130_fd_sc_hd__a211o_4 _08228_ (.A1(_03078_),
+    .A2(\u_m0_res_fifo.mem[1][10] ),
+    .B1(_03099_),
+    .C1(_03115_),
     .X(_03116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08319_ (.A(_03053_),
+ sky130_fd_sc_hd__and2_4 _08229_ (.A(_03083_),
+    .B(\u_m0_res_fifo.mem[2][10] ),
     .X(_03117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08320_ (.A(_03055_),
+ sky130_fd_sc_hd__a211o_4 _08230_ (.A1(_03082_),
+    .A2(\u_m0_res_fifo.mem[3][10] ),
+    .B1(_03067_),
+    .C1(_03117_),
     .X(_03118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08321_ (.A1(_03109_),
-    .A2(_03116_),
-    .A3(_03117_),
-    .B1(\u_spim_regs.spim_reg_rdata[11] ),
-    .B2(_03118_),
+ sky130_fd_sc_hd__and3_4 _08231_ (.A(_03064_),
+    .B(_03116_),
+    .C(_03118_),
     .X(_03119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08322_ (.A1(wbd_dat_o[11]),
-    .A2(_03102_),
-    .B1(_03103_),
-    .B2(_03119_),
-    .X(_01171_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08323_ (.A(_03084_),
-    .B(\u_m0_res_fifo.mem[0][10] ),
+ sky130_fd_sc_hd__and2_4 _08232_ (.A(_03088_),
+    .B(\u_m0_res_fifo.mem[4][10] ),
     .X(_03120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08324_ (.A1(_03083_),
-    .A2(\u_m0_res_fifo.mem[1][10] ),
-    .B1(_03104_),
+ sky130_fd_sc_hd__a211o_4 _08233_ (.A1(_03087_),
+    .A2(\u_m0_res_fifo.mem[5][10] ),
+    .B1(_03106_),
     .C1(_03120_),
     .X(_03121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08325_ (.A(_03088_),
-    .B(\u_m0_res_fifo.mem[2][10] ),
+ sky130_fd_sc_hd__and2_4 _08234_ (.A(_03092_),
+    .B(\u_m0_res_fifo.mem[6][10] ),
     .X(_03122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08326_ (.A1(_03087_),
-    .A2(\u_m0_res_fifo.mem[3][10] ),
-    .B1(_03072_),
+ sky130_fd_sc_hd__a211o_4 _08235_ (.A1(_03091_),
+    .A2(\u_m0_res_fifo.mem[7][10] ),
+    .B1(_03073_),
     .C1(_03122_),
     .X(_03123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08327_ (.A(_03069_),
+ sky130_fd_sc_hd__and3_4 _08236_ (.A(_03105_),
     .B(_03121_),
     .C(_03123_),
     .X(_03124_),
@@ -14019,503 +14144,503 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08328_ (.A(_03093_),
-    .B(\u_m0_res_fifo.mem[4][10] ),
+ sky130_fd_sc_hd__o32a_4 _08237_ (.A1(_03119_),
+    .A2(_03124_),
+    .A3(_03112_),
+    .B1(\u_spim_regs.spim_reg_rdata[10] ),
+    .B2(_03113_),
     .X(_03125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08329_ (.A1(_03092_),
-    .A2(\u_m0_res_fifo.mem[5][10] ),
-    .B1(_03111_),
-    .C1(_03125_),
+ sky130_fd_sc_hd__o22a_4 _08238_ (.A1(wbd_dat_o[10]),
+    .A2(_03097_),
+    .B1(_03098_),
+    .B2(_03125_),
+    .X(_01160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08239_ (.A(_02923_),
     .X(_03126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08330_ (.A(_03097_),
-    .B(\u_m0_res_fifo.mem[6][10] ),
+ sky130_fd_sc_hd__and2_4 _08240_ (.A(_03079_),
+    .B(\u_m0_res_fifo.mem[0][9] ),
     .X(_03127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08331_ (.A1(_03096_),
-    .A2(\u_m0_res_fifo.mem[7][10] ),
-    .B1(_03078_),
+ sky130_fd_sc_hd__a211o_4 _08241_ (.A1(_03078_),
+    .A2(\u_m0_res_fifo.mem[1][9] ),
+    .B1(_03099_),
     .C1(_03127_),
     .X(_03128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08332_ (.A(_03110_),
-    .B(_03126_),
-    .C(_03128_),
+ sky130_fd_sc_hd__buf_2 _08242_ (.A(_02751_),
     .X(_03129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08333_ (.A1(_03124_),
-    .A2(_03129_),
-    .A3(_03117_),
-    .B1(\u_spim_regs.spim_reg_rdata[10] ),
-    .B2(_03118_),
+ sky130_fd_sc_hd__and2_4 _08243_ (.A(_03083_),
+    .B(\u_m0_res_fifo.mem[2][9] ),
     .X(_03130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08334_ (.A1(wbd_dat_o[10]),
-    .A2(_03102_),
-    .B1(_03103_),
-    .B2(_03130_),
-    .X(_01170_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08335_ (.A(_02928_),
+ sky130_fd_sc_hd__a211o_4 _08244_ (.A1(_03082_),
+    .A2(\u_m0_res_fifo.mem[3][9] ),
+    .B1(_03129_),
+    .C1(_03130_),
     .X(_03131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08336_ (.A(_03084_),
-    .B(\u_m0_res_fifo.mem[0][9] ),
+ sky130_fd_sc_hd__and3_4 _08245_ (.A(_03126_),
+    .B(_03128_),
+    .C(_03131_),
     .X(_03132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08337_ (.A1(_03083_),
-    .A2(\u_m0_res_fifo.mem[1][9] ),
-    .B1(_03104_),
-    .C1(_03132_),
+ sky130_fd_sc_hd__and2_4 _08246_ (.A(_03088_),
+    .B(\u_m0_res_fifo.mem[4][9] ),
     .X(_03133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08338_ (.A(_02756_),
+ sky130_fd_sc_hd__a211o_4 _08247_ (.A1(_03087_),
+    .A2(\u_m0_res_fifo.mem[5][9] ),
+    .B1(_03106_),
+    .C1(_03133_),
     .X(_03134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08339_ (.A(_03088_),
-    .B(\u_m0_res_fifo.mem[2][9] ),
+ sky130_fd_sc_hd__buf_2 _08248_ (.A(_02933_),
     .X(_03135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08340_ (.A1(_03087_),
-    .A2(\u_m0_res_fifo.mem[3][9] ),
-    .B1(_03134_),
-    .C1(_03135_),
+ sky130_fd_sc_hd__and2_4 _08249_ (.A(_03092_),
+    .B(\u_m0_res_fifo.mem[6][9] ),
     .X(_03136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08341_ (.A(_03131_),
-    .B(_03133_),
-    .C(_03136_),
+ sky130_fd_sc_hd__a211o_4 _08250_ (.A1(_03091_),
+    .A2(\u_m0_res_fifo.mem[7][9] ),
+    .B1(_03135_),
+    .C1(_03136_),
     .X(_03137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08342_ (.A(_03093_),
-    .B(\u_m0_res_fifo.mem[4][9] ),
+ sky130_fd_sc_hd__and3_4 _08251_ (.A(_03105_),
+    .B(_03134_),
+    .C(_03137_),
     .X(_03138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08343_ (.A1(_03092_),
-    .A2(\u_m0_res_fifo.mem[5][9] ),
-    .B1(_03111_),
-    .C1(_03138_),
+ sky130_fd_sc_hd__o32a_4 _08252_ (.A1(_03132_),
+    .A2(_03138_),
+    .A3(_03112_),
+    .B1(\u_spim_regs.spim_reg_rdata[9] ),
+    .B2(_03113_),
     .X(_03139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08344_ (.A(_02938_),
+ sky130_fd_sc_hd__o22a_4 _08253_ (.A1(wbd_dat_o[9]),
+    .A2(_03097_),
+    .B1(_03098_),
+    .B2(_03139_),
+    .X(_01159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08254_ (.A(_02939_),
     .X(_03140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08345_ (.A(_03097_),
-    .B(\u_m0_res_fifo.mem[6][9] ),
+ sky130_fd_sc_hd__buf_2 _08255_ (.A(_02941_),
     .X(_03141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08346_ (.A1(_03096_),
-    .A2(\u_m0_res_fifo.mem[7][9] ),
-    .B1(_03140_),
-    .C1(_03141_),
+ sky130_fd_sc_hd__and2_4 _08256_ (.A(_03141_),
+    .B(\u_m0_res_fifo.mem[0][8] ),
     .X(_03142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08347_ (.A(_03110_),
-    .B(_03139_),
-    .C(_03142_),
+ sky130_fd_sc_hd__a211o_4 _08257_ (.A1(_03140_),
+    .A2(\u_m0_res_fifo.mem[1][8] ),
+    .B1(_03099_),
+    .C1(_03142_),
     .X(_03143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08348_ (.A1(_03137_),
-    .A2(_03143_),
-    .A3(_03117_),
-    .B1(\u_spim_regs.spim_reg_rdata[9] ),
-    .B2(_03118_),
+ sky130_fd_sc_hd__buf_2 _08258_ (.A(_02945_),
     .X(_03144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08349_ (.A1(wbd_dat_o[9]),
-    .A2(_03102_),
-    .B1(_03103_),
-    .B2(_03144_),
-    .X(_01169_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08350_ (.A(_02944_),
+ sky130_fd_sc_hd__buf_2 _08259_ (.A(_02947_),
     .X(_03145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08351_ (.A(_02946_),
+ sky130_fd_sc_hd__and2_4 _08260_ (.A(_03145_),
+    .B(\u_m0_res_fifo.mem[2][8] ),
     .X(_03146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08352_ (.A(_03146_),
-    .B(\u_m0_res_fifo.mem[0][8] ),
+ sky130_fd_sc_hd__a211o_4 _08261_ (.A1(_03144_),
+    .A2(\u_m0_res_fifo.mem[3][8] ),
+    .B1(_03129_),
+    .C1(_03146_),
     .X(_03147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08353_ (.A1(_03145_),
-    .A2(\u_m0_res_fifo.mem[1][8] ),
-    .B1(_03104_),
-    .C1(_03147_),
+ sky130_fd_sc_hd__and3_4 _08262_ (.A(_03126_),
+    .B(_03143_),
+    .C(_03147_),
     .X(_03148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08354_ (.A(_02950_),
+ sky130_fd_sc_hd__buf_2 _08263_ (.A(_02952_),
     .X(_03149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08355_ (.A(_02952_),
+ sky130_fd_sc_hd__buf_2 _08264_ (.A(_02954_),
     .X(_03150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08356_ (.A(_03150_),
-    .B(\u_m0_res_fifo.mem[2][8] ),
+ sky130_fd_sc_hd__and2_4 _08265_ (.A(_03150_),
+    .B(\u_m0_res_fifo.mem[4][8] ),
     .X(_03151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08357_ (.A1(_03149_),
-    .A2(\u_m0_res_fifo.mem[3][8] ),
-    .B1(_03134_),
+ sky130_fd_sc_hd__a211o_4 _08266_ (.A1(_03149_),
+    .A2(\u_m0_res_fifo.mem[5][8] ),
+    .B1(_03106_),
     .C1(_03151_),
     .X(_03152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08358_ (.A(_03131_),
-    .B(_03148_),
-    .C(_03152_),
+ sky130_fd_sc_hd__buf_2 _08267_ (.A(_02958_),
     .X(_03153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08359_ (.A(_02957_),
+ sky130_fd_sc_hd__buf_2 _08268_ (.A(_03022_),
     .X(_03154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08360_ (.A(_02959_),
+ sky130_fd_sc_hd__and2_4 _08269_ (.A(_03154_),
+    .B(\u_m0_res_fifo.mem[6][8] ),
     .X(_03155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08361_ (.A(_03155_),
-    .B(\u_m0_res_fifo.mem[4][8] ),
+ sky130_fd_sc_hd__a211o_4 _08270_ (.A1(_03153_),
+    .A2(\u_m0_res_fifo.mem[7][8] ),
+    .B1(_03135_),
+    .C1(_03155_),
     .X(_03156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08362_ (.A1(_03154_),
-    .A2(\u_m0_res_fifo.mem[5][8] ),
-    .B1(_03111_),
-    .C1(_03156_),
+ sky130_fd_sc_hd__and3_4 _08271_ (.A(_03105_),
+    .B(_03152_),
+    .C(_03156_),
     .X(_03157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08363_ (.A(_02963_),
+ sky130_fd_sc_hd__o32a_4 _08272_ (.A1(_03148_),
+    .A2(_03157_),
+    .A3(_03112_),
+    .B1(\u_spim_regs.spim_reg_rdata[8] ),
+    .B2(_03113_),
     .X(_03158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08364_ (.A(_03027_),
+ sky130_fd_sc_hd__o22a_4 _08273_ (.A1(wbd_dat_o[8]),
+    .A2(_03097_),
+    .B1(_03098_),
+    .B2(_03158_),
+    .X(_01158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08274_ (.A(_03028_),
     .X(_03159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08365_ (.A(_03159_),
-    .B(\u_m0_res_fifo.mem[6][8] ),
+ sky130_fd_sc_hd__buf_2 _08275_ (.A(_03030_),
     .X(_03160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08366_ (.A1(_03158_),
-    .A2(\u_m0_res_fifo.mem[7][8] ),
-    .B1(_03140_),
-    .C1(_03160_),
+ sky130_fd_sc_hd__buf_2 _08276_ (.A(_03032_),
     .X(_03161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08367_ (.A(_03110_),
-    .B(_03157_),
-    .C(_03161_),
+ sky130_fd_sc_hd__and2_4 _08277_ (.A(_03141_),
+    .B(\u_m0_res_fifo.mem[0][7] ),
     .X(_03162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08368_ (.A1(_03153_),
-    .A2(_03162_),
-    .A3(_03117_),
-    .B1(\u_spim_regs.spim_reg_rdata[8] ),
-    .B2(_03118_),
+ sky130_fd_sc_hd__a211o_4 _08278_ (.A1(_03140_),
+    .A2(\u_m0_res_fifo.mem[1][7] ),
+    .B1(_03161_),
+    .C1(_03162_),
     .X(_03163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08369_ (.A1(wbd_dat_o[8]),
-    .A2(_03102_),
-    .B1(_03103_),
-    .B2(_03163_),
-    .X(_01168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08370_ (.A(_03033_),
+ sky130_fd_sc_hd__and2_4 _08279_ (.A(_03145_),
+    .B(\u_m0_res_fifo.mem[2][7] ),
     .X(_03164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08371_ (.A(_03035_),
+ sky130_fd_sc_hd__a211o_4 _08280_ (.A1(_03144_),
+    .A2(\u_m0_res_fifo.mem[3][7] ),
+    .B1(_03129_),
+    .C1(_03164_),
     .X(_03165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08372_ (.A(_03037_),
+ sky130_fd_sc_hd__and3_4 _08281_ (.A(_03126_),
+    .B(_03163_),
+    .C(_03165_),
     .X(_03166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08373_ (.A(_03146_),
-    .B(\u_m0_res_fifo.mem[0][7] ),
+ sky130_fd_sc_hd__buf_2 _08282_ (.A(_03039_),
     .X(_03167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08374_ (.A1(_03145_),
-    .A2(\u_m0_res_fifo.mem[1][7] ),
-    .B1(_03166_),
-    .C1(_03167_),
+ sky130_fd_sc_hd__buf_2 _08283_ (.A(_03041_),
     .X(_03168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08375_ (.A(_03150_),
-    .B(\u_m0_res_fifo.mem[2][7] ),
+ sky130_fd_sc_hd__and2_4 _08284_ (.A(_03150_),
+    .B(\u_m0_res_fifo.mem[4][7] ),
     .X(_03169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08376_ (.A1(_03149_),
-    .A2(\u_m0_res_fifo.mem[3][7] ),
-    .B1(_03134_),
+ sky130_fd_sc_hd__a211o_4 _08285_ (.A1(_03149_),
+    .A2(\u_m0_res_fifo.mem[5][7] ),
+    .B1(_03168_),
     .C1(_03169_),
     .X(_03170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08377_ (.A(_03131_),
-    .B(_03168_),
-    .C(_03170_),
+ sky130_fd_sc_hd__and2_4 _08286_ (.A(_03154_),
+    .B(\u_m0_res_fifo.mem[6][7] ),
     .X(_03171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08378_ (.A(_03044_),
+ sky130_fd_sc_hd__a211o_4 _08287_ (.A1(_03153_),
+    .A2(\u_m0_res_fifo.mem[7][7] ),
+    .B1(_03135_),
+    .C1(_03171_),
     .X(_03172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08379_ (.A(_03046_),
+ sky130_fd_sc_hd__and3_4 _08288_ (.A(_03167_),
+    .B(_03170_),
+    .C(_03172_),
     .X(_03173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08380_ (.A(_03155_),
-    .B(\u_m0_res_fifo.mem[4][7] ),
+ sky130_fd_sc_hd__buf_2 _08289_ (.A(_03048_),
     .X(_03174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08381_ (.A1(_03154_),
-    .A2(\u_m0_res_fifo.mem[5][7] ),
-    .B1(_03173_),
-    .C1(_03174_),
+ sky130_fd_sc_hd__buf_2 _08290_ (.A(_03050_),
     .X(_03175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08382_ (.A(_03159_),
-    .B(\u_m0_res_fifo.mem[6][7] ),
+ sky130_fd_sc_hd__o32a_4 _08291_ (.A1(_03166_),
+    .A2(_03173_),
+    .A3(_03174_),
+    .B1(\u_spim_regs.spim_reg_rdata[7] ),
+    .B2(_03175_),
     .X(_03176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08383_ (.A1(_03158_),
-    .A2(\u_m0_res_fifo.mem[7][7] ),
-    .B1(_03140_),
-    .C1(_03176_),
+ sky130_fd_sc_hd__o22a_4 _08292_ (.A1(wbd_dat_o[7]),
+    .A2(_03159_),
+    .B1(_03160_),
+    .B2(_03176_),
+    .X(_01157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08293_ (.A(_03141_),
+    .B(\u_m0_res_fifo.mem[0][6] ),
     .X(_03177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08384_ (.A(_03172_),
-    .B(_03175_),
-    .C(_03177_),
+ sky130_fd_sc_hd__a211o_4 _08294_ (.A1(_03140_),
+    .A2(\u_m0_res_fifo.mem[1][6] ),
+    .B1(_03161_),
+    .C1(_03177_),
     .X(_03178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08385_ (.A(_03053_),
+ sky130_fd_sc_hd__and2_4 _08295_ (.A(_03145_),
+    .B(\u_m0_res_fifo.mem[2][6] ),
     .X(_03179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08386_ (.A(_03055_),
+ sky130_fd_sc_hd__a211o_4 _08296_ (.A1(_03144_),
+    .A2(\u_m0_res_fifo.mem[3][6] ),
+    .B1(_03129_),
+    .C1(_03179_),
     .X(_03180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08387_ (.A1(_03171_),
-    .A2(_03178_),
-    .A3(_03179_),
-    .B1(\u_spim_regs.spim_reg_rdata[7] ),
-    .B2(_03180_),
+ sky130_fd_sc_hd__and3_4 _08297_ (.A(_03126_),
+    .B(_03178_),
+    .C(_03180_),
     .X(_03181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08388_ (.A1(wbd_dat_o[7]),
-    .A2(_03164_),
-    .B1(_03165_),
-    .B2(_03181_),
-    .X(_01167_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08389_ (.A(_03146_),
-    .B(\u_m0_res_fifo.mem[0][6] ),
+ sky130_fd_sc_hd__and2_4 _08298_ (.A(_03150_),
+    .B(\u_m0_res_fifo.mem[4][6] ),
     .X(_03182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08390_ (.A1(_03145_),
-    .A2(\u_m0_res_fifo.mem[1][6] ),
-    .B1(_03166_),
+ sky130_fd_sc_hd__a211o_4 _08299_ (.A1(_03149_),
+    .A2(\u_m0_res_fifo.mem[5][6] ),
+    .B1(_03168_),
     .C1(_03182_),
     .X(_03183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08391_ (.A(_03150_),
-    .B(\u_m0_res_fifo.mem[2][6] ),
+ sky130_fd_sc_hd__and2_4 _08300_ (.A(_03154_),
+    .B(\u_m0_res_fifo.mem[6][6] ),
     .X(_03184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08392_ (.A1(_03149_),
-    .A2(\u_m0_res_fifo.mem[3][6] ),
-    .B1(_03134_),
+ sky130_fd_sc_hd__a211o_4 _08301_ (.A1(_03153_),
+    .A2(\u_m0_res_fifo.mem[7][6] ),
+    .B1(_03135_),
     .C1(_03184_),
     .X(_03185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08393_ (.A(_03131_),
+ sky130_fd_sc_hd__and3_4 _08302_ (.A(_03167_),
     .B(_03183_),
     .C(_03185_),
     .X(_03186_),
@@ -14523,503 +14648,503 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08394_ (.A(_03155_),
-    .B(\u_m0_res_fifo.mem[4][6] ),
+ sky130_fd_sc_hd__o32a_4 _08303_ (.A1(_03181_),
+    .A2(_03186_),
+    .A3(_03174_),
+    .B1(\u_spim_regs.spim_reg_rdata[6] ),
+    .B2(_03175_),
     .X(_03187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08395_ (.A1(_03154_),
-    .A2(\u_m0_res_fifo.mem[5][6] ),
-    .B1(_03173_),
-    .C1(_03187_),
+ sky130_fd_sc_hd__o22a_4 _08304_ (.A1(wbd_dat_o[6]),
+    .A2(_03159_),
+    .B1(_03160_),
+    .B2(_03187_),
+    .X(_01156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08305_ (.A(_02736_),
     .X(_03188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08396_ (.A(_03159_),
-    .B(\u_m0_res_fifo.mem[6][6] ),
+ sky130_fd_sc_hd__and2_4 _08306_ (.A(_03141_),
+    .B(\u_m0_res_fifo.mem[0][5] ),
     .X(_03189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08397_ (.A1(_03158_),
-    .A2(\u_m0_res_fifo.mem[7][6] ),
-    .B1(_03140_),
+ sky130_fd_sc_hd__a211o_4 _08307_ (.A1(_03140_),
+    .A2(\u_m0_res_fifo.mem[1][5] ),
+    .B1(_03161_),
     .C1(_03189_),
     .X(_03190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08398_ (.A(_03172_),
-    .B(_03188_),
-    .C(_03190_),
+ sky130_fd_sc_hd__buf_2 _08308_ (.A(_02751_),
     .X(_03191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08399_ (.A1(_03186_),
-    .A2(_03191_),
-    .A3(_03179_),
-    .B1(\u_spim_regs.spim_reg_rdata[6] ),
-    .B2(_03180_),
+ sky130_fd_sc_hd__and2_4 _08309_ (.A(_03145_),
+    .B(\u_m0_res_fifo.mem[2][5] ),
     .X(_03192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08400_ (.A1(wbd_dat_o[6]),
-    .A2(_03164_),
-    .B1(_03165_),
-    .B2(_03192_),
-    .X(_01166_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08401_ (.A(_02741_),
+ sky130_fd_sc_hd__a211o_4 _08310_ (.A1(_03144_),
+    .A2(\u_m0_res_fifo.mem[3][5] ),
+    .B1(_03191_),
+    .C1(_03192_),
     .X(_03193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08402_ (.A(_03146_),
-    .B(\u_m0_res_fifo.mem[0][5] ),
+ sky130_fd_sc_hd__and3_4 _08311_ (.A(_03188_),
+    .B(_03190_),
+    .C(_03193_),
     .X(_03194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08403_ (.A1(_03145_),
-    .A2(\u_m0_res_fifo.mem[1][5] ),
-    .B1(_03166_),
-    .C1(_03194_),
+ sky130_fd_sc_hd__and2_4 _08312_ (.A(_03150_),
+    .B(\u_m0_res_fifo.mem[4][5] ),
     .X(_03195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08404_ (.A(_02756_),
+ sky130_fd_sc_hd__a211o_4 _08313_ (.A1(_03149_),
+    .A2(\u_m0_res_fifo.mem[5][5] ),
+    .B1(_03168_),
+    .C1(_03195_),
     .X(_03196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08405_ (.A(_03150_),
-    .B(\u_m0_res_fifo.mem[2][5] ),
+ sky130_fd_sc_hd__buf_2 _08314_ (.A(_02752_),
     .X(_03197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08406_ (.A1(_03149_),
-    .A2(\u_m0_res_fifo.mem[3][5] ),
-    .B1(_03196_),
-    .C1(_03197_),
+ sky130_fd_sc_hd__and2_4 _08315_ (.A(_03154_),
+    .B(\u_m0_res_fifo.mem[6][5] ),
     .X(_03198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08407_ (.A(_03193_),
-    .B(_03195_),
-    .C(_03198_),
+ sky130_fd_sc_hd__a211o_4 _08316_ (.A1(_03153_),
+    .A2(\u_m0_res_fifo.mem[7][5] ),
+    .B1(_03197_),
+    .C1(_03198_),
     .X(_03199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08408_ (.A(_03155_),
-    .B(\u_m0_res_fifo.mem[4][5] ),
+ sky130_fd_sc_hd__and3_4 _08317_ (.A(_03167_),
+    .B(_03196_),
+    .C(_03199_),
     .X(_03200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08409_ (.A1(_03154_),
-    .A2(\u_m0_res_fifo.mem[5][5] ),
-    .B1(_03173_),
-    .C1(_03200_),
+ sky130_fd_sc_hd__o32a_4 _08318_ (.A1(_03194_),
+    .A2(_03200_),
+    .A3(_03174_),
+    .B1(\u_spim_regs.spim_reg_rdata[5] ),
+    .B2(_03175_),
     .X(_03201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08410_ (.A(_02757_),
+ sky130_fd_sc_hd__o22a_4 _08319_ (.A1(wbd_dat_o[5]),
+    .A2(_03159_),
+    .B1(_03160_),
+    .B2(_03201_),
+    .X(_01155_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08320_ (.A(_02761_),
     .X(_03202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08411_ (.A(_03159_),
-    .B(\u_m0_res_fifo.mem[6][5] ),
+ sky130_fd_sc_hd__buf_2 _08321_ (.A(_02766_),
     .X(_03203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08412_ (.A1(_03158_),
-    .A2(\u_m0_res_fifo.mem[7][5] ),
-    .B1(_03202_),
-    .C1(_03203_),
+ sky130_fd_sc_hd__and2_4 _08322_ (.A(_03203_),
+    .B(\u_m0_res_fifo.mem[0][4] ),
     .X(_03204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08413_ (.A(_03172_),
-    .B(_03201_),
-    .C(_03204_),
+ sky130_fd_sc_hd__a211o_4 _08323_ (.A1(_03202_),
+    .A2(\u_m0_res_fifo.mem[1][4] ),
+    .B1(_03161_),
+    .C1(_03204_),
     .X(_03205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08414_ (.A1(_03199_),
-    .A2(_03205_),
-    .A3(_03179_),
-    .B1(\u_spim_regs.spim_reg_rdata[5] ),
-    .B2(_03180_),
+ sky130_fd_sc_hd__buf_2 _08324_ (.A(_02739_),
     .X(_03206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08415_ (.A1(wbd_dat_o[5]),
-    .A2(_03164_),
-    .B1(_03165_),
-    .B2(_03206_),
-    .X(_01165_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08416_ (.A(_02766_),
+ sky130_fd_sc_hd__buf_2 _08325_ (.A(_02745_),
     .X(_03207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08417_ (.A(_02771_),
+ sky130_fd_sc_hd__and2_4 _08326_ (.A(_03207_),
+    .B(\u_m0_res_fifo.mem[2][4] ),
     .X(_03208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08418_ (.A(_03208_),
-    .B(\u_m0_res_fifo.mem[0][4] ),
+ sky130_fd_sc_hd__a211o_4 _08327_ (.A1(_03206_),
+    .A2(\u_m0_res_fifo.mem[3][4] ),
+    .B1(_03191_),
+    .C1(_03208_),
     .X(_03209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08419_ (.A1(_03207_),
-    .A2(\u_m0_res_fifo.mem[1][4] ),
-    .B1(_03166_),
-    .C1(_03209_),
+ sky130_fd_sc_hd__and3_4 _08328_ (.A(_03188_),
+    .B(_03205_),
+    .C(_03209_),
     .X(_03210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08420_ (.A(_02744_),
+ sky130_fd_sc_hd__buf_2 _08329_ (.A(_02749_),
     .X(_03211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08421_ (.A(_02750_),
+ sky130_fd_sc_hd__buf_2 _08330_ (.A(_02754_),
     .X(_03212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08422_ (.A(_03212_),
-    .B(\u_m0_res_fifo.mem[2][4] ),
+ sky130_fd_sc_hd__and2_4 _08331_ (.A(_03212_),
+    .B(\u_m0_res_fifo.mem[4][4] ),
     .X(_03213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08423_ (.A1(_03211_),
-    .A2(\u_m0_res_fifo.mem[3][4] ),
-    .B1(_03196_),
+ sky130_fd_sc_hd__a211o_4 _08332_ (.A1(_03211_),
+    .A2(\u_m0_res_fifo.mem[5][4] ),
+    .B1(_03168_),
     .C1(_03213_),
     .X(_03214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08424_ (.A(_03193_),
-    .B(_03210_),
-    .C(_03214_),
+ sky130_fd_sc_hd__buf_2 _08333_ (.A(_02762_),
     .X(_03215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08425_ (.A(_02754_),
+ sky130_fd_sc_hd__buf_2 _08334_ (.A(_03022_),
     .X(_03216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08426_ (.A(_02759_),
+ sky130_fd_sc_hd__and2_4 _08335_ (.A(_03216_),
+    .B(\u_m0_res_fifo.mem[6][4] ),
     .X(_03217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08427_ (.A(_03217_),
-    .B(\u_m0_res_fifo.mem[4][4] ),
+ sky130_fd_sc_hd__a211o_4 _08336_ (.A1(_03215_),
+    .A2(\u_m0_res_fifo.mem[7][4] ),
+    .B1(_03197_),
+    .C1(_03217_),
     .X(_03218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08428_ (.A1(_03216_),
-    .A2(\u_m0_res_fifo.mem[5][4] ),
-    .B1(_03173_),
-    .C1(_03218_),
+ sky130_fd_sc_hd__and3_4 _08337_ (.A(_03167_),
+    .B(_03214_),
+    .C(_03218_),
     .X(_03219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08429_ (.A(_02767_),
+ sky130_fd_sc_hd__o32a_4 _08338_ (.A1(_03210_),
+    .A2(_03219_),
+    .A3(_03174_),
+    .B1(\u_spim_regs.spim_reg_rdata[4] ),
+    .B2(_03175_),
     .X(_03220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08430_ (.A(_03027_),
+ sky130_fd_sc_hd__o22a_4 _08339_ (.A1(wbd_dat_o[4]),
+    .A2(_03159_),
+    .B1(_03160_),
+    .B2(_03220_),
+    .X(_01154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08340_ (.A(_03028_),
     .X(_03221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08431_ (.A(_03221_),
-    .B(\u_m0_res_fifo.mem[6][4] ),
+ sky130_fd_sc_hd__buf_2 _08341_ (.A(_03030_),
     .X(_03222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08432_ (.A1(_03220_),
-    .A2(\u_m0_res_fifo.mem[7][4] ),
-    .B1(_03202_),
-    .C1(_03222_),
+ sky130_fd_sc_hd__buf_2 _08342_ (.A(_03032_),
     .X(_03223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08433_ (.A(_03172_),
-    .B(_03219_),
-    .C(_03223_),
+ sky130_fd_sc_hd__and2_4 _08343_ (.A(_03203_),
+    .B(\u_m0_res_fifo.mem[0][3] ),
     .X(_03224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08434_ (.A1(_03215_),
-    .A2(_03224_),
-    .A3(_03179_),
-    .B1(\u_spim_regs.spim_reg_rdata[4] ),
-    .B2(_03180_),
+ sky130_fd_sc_hd__a211o_4 _08344_ (.A1(_03202_),
+    .A2(\u_m0_res_fifo.mem[1][3] ),
+    .B1(_03223_),
+    .C1(_03224_),
     .X(_03225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08435_ (.A1(wbd_dat_o[4]),
-    .A2(_03164_),
-    .B1(_03165_),
-    .B2(_03225_),
-    .X(_01164_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08436_ (.A(_03033_),
+ sky130_fd_sc_hd__and2_4 _08345_ (.A(_03207_),
+    .B(\u_m0_res_fifo.mem[2][3] ),
     .X(_03226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08437_ (.A(_03035_),
+ sky130_fd_sc_hd__a211o_4 _08346_ (.A1(_03206_),
+    .A2(\u_m0_res_fifo.mem[3][3] ),
+    .B1(_03191_),
+    .C1(_03226_),
     .X(_03227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08438_ (.A(_03037_),
+ sky130_fd_sc_hd__and3_4 _08347_ (.A(_03188_),
+    .B(_03225_),
+    .C(_03227_),
     .X(_03228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08439_ (.A(_03208_),
-    .B(\u_m0_res_fifo.mem[0][3] ),
+ sky130_fd_sc_hd__buf_2 _08348_ (.A(_03039_),
     .X(_03229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08440_ (.A1(_03207_),
-    .A2(\u_m0_res_fifo.mem[1][3] ),
-    .B1(_03228_),
-    .C1(_03229_),
+ sky130_fd_sc_hd__buf_2 _08349_ (.A(_03041_),
     .X(_03230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08441_ (.A(_03212_),
-    .B(\u_m0_res_fifo.mem[2][3] ),
+ sky130_fd_sc_hd__and2_4 _08350_ (.A(_03212_),
+    .B(\u_m0_res_fifo.mem[4][3] ),
     .X(_03231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08442_ (.A1(_03211_),
-    .A2(\u_m0_res_fifo.mem[3][3] ),
-    .B1(_03196_),
+ sky130_fd_sc_hd__a211o_4 _08351_ (.A1(_03211_),
+    .A2(\u_m0_res_fifo.mem[5][3] ),
+    .B1(_03230_),
     .C1(_03231_),
     .X(_03232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08443_ (.A(_03193_),
-    .B(_03230_),
-    .C(_03232_),
+ sky130_fd_sc_hd__and2_4 _08352_ (.A(_03216_),
+    .B(\u_m0_res_fifo.mem[6][3] ),
     .X(_03233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08444_ (.A(_03044_),
+ sky130_fd_sc_hd__a211o_4 _08353_ (.A1(_03215_),
+    .A2(\u_m0_res_fifo.mem[7][3] ),
+    .B1(_03197_),
+    .C1(_03233_),
     .X(_03234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08445_ (.A(_03046_),
+ sky130_fd_sc_hd__and3_4 _08354_ (.A(_03229_),
+    .B(_03232_),
+    .C(_03234_),
     .X(_03235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08446_ (.A(_03217_),
-    .B(\u_m0_res_fifo.mem[4][3] ),
+ sky130_fd_sc_hd__buf_2 _08355_ (.A(_03048_),
     .X(_03236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08447_ (.A1(_03216_),
-    .A2(\u_m0_res_fifo.mem[5][3] ),
-    .B1(_03235_),
-    .C1(_03236_),
+ sky130_fd_sc_hd__buf_2 _08356_ (.A(_03050_),
     .X(_03237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08448_ (.A(_03221_),
-    .B(\u_m0_res_fifo.mem[6][3] ),
+ sky130_fd_sc_hd__o32a_4 _08357_ (.A1(_03228_),
+    .A2(_03235_),
+    .A3(_03236_),
+    .B1(\u_spim_regs.spim_reg_rdata[3] ),
+    .B2(_03237_),
     .X(_03238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08449_ (.A1(_03220_),
-    .A2(\u_m0_res_fifo.mem[7][3] ),
-    .B1(_03202_),
-    .C1(_03238_),
+ sky130_fd_sc_hd__o22a_4 _08358_ (.A1(wbd_dat_o[3]),
+    .A2(_03221_),
+    .B1(_03222_),
+    .B2(_03238_),
+    .X(_01153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08359_ (.A(_03203_),
+    .B(\u_m0_res_fifo.mem[0][2] ),
     .X(_03239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08450_ (.A(_03234_),
-    .B(_03237_),
-    .C(_03239_),
+ sky130_fd_sc_hd__a211o_4 _08360_ (.A1(_03202_),
+    .A2(\u_m0_res_fifo.mem[1][2] ),
+    .B1(_03223_),
+    .C1(_03239_),
     .X(_03240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08451_ (.A(_03053_),
+ sky130_fd_sc_hd__and2_4 _08361_ (.A(_03207_),
+    .B(\u_m0_res_fifo.mem[2][2] ),
     .X(_03241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08452_ (.A(_03055_),
+ sky130_fd_sc_hd__a211o_4 _08362_ (.A1(_03206_),
+    .A2(\u_m0_res_fifo.mem[3][2] ),
+    .B1(_03191_),
+    .C1(_03241_),
     .X(_03242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08453_ (.A1(_03233_),
-    .A2(_03240_),
-    .A3(_03241_),
-    .B1(\u_spim_regs.spim_reg_rdata[3] ),
-    .B2(_03242_),
+ sky130_fd_sc_hd__and3_4 _08363_ (.A(_03188_),
+    .B(_03240_),
+    .C(_03242_),
     .X(_03243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08454_ (.A1(wbd_dat_o[3]),
-    .A2(_03226_),
-    .B1(_03227_),
-    .B2(_03243_),
-    .X(_01163_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08455_ (.A(_03208_),
-    .B(\u_m0_res_fifo.mem[0][2] ),
+ sky130_fd_sc_hd__and2_4 _08364_ (.A(_03212_),
+    .B(\u_m0_res_fifo.mem[4][2] ),
     .X(_03244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08456_ (.A1(_03207_),
-    .A2(\u_m0_res_fifo.mem[1][2] ),
-    .B1(_03228_),
+ sky130_fd_sc_hd__a211o_4 _08365_ (.A1(_03211_),
+    .A2(\u_m0_res_fifo.mem[5][2] ),
+    .B1(_03230_),
     .C1(_03244_),
     .X(_03245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08457_ (.A(_03212_),
-    .B(\u_m0_res_fifo.mem[2][2] ),
+ sky130_fd_sc_hd__and2_4 _08366_ (.A(_03216_),
+    .B(\u_m0_res_fifo.mem[6][2] ),
     .X(_03246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08458_ (.A1(_03211_),
-    .A2(\u_m0_res_fifo.mem[3][2] ),
-    .B1(_03196_),
+ sky130_fd_sc_hd__a211o_4 _08367_ (.A1(_03215_),
+    .A2(\u_m0_res_fifo.mem[7][2] ),
+    .B1(_03197_),
     .C1(_03246_),
     .X(_03247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08459_ (.A(_03193_),
+ sky130_fd_sc_hd__and3_4 _08368_ (.A(_03229_),
     .B(_03245_),
     .C(_03247_),
     .X(_03248_),
@@ -15027,98 +15152,98 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08460_ (.A(_03217_),
-    .B(\u_m0_res_fifo.mem[4][2] ),
+ sky130_fd_sc_hd__o32a_4 _08369_ (.A1(_03243_),
+    .A2(_03248_),
+    .A3(_03236_),
+    .B1(\u_spim_regs.spim_reg_rdata[2] ),
+    .B2(_03237_),
     .X(_03249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08461_ (.A1(_03216_),
-    .A2(\u_m0_res_fifo.mem[5][2] ),
-    .B1(_03235_),
-    .C1(_03249_),
+ sky130_fd_sc_hd__o22a_4 _08370_ (.A1(wbd_dat_o[2]),
+    .A2(_03221_),
+    .B1(_03222_),
+    .B2(_03249_),
+    .X(_01152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08371_ (.A(_03203_),
+    .B(\u_m0_res_fifo.mem[0][1] ),
     .X(_03250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08462_ (.A(_03221_),
-    .B(\u_m0_res_fifo.mem[6][2] ),
+ sky130_fd_sc_hd__a211o_4 _08372_ (.A1(_03202_),
+    .A2(\u_m0_res_fifo.mem[1][1] ),
+    .B1(_03223_),
+    .C1(_03250_),
     .X(_03251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08463_ (.A1(_03220_),
-    .A2(\u_m0_res_fifo.mem[7][2] ),
-    .B1(_03202_),
-    .C1(_03251_),
+ sky130_fd_sc_hd__and2_4 _08373_ (.A(_03207_),
+    .B(\u_m0_res_fifo.mem[2][1] ),
     .X(_03252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08464_ (.A(_03234_),
-    .B(_03250_),
-    .C(_03252_),
+ sky130_fd_sc_hd__a211o_4 _08374_ (.A1(_03206_),
+    .A2(\u_m0_res_fifo.mem[3][1] ),
+    .B1(_02805_),
+    .C1(_03252_),
     .X(_03253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08465_ (.A1(_03248_),
-    .A2(_03253_),
-    .A3(_03241_),
-    .B1(\u_spim_regs.spim_reg_rdata[2] ),
-    .B2(_03242_),
+ sky130_fd_sc_hd__and3_4 _08375_ (.A(_02795_),
+    .B(_03251_),
+    .C(_03253_),
     .X(_03254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08466_ (.A1(wbd_dat_o[2]),
-    .A2(_03226_),
-    .B1(_03227_),
-    .B2(_03254_),
-    .X(_01162_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08467_ (.A(_03208_),
-    .B(\u_m0_res_fifo.mem[0][1] ),
+ sky130_fd_sc_hd__and2_4 _08376_ (.A(_03212_),
+    .B(\u_m0_res_fifo.mem[4][1] ),
     .X(_03255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08468_ (.A1(_03207_),
-    .A2(\u_m0_res_fifo.mem[1][1] ),
-    .B1(_03228_),
+ sky130_fd_sc_hd__a211o_4 _08377_ (.A1(_03211_),
+    .A2(\u_m0_res_fifo.mem[5][1] ),
+    .B1(_03230_),
     .C1(_03255_),
     .X(_03256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08469_ (.A(_03212_),
-    .B(\u_m0_res_fifo.mem[2][1] ),
+ sky130_fd_sc_hd__and2_4 _08378_ (.A(_03216_),
+    .B(\u_m0_res_fifo.mem[6][1] ),
     .X(_03257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08470_ (.A1(_03211_),
-    .A2(\u_m0_res_fifo.mem[3][1] ),
-    .B1(_02810_),
+ sky130_fd_sc_hd__a211o_4 _08379_ (.A1(_03215_),
+    .A2(\u_m0_res_fifo.mem[7][1] ),
+    .B1(_02753_),
     .C1(_03257_),
     .X(_03258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08471_ (.A(_02800_),
+ sky130_fd_sc_hd__and3_4 _08380_ (.A(_03229_),
     .B(_03256_),
     .C(_03258_),
     .X(_03259_),
@@ -15126,98 +15251,98 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08472_ (.A(_03217_),
-    .B(\u_m0_res_fifo.mem[4][1] ),
+ sky130_fd_sc_hd__o32a_4 _08381_ (.A1(_03254_),
+    .A2(_03259_),
+    .A3(_03236_),
+    .B1(\u_spim_regs.spim_reg_rdata[1] ),
+    .B2(_03237_),
     .X(_03260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08473_ (.A1(_03216_),
-    .A2(\u_m0_res_fifo.mem[5][1] ),
-    .B1(_03235_),
-    .C1(_03260_),
+ sky130_fd_sc_hd__o22a_4 _08382_ (.A1(wbd_dat_o[1]),
+    .A2(_03221_),
+    .B1(_03222_),
+    .B2(_03260_),
+    .X(_01151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08383_ (.A(_02825_),
+    .B(\u_m0_res_fifo.mem[0][0] ),
     .X(_03261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08474_ (.A(_03221_),
-    .B(\u_m0_res_fifo.mem[6][1] ),
+ sky130_fd_sc_hd__a211o_4 _08384_ (.A1(_02771_),
+    .A2(\u_m0_res_fifo.mem[1][0] ),
+    .B1(_03223_),
+    .C1(_03261_),
     .X(_03262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08475_ (.A1(_03220_),
-    .A2(\u_m0_res_fifo.mem[7][1] ),
-    .B1(_02758_),
-    .C1(_03262_),
+ sky130_fd_sc_hd__and2_4 _08385_ (.A(_02746_),
+    .B(\u_m0_res_fifo.mem[2][0] ),
     .X(_03263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08476_ (.A(_03234_),
-    .B(_03261_),
-    .C(_03263_),
+ sky130_fd_sc_hd__a211o_4 _08386_ (.A1(_02740_),
+    .A2(\u_m0_res_fifo.mem[3][0] ),
+    .B1(_02805_),
+    .C1(_03263_),
     .X(_03264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08477_ (.A1(_03259_),
-    .A2(_03264_),
-    .A3(_03241_),
-    .B1(\u_spim_regs.spim_reg_rdata[1] ),
-    .B2(_03242_),
+ sky130_fd_sc_hd__and3_4 _08387_ (.A(_02795_),
+    .B(_03262_),
+    .C(_03264_),
     .X(_03265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08478_ (.A1(wbd_dat_o[1]),
-    .A2(_03226_),
-    .B1(_03227_),
-    .B2(_03265_),
-    .X(_01161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08479_ (.A(_02830_),
-    .B(\u_m0_res_fifo.mem[0][0] ),
+ sky130_fd_sc_hd__and2_4 _08388_ (.A(_02755_),
+    .B(\u_m0_res_fifo.mem[4][0] ),
     .X(_03266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08480_ (.A1(_02776_),
-    .A2(\u_m0_res_fifo.mem[1][0] ),
-    .B1(_03228_),
+ sky130_fd_sc_hd__a211o_4 _08389_ (.A1(_02750_),
+    .A2(\u_m0_res_fifo.mem[5][0] ),
+    .B1(_03230_),
     .C1(_03266_),
     .X(_03267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08481_ (.A(_02751_),
-    .B(\u_m0_res_fifo.mem[2][0] ),
+ sky130_fd_sc_hd__and2_4 _08390_ (.A(_02768_),
+    .B(\u_m0_res_fifo.mem[6][0] ),
     .X(_03268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08482_ (.A1(_02745_),
-    .A2(\u_m0_res_fifo.mem[3][0] ),
-    .B1(_02810_),
+ sky130_fd_sc_hd__a211o_4 _08391_ (.A1(_02763_),
+    .A2(\u_m0_res_fifo.mem[7][0] ),
+    .B1(_02753_),
     .C1(_03268_),
     .X(_03269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08483_ (.A(_02800_),
+ sky130_fd_sc_hd__and3_4 _08392_ (.A(_03229_),
     .B(_03267_),
     .C(_03269_),
     .X(_03270_),
@@ -15225,7676 +15350,7629 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08484_ (.A(_02760_),
-    .B(\u_m0_res_fifo.mem[4][0] ),
+ sky130_fd_sc_hd__o32a_4 _08393_ (.A1(_03265_),
+    .A2(_03270_),
+    .A3(_03236_),
+    .B1(\u_spim_regs.spim_reg_rdata[0] ),
+    .B2(_03237_),
     .X(_03271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08485_ (.A1(_02755_),
-    .A2(\u_m0_res_fifo.mem[5][0] ),
-    .B1(_03235_),
-    .C1(_03271_),
+ sky130_fd_sc_hd__o22a_4 _08394_ (.A1(wbd_dat_o[0]),
+    .A2(_03221_),
+    .B1(_03222_),
+    .B2(_03271_),
+    .X(_01150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _08395_ (.A(_02532_),
+    .B(_02525_),
+    .C(_02536_),
     .X(_03272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08486_ (.A(_02773_),
-    .B(\u_m0_res_fifo.mem[6][0] ),
+ sky130_fd_sc_hd__or2_4 _08396_ (.A(_01833_),
+    .B(_03272_),
     .X(_03273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08487_ (.A1(_02768_),
-    .A2(\u_m0_res_fifo.mem[7][0] ),
-    .B1(_02758_),
-    .C1(_03273_),
+ sky130_fd_sc_hd__or2_4 _08397_ (.A(_01835_),
+    .B(_03273_),
     .X(_03274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08488_ (.A(_03234_),
-    .B(_03272_),
-    .C(_03274_),
+ sky130_fd_sc_hd__buf_2 _08398_ (.A(_03274_),
     .X(_03275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _08489_ (.A1(_03270_),
-    .A2(_03275_),
-    .A3(_03241_),
-    .B1(\u_spim_regs.spim_reg_rdata[0] ),
-    .B2(_03242_),
-    .X(_03276_),
+ sky130_fd_sc_hd__inv_2 _08399_ (.A(_03275_),
+    .Y(_03276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08490_ (.A1(wbd_dat_o[0]),
-    .A2(_03226_),
-    .B1(_03227_),
-    .B2(_03276_),
-    .X(_01160_),
+ sky130_fd_sc_hd__o22a_4 _08400_ (.A1(\u_m1_cmd_fifo.wr_ptr[2] ),
+    .A2(_03276_),
+    .B1(_01827_),
+    .B2(_03275_),
+    .X(_01149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08491_ (.A(_02558_),
-    .B(_02552_),
-    .C(_02562_),
-    .X(_03277_),
+ sky130_fd_sc_hd__a21oi_4 _08401_ (.A1(_01835_),
+    .A2(_03273_),
+    .B1(_03276_),
+    .Y(_01148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08492_ (.A(_01834_),
-    .B(_03277_),
-    .X(_03278_),
+ sky130_fd_sc_hd__inv_2 _08402_ (.A(_03272_),
+    .Y(_03277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08493_ (.A(_01836_),
-    .B(_03278_),
+ sky130_fd_sc_hd__o21a_4 _08403_ (.A1(_01831_),
+    .A2(_03277_),
+    .B1(_03273_),
+    .X(_01147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08404_ (.A(\u_spim_regs.cfg_m1_wdata[9] ),
+    .Y(_03278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _08405_ (.A1(_03278_),
+    .A2(_02563_),
+    .B1(_02655_),
+    .Y(_01146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08406_ (.A(_01402_),
     .X(_03279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08494_ (.A(_03279_),
+ sky130_fd_sc_hd__buf_2 _08407_ (.A(_03279_),
     .X(_03280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08495_ (.A(_03280_),
-    .Y(_03281_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08496_ (.A1(\u_m1_cmd_fifo.wr_ptr[2] ),
-    .A2(_03281_),
-    .B1(_01828_),
+ sky130_fd_sc_hd__a2bb2o_4 _08408_ (.A1_N(_01317_),
+    .A2_N(_03280_),
+    .B1(\u_wb_if.NextPreAddr[1] ),
     .B2(_03280_),
-    .X(_01159_),
+    .X(_01145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _08497_ (.A1(_01836_),
-    .A2(_03278_),
-    .B1(_03281_),
-    .Y(_01158_),
+ sky130_fd_sc_hd__a2bb2o_4 _08409_ (.A1_N(_01292_),
+    .A2_N(_03280_),
+    .B1(\u_wb_if.NextPreAddr[0] ),
+    .B2(_03280_),
+    .X(_01144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08498_ (.A(_03277_),
-    .Y(_03282_),
+ sky130_fd_sc_hd__buf_2 _08410_ (.A(\u_m1_cmd_fifo.rd_ptr[1] ),
+    .X(_03281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _08499_ (.A1(_01832_),
-    .A2(_03282_),
-    .B1(_03278_),
-    .X(_01157_),
+ sky130_fd_sc_hd__buf_2 _08411_ (.A(_03281_),
+    .X(_03282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08500_ (.A(\u_m1_cmd_fifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__buf_2 _08412_ (.A(_03282_),
     .X(_03283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08501_ (.A(_03283_),
+ sky130_fd_sc_hd__buf_2 _08413_ (.A(_03283_),
     .X(_03284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08502_ (.A(_03284_),
+ sky130_fd_sc_hd__buf_2 _08414_ (.A(_03284_),
     .X(_03285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08503_ (.A(_03285_),
+ sky130_fd_sc_hd__buf_2 _08415_ (.A(_03285_),
     .X(_03286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08504_ (.A(_03286_),
+ sky130_fd_sc_hd__buf_2 _08416_ (.A(_01832_),
     .X(_03287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08505_ (.A(_01833_),
+ sky130_fd_sc_hd__buf_2 _08417_ (.A(_03287_),
     .X(_03288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08506_ (.A(_03288_),
+ sky130_fd_sc_hd__buf_2 _08418_ (.A(_03288_),
     .X(_03289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08507_ (.A(_03289_),
+ sky130_fd_sc_hd__buf_2 _08419_ (.A(_03289_),
     .X(_03290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08508_ (.A(_03290_),
+ sky130_fd_sc_hd__buf_2 _08420_ (.A(_03290_),
     .X(_03291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08509_ (.A(_03291_),
+ sky130_fd_sc_hd__buf_2 _08421_ (.A(_03291_),
     .X(_03292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08510_ (.A(\u_spictrl.tx_data_ready ),
-    .Y(_03293_),
+ sky130_fd_sc_hd__buf_2 _08422_ (.A(_03292_),
+    .X(_03293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08511_ (.A(spi_debug[19]),
-    .X(_03294_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08512_ (.A(psn_net_240),
-    .B(_03294_),
-    .C(psn_net_174),
-    .D(_02023_),
-    .X(_03295_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08513_ (.A(_03295_),
-    .X(_03296_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08514_ (.A(_01531_),
-    .B(_01533_),
-    .C(psn_net_175),
-    .X(_03297_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08515_ (.A(_01538_),
-    .B(_03297_),
-    .X(_03298_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08516_ (.A(spi_debug[20]),
-    .B(spi_debug[19]),
-    .C(spi_debug[17]),
-    .D(_01528_),
-    .X(_03299_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08517_ (.A(_03299_),
-    .X(_03300_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08518_ (.A(_01866_),
+ sky130_fd_sc_hd__inv_2 _08423_ (.A(_01864_),
     .Y(\u_m1_cmd_fifo.empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08519_ (.A1(\u_spictrl.gnt[2] ),
+ sky130_fd_sc_hd__o22a_4 _08424_ (.A1(psn_net_167),
     .A2(\u_m1_cmd_fifo.empty ),
-    .B1(_01522_),
-    .B2(_02569_),
+    .B1(_01521_),
+    .B2(_02543_),
+    .X(_03294_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08425_ (.A(_03294_),
+    .Y(_03295_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08426_ (.A(\u_spictrl.u_txreg.tx_CS[2] ),
+    .Y(_03296_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08427_ (.A(\u_spictrl.u_txreg.counter[9] ),
+    .Y(_03297_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _08428_ (.A(\u_spictrl.u_txreg.counter_trgt[3] ),
+    .B(\u_spictrl.u_txreg.counter_trgt[2] ),
+    .C(\u_spictrl.u_txreg.counter_trgt[1] ),
+    .X(_03298_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08429_ (.A(\u_spictrl.u_txreg.counter_trgt[4] ),
+    .B(_03298_),
+    .X(_03299_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08430_ (.A(\u_spictrl.u_txreg.counter_trgt[5] ),
+    .B(_03299_),
+    .X(_03300_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _08431_ (.A(\u_spictrl.u_txreg.counter_trgt[6] ),
+    .B(\u_spictrl.u_txreg.counter_trgt[7] ),
+    .C(\u_spictrl.u_txreg.counter_trgt[8] ),
+    .D(_03300_),
     .X(_03301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08520_ (.A(\u_spictrl.u_txreg.counter[10] ),
-    .Y(_03302_),
+ sky130_fd_sc_hd__or2_4 _08432_ (.A(\u_spictrl.u_txreg.counter_trgt[9] ),
+    .B(_03301_),
+    .X(_03302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08521_ (.A(\u_spictrl.u_txreg.counter_trgt[2] ),
-    .B(\u_spictrl.u_txreg.counter_trgt[0] ),
-    .C(\u_spictrl.u_txreg.counter_trgt[1] ),
-    .X(_03303_),
+ sky130_fd_sc_hd__inv_2 _08433_ (.A(psn_net_10),
+    .Y(_03303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08522_ (.A(\u_spictrl.u_txreg.counter_trgt[3] ),
-    .B(\u_spictrl.u_txreg.counter_trgt[4] ),
-    .C(\u_spictrl.u_txreg.counter_trgt[5] ),
-    .D(_03303_),
+ sky130_fd_sc_hd__a21o_4 _08434_ (.A1(\u_spictrl.u_txreg.counter_trgt[9] ),
+    .A2(psn_net_12),
+    .B1(_03303_),
     .X(_03304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08523_ (.A(\u_spictrl.u_txreg.counter_trgt[7] ),
-    .B(\u_spictrl.u_txreg.counter_trgt[6] ),
-    .C(_03304_),
-    .X(_03305_),
+ sky130_fd_sc_hd__inv_2 _08435_ (.A(_03304_),
+    .Y(_03305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08524_ (.A(_03305_),
+ sky130_fd_sc_hd__o22a_4 _08436_ (.A1(_03297_),
+    .A2(_03305_),
+    .B1(\u_spictrl.u_txreg.counter[9] ),
+    .B2(_03304_),
     .X(_03306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08525_ (.A(\u_spictrl.u_txreg.counter_trgt[9] ),
-    .B(\u_spictrl.u_txreg.counter_trgt[8] ),
-    .C(_03306_),
-    .X(_03307_),
+ sky130_fd_sc_hd__inv_2 _08437_ (.A(\u_spictrl.u_txreg.counter[15] ),
+    .Y(_03307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08526_ (.A(\u_spictrl.u_txreg.counter_trgt[10] ),
-    .B(_03307_),
-    .X(_03308_),
+ sky130_fd_sc_hd__inv_2 _08438_ (.A(\u_spictrl.u_txreg.counter[14] ),
+    .Y(_03308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08527_ (.A(_03308_),
+ sky130_fd_sc_hd__inv_2 _08439_ (.A(\u_spictrl.u_txreg.counter[13] ),
     .Y(_03309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _08528_ (.A1(psn_net_114),
-    .A2(\u_spictrl.u_txreg.counter_trgt[10] ),
-    .B1(_03309_),
-    .X(_03310_),
+ sky130_fd_sc_hd__inv_2 _08440_ (.A(\u_spictrl.u_txreg.counter[12] ),
+    .Y(_03310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08529_ (.A(_03310_),
+ sky130_fd_sc_hd__inv_2 _08441_ (.A(\u_spictrl.u_txreg.counter[11] ),
     .Y(_03311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08530_ (.A1(_03302_),
-    .A2(_03311_),
-    .B1(\u_spictrl.u_txreg.counter[10] ),
-    .B2(_03310_),
+ sky130_fd_sc_hd__and3_4 _08442_ (.A(_03309_),
+    .B(_03310_),
+    .C(_03311_),
     .X(_03312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08531_ (.A(\u_spictrl.u_txreg.counter[9] ),
-    .Y(_03313_),
+ sky130_fd_sc_hd__or2_4 _08443_ (.A(\u_spictrl.u_txreg.counter_trgt[10] ),
+    .B(_03302_),
+    .X(_03313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08532_ (.A(\u_spictrl.u_txreg.counter_trgt[9] ),
-    .Y(_03314_),
+ sky130_fd_sc_hd__and4_4 _08444_ (.A(_03307_),
+    .B(_03308_),
+    .C(_03312_),
+    .D(psn_net_9),
+    .X(_03314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _08533_ (.A(\u_spictrl.u_txreg.counter_trgt[8] ),
-    .B(psn_net_116),
-    .Y(_03315_),
+ sky130_fd_sc_hd__or3_4 _08445_ (.A(_03308_),
+    .B(_03309_),
+    .C(_03310_),
+    .X(_03315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _08534_ (.A1(_03314_),
-    .A2(_03315_),
-    .B1(psn_net_113),
+ sky130_fd_sc_hd__or4_4 _08446_ (.A(_03307_),
+    .B(_03311_),
+    .C(_03315_),
+    .D(_03313_),
     .X(_03316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08535_ (.A(_03316_),
+ sky130_fd_sc_hd__inv_2 _08447_ (.A(_03316_),
     .Y(_03317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08536_ (.A1(_03313_),
-    .A2(_03316_),
-    .B1(\u_spictrl.u_txreg.counter[9] ),
-    .B2(_03317_),
-    .X(_03318_),
+ sky130_fd_sc_hd__inv_2 _08448_ (.A(\u_spictrl.u_txreg.counter[8] ),
+    .Y(_03318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08537_ (.A(\u_spictrl.u_txreg.counter[12] ),
+ sky130_fd_sc_hd__inv_2 _08449_ (.A(\u_spictrl.u_txreg.counter_trgt[8] ),
     .Y(_03319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08538_ (.A(\u_spictrl.u_txreg.counter[11] ),
-    .Y(_03320_),
+ sky130_fd_sc_hd__or2_4 _08450_ (.A(\u_spictrl.u_txreg.counter_trgt[6] ),
+    .B(psn_net_14),
+    .X(_03320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08539_ (.A(\u_spictrl.u_txreg.counter[15] ),
+ sky130_fd_sc_hd__nor2_4 _08451_ (.A(\u_spictrl.u_txreg.counter_trgt[7] ),
+    .B(_03320_),
     .Y(_03321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08540_ (.A(\u_spictrl.u_txreg.counter[14] ),
-    .Y(_03322_),
+ sky130_fd_sc_hd__o21a_4 _08452_ (.A1(_03319_),
+    .A2(_03321_),
+    .B1(psn_net_11),
+    .X(_03322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08541_ (.A(\u_spictrl.u_txreg.counter[13] ),
-    .Y(_03323_),
+ sky130_fd_sc_hd__a2bb2o_4 _08453_ (.A1_N(_03318_),
+    .A2_N(_03322_),
+    .B1(_03318_),
+    .B2(_03322_),
+    .X(_03323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08542_ (.A(_03322_),
-    .B(_03323_),
-    .X(_03324_),
+ sky130_fd_sc_hd__o21ai_4 _08454_ (.A1(_03314_),
+    .A2(_03317_),
+    .B1(_03323_),
+    .Y(_03324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08543_ (.A(_03319_),
-    .B(_03320_),
-    .C(_03321_),
-    .D(_03324_),
-    .X(_03325_),
+ sky130_fd_sc_hd__inv_2 _08455_ (.A(\u_spictrl.u_txreg.counter[10] ),
+    .Y(_03325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08544_ (.A(\u_spictrl.u_txreg.counter[3] ),
+ sky130_fd_sc_hd__inv_2 _08456_ (.A(\u_spictrl.u_txreg.counter_trgt[10] ),
     .Y(_03326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08545_ (.A(\u_spictrl.u_txreg.counter_trgt[3] ),
-    .B(psn_net_123),
+ sky130_fd_sc_hd__o21a_4 _08457_ (.A1(_03326_),
+    .A2(_03303_),
+    .B1(psn_net_8),
     .X(_03327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _08546_ (.A1(\u_spictrl.u_txreg.counter_trgt[3] ),
-    .A2(psn_net_122),
-    .B1_N(_03327_),
-    .X(_03328_),
+ sky130_fd_sc_hd__inv_2 _08458_ (.A(_03327_),
+    .Y(_03328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08547_ (.A(_03328_),
-    .Y(_03329_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08548_ (.A1(_03326_),
-    .A2(_03329_),
-    .B1(\u_spictrl.u_txreg.counter[3] ),
+ sky130_fd_sc_hd__o22a_4 _08459_ (.A1(_03325_),
+    .A2(_03327_),
+    .B1(\u_spictrl.u_txreg.counter[10] ),
     .B2(_03328_),
-    .X(_03330_),
+    .X(_03329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08549_ (.A(\u_spictrl.u_txreg.counter[4] ),
-    .Y(_03331_),
+ sky130_fd_sc_hd__inv_2 _08460_ (.A(\u_spictrl.u_txreg.counter[5] ),
+    .Y(_03330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08550_ (.A(\u_spictrl.u_txreg.counter_trgt[4] ),
-    .B(_03327_),
+ sky130_fd_sc_hd__a21bo_4 _08461_ (.A1(\u_spictrl.u_txreg.counter_trgt[5] ),
+    .A2(psn_net_17),
+    .B1_N(psn_net_13),
+    .X(_03331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08462_ (.A1_N(_03330_),
+    .A2_N(_03331_),
+    .B1(_03330_),
+    .B2(_03331_),
     .X(_03332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _08551_ (.A1(\u_spictrl.u_txreg.counter_trgt[4] ),
-    .A2(_03327_),
-    .B1_N(_03332_),
+ sky130_fd_sc_hd__buf_2 _08463_ (.A(\u_spictrl.u_txreg.counter_trgt[1] ),
     .X(_03333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08552_ (.A(_03333_),
+ sky130_fd_sc_hd__nor2_4 _08464_ (.A(psn_net_21),
+    .B(\u_spictrl.u_txreg.counter_trgt[1] ),
     .Y(_03334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08553_ (.A1(_03331_),
-    .A2(_03334_),
-    .B1(\u_spictrl.u_txreg.counter[4] ),
-    .B2(_03333_),
-    .X(_03335_),
+ sky130_fd_sc_hd__a21oi_4 _08465_ (.A1(psn_net_22),
+    .A2(_03333_),
+    .B1(_03334_),
+    .Y(_03335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08554_ (.A(\u_spictrl.u_txreg.counter[7] ),
+ sky130_fd_sc_hd__nor2_4 _08466_ (.A(\u_spictrl.u_txreg.counter[2] ),
+    .B(_03335_),
     .Y(_03336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08555_ (.A(\u_spictrl.u_txreg.counter_trgt[6] ),
-    .B(psn_net_119),
-    .X(_03337_),
+ sky130_fd_sc_hd__inv_2 _08467_ (.A(\u_spictrl.u_txreg.counter[0] ),
+    .Y(_03337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _08556_ (.A1(\u_spictrl.u_txreg.counter_trgt[7] ),
-    .A2(_03337_),
-    .B1_N(psn_net_115),
-    .X(_03338_),
+ sky130_fd_sc_hd__inv_2 _08468_ (.A(_03333_),
+    .Y(_03338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08557_ (.A(_03338_),
+ sky130_fd_sc_hd__inv_2 _08469_ (.A(\u_spictrl.u_txreg.counter[1] ),
     .Y(_03339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08558_ (.A1(_03336_),
-    .A2(_03339_),
-    .B1(\u_spictrl.u_txreg.counter[7] ),
-    .B2(_03338_),
+ sky130_fd_sc_hd__o22a_4 _08470_ (.A1(_03338_),
+    .A2(\u_spictrl.u_txreg.counter[1] ),
+    .B1(_03333_),
+    .B2(_03339_),
     .X(_03340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2111o_4 _08559_ (.A1(_03309_),
-    .A2(_03325_),
-    .B1(_03330_),
-    .C1(_03335_),
-    .D1(_03340_),
+ sky130_fd_sc_hd__and2_4 _08471_ (.A(\u_spictrl.u_txreg.counter[2] ),
+    .B(_03335_),
     .X(_03341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08560_ (.A(\u_spictrl.u_txreg.counter[6] ),
-    .Y(_03342_),
+ sky130_fd_sc_hd__or4_4 _08472_ (.A(\u_spictrl.u_txreg.counter[15] ),
+    .B(_03337_),
+    .C(_03340_),
+    .D(_03341_),
+    .X(_03342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _08561_ (.A1(\u_spictrl.u_txreg.counter_trgt[6] ),
-    .A2(psn_net_120),
-    .B1_N(_03337_),
-    .X(_03343_),
+ sky130_fd_sc_hd__inv_2 _08473_ (.A(\u_spictrl.u_txreg.counter[3] ),
+    .Y(_03343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08562_ (.A1_N(_03342_),
-    .A2_N(_03343_),
-    .B1(_03342_),
-    .B2(_03343_),
-    .X(_03344_),
+ sky130_fd_sc_hd__inv_2 _08474_ (.A(psn_net_37),
+    .Y(_03344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08563_ (.A(\u_spictrl.u_txreg.counter[14] ),
-    .B(\u_spictrl.u_txreg.counter[13] ),
-    .C(\u_spictrl.u_txreg.counter[12] ),
-    .D(\u_spictrl.u_txreg.counter[11] ),
+ sky130_fd_sc_hd__o21a_4 _08475_ (.A1(_03344_),
+    .A2(_03334_),
+    .B1(psn_net_18),
     .X(_03345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08564_ (.A(\u_spictrl.u_txreg.counter_trgt[0] ),
+ sky130_fd_sc_hd__buf_2 _08476_ (.A(\u_spictrl.u_txreg.counter[3] ),
     .X(_03346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08565_ (.A(_03346_),
+ sky130_fd_sc_hd__inv_2 _08477_ (.A(_03345_),
     .Y(_03347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08566_ (.A(\u_spictrl.u_txreg.counter[0] ),
-    .Y(_03348_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08567_ (.A1(_03347_),
-    .A2(\u_spictrl.u_txreg.counter[0] ),
+ sky130_fd_sc_hd__o22a_4 _08478_ (.A1(_03343_),
+    .A2(_03345_),
     .B1(_03346_),
-    .B2(_03348_),
-    .X(_03349_),
+    .B2(_03347_),
+    .X(_03348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _08568_ (.A(psn_net_125),
-    .B(\u_spictrl.u_txreg.counter_trgt[0] ),
-    .Y(_03350_),
+ sky130_fd_sc_hd__inv_2 _08479_ (.A(\u_spictrl.u_txreg.counter[4] ),
+    .Y(_03349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _08569_ (.A1(psn_net_124),
-    .A2(_03346_),
-    .B1(_03350_),
+ sky130_fd_sc_hd__a21bo_4 _08480_ (.A1(\u_spictrl.u_txreg.counter_trgt[4] ),
+    .A2(psn_net_19),
+    .B1_N(psn_net_16),
+    .X(_03350_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08481_ (.A1_N(_03349_),
+    .A2_N(_03350_),
+    .B1(_03349_),
+    .B2(_03350_),
     .X(_03351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08570_ (.A(\u_spictrl.u_txreg.counter[1] ),
-    .Y(_03352_),
+ sky130_fd_sc_hd__or4_4 _08482_ (.A(_03336_),
+    .B(_03342_),
+    .C(_03348_),
+    .D(_03351_),
+    .X(_03352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08571_ (.A(_03351_),
+ sky130_fd_sc_hd__inv_2 _08483_ (.A(\u_spictrl.u_txreg.counter[7] ),
     .Y(_03353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08572_ (.A1(\u_spictrl.u_txreg.counter[1] ),
-    .A2(_03351_),
-    .B1(_03352_),
-    .B2(_03353_),
+ sky130_fd_sc_hd__a21o_4 _08484_ (.A1(\u_spictrl.u_txreg.counter_trgt[7] ),
+    .A2(_03320_),
+    .B1(_03321_),
     .X(_03354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08573_ (.A(psn_net_137),
+ sky130_fd_sc_hd__inv_2 _08485_ (.A(_03354_),
     .Y(_03355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _08574_ (.A1(_03355_),
-    .A2(_03350_),
-    .B1(psn_net_121),
+ sky130_fd_sc_hd__o22a_4 _08486_ (.A1(_03353_),
+    .A2(_03355_),
+    .B1(\u_spictrl.u_txreg.counter[7] ),
+    .B2(_03354_),
     .X(_03356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08575_ (.A1_N(\u_spictrl.u_txreg.counter[2] ),
-    .A2_N(_03356_),
-    .B1(\u_spictrl.u_txreg.counter[2] ),
-    .B2(_03356_),
-    .X(_03357_),
+ sky130_fd_sc_hd__inv_2 _08487_ (.A(\u_spictrl.u_txreg.counter[6] ),
+    .Y(_03357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08576_ (.A(\u_spictrl.u_txreg.counter[15] ),
-    .B(_03349_),
-    .C(_03354_),
-    .D(_03357_),
+ sky130_fd_sc_hd__a21bo_4 _08488_ (.A1(\u_spictrl.u_txreg.counter_trgt[6] ),
+    .A2(psn_net_15),
+    .B1_N(_03320_),
     .X(_03358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _08577_ (.A1(_03308_),
-    .A2(_03345_),
-    .B1(_03358_),
-    .X(_03359_),
+ sky130_fd_sc_hd__inv_2 _08489_ (.A(_03358_),
+    .Y(_03359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08578_ (.A(\u_spictrl.u_txreg.counter[5] ),
-    .Y(_03360_),
+ sky130_fd_sc_hd__o22a_4 _08490_ (.A1(_03357_),
+    .A2(_03359_),
+    .B1(\u_spictrl.u_txreg.counter[6] ),
+    .B2(_03358_),
+    .X(_03360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _08579_ (.A1(\u_spictrl.u_txreg.counter_trgt[5] ),
-    .A2(_03332_),
-    .B1_N(psn_net_118),
+ sky130_fd_sc_hd__or4_4 _08491_ (.A(_03332_),
+    .B(_03352_),
+    .C(_03356_),
+    .D(_03360_),
     .X(_03361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08580_ (.A(_03361_),
-    .Y(_03362_),
+ sky130_fd_sc_hd__or4_4 _08492_ (.A(_03306_),
+    .B(_03361_),
+    .C(_03329_),
+    .D(_03324_),
+    .X(_03362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08581_ (.A1(_03360_),
-    .A2(_03362_),
-    .B1(\u_spictrl.u_txreg.counter[5] ),
-    .B2(_03361_),
+ sky130_fd_sc_hd__or2_4 _08493_ (.A(_03296_),
+    .B(_03362_),
     .X(_03363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08582_ (.A(\u_spictrl.u_txreg.counter[8] ),
+ sky130_fd_sc_hd__buf_2 _08494_ (.A(psn_net_196),
     .X(_03364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _08583_ (.A1(\u_spictrl.u_txreg.counter_trgt[8] ),
-    .A2(psn_net_117),
-    .B1(_03315_),
-    .Y(_03365_),
+ sky130_fd_sc_hd__or2_4 _08495_ (.A(spi_debug[20]),
+    .B(_01536_),
+    .X(_03365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08584_ (.A1_N(_03364_),
-    .A2_N(_03365_),
-    .B1(\u_spictrl.u_txreg.counter[8] ),
-    .B2(_03365_),
-    .X(_03366_),
+ sky130_fd_sc_hd__inv_2 _08496_ (.A(_03365_),
+    .Y(_03366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08585_ (.A(_03344_),
+ sky130_fd_sc_hd__and2_4 _08497_ (.A(_01540_),
     .B(_03366_),
-    .C(_03363_),
-    .D(_03359_),
     .X(_03367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08586_ (.A(_03312_),
-    .B(_03318_),
-    .C(_03341_),
-    .D(_03367_),
+ sky130_fd_sc_hd__and2_4 _08498_ (.A(_03364_),
+    .B(_03367_),
     .X(_03368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08587_ (.A(_03368_),
-    .Y(_03369_),
+ sky130_fd_sc_hd__or3_4 _08499_ (.A(spi_debug[20]),
+    .B(spi_debug[19]),
+    .C(_01990_),
+    .X(_03369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08588_ (.A(psn_net_225),
-    .B(\u_spictrl.u_txreg.tx_CS[2] ),
+ sky130_fd_sc_hd__buf_2 _08500_ (.A(_03369_),
     .X(_03370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08589_ (.A(_03301_),
-    .B(_03297_),
-    .C(_02025_),
-    .D(_03370_),
-    .X(_03371_),
+ sky130_fd_sc_hd__inv_2 _08501_ (.A(_03370_),
+    .Y(_03371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08590_ (.A(_03371_),
-    .B(_03296_),
-    .C(_03298_),
-    .D(psn_net_172),
+ sky130_fd_sc_hd__or2_4 _08502_ (.A(_01991_),
+    .B(_03365_),
     .X(_03372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08591_ (.A(_03293_),
-    .B(_03372_),
+ sky130_fd_sc_hd__buf_2 _08503_ (.A(_03372_),
     .X(_03373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08592_ (.A(_01602_),
+ sky130_fd_sc_hd__or2_4 _08504_ (.A(_01539_),
     .B(_03373_),
     .X(_03374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08593_ (.A(_03292_),
-    .B(_03374_),
-    .X(_03375_),
+ sky130_fd_sc_hd__inv_2 _08505_ (.A(_03374_),
+    .Y(_03375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08594_ (.A(psn_net_221),
-    .Y(_03376_),
+ sky130_fd_sc_hd__a211o_4 _08506_ (.A1(_03295_),
+    .A2(_03368_),
+    .B1(_03371_),
+    .C1(_03375_),
+    .X(_03376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08595_ (.A(_01837_),
-    .X(_03377_),
+ sky130_fd_sc_hd__nand2_4 _08507_ (.A(\u_spictrl.tx_data_ready ),
+    .B(_03376_),
+    .Y(_03377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08596_ (.A(_03377_),
+ sky130_fd_sc_hd__or2_4 _08508_ (.A(_01604_),
+    .B(_03377_),
     .X(_03378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08597_ (.A(_03378_),
+ sky130_fd_sc_hd__or2_4 _08509_ (.A(_03293_),
+    .B(_03378_),
     .X(_03379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08598_ (.A(_03379_),
-    .X(_03380_),
+ sky130_fd_sc_hd__inv_2 _08510_ (.A(_03379_),
+    .Y(_03380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08599_ (.A(_03380_),
-    .B(_03375_),
+ sky130_fd_sc_hd__buf_2 _08511_ (.A(_01836_),
     .X(_03381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08600_ (.A1(_03287_),
-    .A2(_03376_),
-    .A3(_01829_),
-    .B1(_03381_),
-    .B2(\u_m1_cmd_fifo.rd_ptr[2] ),
-    .X(_01156_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _08601_ (.A1(_03287_),
-    .A2(_03376_),
-    .B1(_03381_),
-    .X(_01155_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _08602_ (.A1(_03292_),
-    .A2(psn_net_222),
-    .B1(_03376_),
-    .Y(_01154_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08603_ (.A(_01286_),
-    .B(_01399_),
+ sky130_fd_sc_hd__buf_2 _08512_ (.A(_03381_),
     .X(_03382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08604_ (.A(_03382_),
+ sky130_fd_sc_hd__buf_2 _08513_ (.A(_03382_),
     .X(_03383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08605_ (.A(_03383_),
-    .Y(_03384_),
+ sky130_fd_sc_hd__buf_2 _08514_ (.A(_03383_),
+    .X(_03384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08606_ (.A1(\u_m0_cmd_fifo.wr_ptr[1] ),
-    .A2(_03384_),
-    .B1(_01283_),
-    .B2(_03383_),
-    .X(_01153_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08607_ (.A(_03383_),
+ sky130_fd_sc_hd__buf_2 _08515_ (.A(_03384_),
     .X(_03385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _08608_ (.A1(\u_m0_cmd_fifo.wr_ptr[0] ),
-    .A2(_01459_),
-    .B1(_03385_),
-    .X(_01152_),
+ sky130_fd_sc_hd__or2_4 _08516_ (.A(_03385_),
+    .B(_03379_),
+    .X(_03386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08609_ (.A(\u_wb_if.NextPreAddr[19] ),
-    .Y(_03386_),
+ sky130_fd_sc_hd__a32o_4 _08517_ (.A1(_03286_),
+    .A2(_03380_),
+    .A3(_01828_),
+    .B1(\u_m1_cmd_fifo.rd_ptr[2] ),
+    .B2(_03386_),
+    .X(_01143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08610_ (.A(\u_wb_if.NextPreAddr[3] ),
-    .Y(_03387_),
+ sky130_fd_sc_hd__o21a_4 _08518_ (.A1(_03286_),
+    .A2(_03380_),
+    .B1(_03386_),
+    .X(_01142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08611_ (.A(_03387_),
-    .B(_01377_),
-    .C(_01330_),
+ sky130_fd_sc_hd__a21oi_4 _08519_ (.A1(_03293_),
+    .A2(_03378_),
+    .B1(_03380_),
+    .Y(_01141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08520_ (.A(_01405_),
+    .X(_03387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08521_ (.A(_03387_),
     .X(_03388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08612_ (.A(_01318_),
-    .B(_01321_),
-    .C(_01350_),
-    .D(_01306_),
-    .X(_03389_),
+ sky130_fd_sc_hd__inv_2 _08522_ (.A(\u_wb_if.NextPreAddr[3] ),
+    .Y(_03389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08613_ (.A(_01339_),
-    .B(_03388_),
-    .C(_01336_),
-    .D(_03389_),
+ sky130_fd_sc_hd__or4_4 _08523_ (.A(_01308_),
+    .B(_01341_),
+    .C(_01352_),
+    .D(_01332_),
     .X(_03390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08614_ (.A(_01322_),
-    .B(_03390_),
+ sky130_fd_sc_hd__or3_4 _08524_ (.A(_03389_),
+    .B(_01379_),
+    .C(_03390_),
     .X(_03391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08615_ (.A(\u_wb_if.NextPreAddr[13] ),
+ sky130_fd_sc_hd__inv_2 _08525_ (.A(\u_wb_if.NextPreAddr[19] ),
     .Y(_03392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08616_ (.A(_01375_),
-    .B(_01369_),
-    .C(_03392_),
-    .D(_03391_),
+ sky130_fd_sc_hd__or4_4 _08526_ (.A(_01326_),
+    .B(_01353_),
+    .C(_01311_),
+    .D(_01371_),
     .X(_03393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08617_ (.A(_01309_),
-    .B(_03393_),
-    .X(_03394_),
+ sky130_fd_sc_hd__inv_2 _08527_ (.A(\u_wb_if.NextPreAddr[13] ),
+    .Y(_03394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08618_ (.A(_01351_),
-    .B(_03394_),
+ sky130_fd_sc_hd__or4_4 _08528_ (.A(_03394_),
+    .B(_01377_),
+    .C(_01324_),
+    .D(_01338_),
     .X(_03395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08619_ (.A(_01324_),
-    .B(_03395_),
+ sky130_fd_sc_hd__or4_4 _08529_ (.A(_03392_),
+    .B(_01366_),
+    .C(_03393_),
+    .D(_03395_),
     .X(_03396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08620_ (.A(_01364_),
-    .B(_03396_),
+ sky130_fd_sc_hd__or4_4 _08530_ (.A(_01323_),
+    .B(_01320_),
+    .C(_03391_),
+    .D(_03396_),
     .X(_03397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08621_ (.A(_03386_),
+ sky130_fd_sc_hd__or2_4 _08531_ (.A(_01356_),
     .B(_03397_),
     .X(_03398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08622_ (.A(_01354_),
+ sky130_fd_sc_hd__or2_4 _08532_ (.A(_01299_),
     .B(_03398_),
     .X(_03399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08623_ (.A(_01297_),
+ sky130_fd_sc_hd__or2_4 _08533_ (.A(_01376_),
     .B(_03399_),
     .X(_03400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08624_ (.A(_01374_),
+ sky130_fd_sc_hd__or2_4 _08534_ (.A(_01388_),
     .B(_03400_),
     .X(_03401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08625_ (.A(_01386_),
-    .B(_03401_),
+ sky130_fd_sc_hd__or4_4 _08535_ (.A(_01304_),
+    .B(_01319_),
+    .C(_01384_),
+    .D(_03401_),
     .X(_03402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08626_ (.A(_01302_),
+ sky130_fd_sc_hd__or2_4 _08536_ (.A(_01387_),
     .B(_03402_),
     .X(_03403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08627_ (.A(_01382_),
+ sky130_fd_sc_hd__or2_4 _08537_ (.A(_01358_),
     .B(_03403_),
     .X(_03404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08628_ (.A(_01317_),
+ sky130_fd_sc_hd__or2_4 _08538_ (.A(_01346_),
     .B(_03404_),
     .X(_03405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08629_ (.A(_01385_),
+ sky130_fd_sc_hd__or2_4 _08539_ (.A(_01385_),
     .B(_03405_),
     .X(_03406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08630_ (.A(_01356_),
+ sky130_fd_sc_hd__or2_4 _08540_ (.A(_01454_),
     .B(_03406_),
     .X(_03407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08631_ (.A(_01344_),
-    .B(_03407_),
-    .X(_03408_),
+ sky130_fd_sc_hd__inv_2 _08541_ (.A(_03407_),
+    .Y(_03408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08632_ (.A(_03408_),
-    .B(_01383_),
+ sky130_fd_sc_hd__o22a_4 _08542_ (.A1(\u_wb_if.NextPreAddr[31] ),
+    .A2(_03408_),
+    .B1(_01328_),
+    .B2(_03407_),
     .X(_03409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08633_ (.A(_01433_),
-    .B(_03409_),
+ sky130_fd_sc_hd__buf_2 _08543_ (.A(_03279_),
     .X(_03410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08634_ (.A(_03410_),
-    .Y(_03411_),
+ sky130_fd_sc_hd__o22a_4 _08544_ (.A1(_03388_),
+    .A2(_03409_),
+    .B1(\u_wb_if.spim_wb_addr[31] ),
+    .B2(_03410_),
+    .X(_01140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08635_ (.A1(\u_wb_if.NextPreAddr[31] ),
-    .A2(_03411_),
-    .B1(_01326_),
-    .B2(_03410_),
+ sky130_fd_sc_hd__buf_2 _08545_ (.A(_01455_),
+    .X(_03411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08546_ (.A(\u_wb_if.NextPreAddr[30] ),
+    .B(_03411_),
     .X(_03412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08636_ (.A(_01400_),
+ sky130_fd_sc_hd__buf_2 _08547_ (.A(psn_net_125),
     .X(_03413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08637_ (.A(_03413_),
-    .X(_03414_),
+ sky130_fd_sc_hd__nand2_4 _08548_ (.A(_01385_),
+    .B(psn_net_206),
+    .Y(_03414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08638_ (.A1(_01459_),
-    .A2(_03412_),
-    .B1(\u_wb_if.spim_wb_addr[31] ),
-    .B2(_03414_),
-    .X(_01151_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08639_ (.A(_01442_),
+ sky130_fd_sc_hd__and3_4 _08549_ (.A(_03413_),
+    .B(psn_net_204),
+    .C(_03414_),
     .X(_03415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08640_ (.A(_03415_),
+ sky130_fd_sc_hd__a211o_4 _08550_ (.A1(\u_wb_if.spim_wb_addr[30] ),
+    .A2(_03388_),
+    .B1(_03412_),
+    .C1(_03415_),
+    .X(_01139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08551_ (.A(_03387_),
     .X(_03416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08641_ (.A(_01454_),
+ sky130_fd_sc_hd__and2_4 _08552_ (.A(\u_wb_if.NextPreAddr[29] ),
+    .B(_03411_),
     .X(_03417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08642_ (.A(_03417_),
-    .X(_03418_),
+ sky130_fd_sc_hd__nand2_4 _08553_ (.A(_01346_),
+    .B(psn_net_207),
+    .Y(_03418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08643_ (.A(\u_wb_if.NextPreAddr[30] ),
-    .B(_03418_),
+ sky130_fd_sc_hd__and3_4 _08554_ (.A(_03413_),
+    .B(psn_net_205),
+    .C(_03418_),
     .X(_03419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08644_ (.A(_01431_),
+ sky130_fd_sc_hd__a211o_4 _08555_ (.A1(\u_wb_if.spim_wb_addr[29] ),
+    .A2(_03416_),
+    .B1(_03417_),
+    .C1(_03419_),
+    .X(_01138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08556_ (.A(\u_wb_if.NextPreAddr[28] ),
+    .B(_01456_),
     .X(_03420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08645_ (.A(_03420_),
-    .X(_03421_),
+ sky130_fd_sc_hd__inv_2 _08557_ (.A(psn_net_209),
+    .Y(_03421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08646_ (.A(_01383_),
-    .B(psn_net_2),
-    .Y(_03422_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08647_ (.A(psn_net_0),
+ sky130_fd_sc_hd__or2_4 _08558_ (.A(\u_wb_if.NextPreAddr[28] ),
     .B(_03421_),
+    .X(_03422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _08559_ (.A(_03413_),
+    .B(psn_net_208),
     .C(_03422_),
     .X(_03423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08648_ (.A1(\u_wb_if.spim_wb_addr[30] ),
+ sky130_fd_sc_hd__a211o_4 _08560_ (.A1(_01284_),
     .A2(_03416_),
-    .B1(_03419_),
+    .B1(_03420_),
     .C1(_03423_),
-    .X(_01150_),
+    .X(_01137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08649_ (.A(_01456_),
+ sky130_fd_sc_hd__and2_4 _08561_ (.A(\u_wb_if.NextPreAddr[27] ),
+    .B(_01456_),
     .X(_03424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08650_ (.A(\u_wb_if.NextPreAddr[29] ),
-    .B(_03418_),
+ sky130_fd_sc_hd__a211o_4 _08562_ (.A1(_01387_),
+    .A2(_03402_),
+    .B1(_01454_),
+    .C1(_03421_),
     .X(_03425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08651_ (.A(_01344_),
-    .B(psn_net_4),
+ sky130_fd_sc_hd__inv_2 _08563_ (.A(_03425_),
     .Y(_03426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08652_ (.A(psn_net_1),
-    .B(_03421_),
-    .C(_03426_),
+ sky130_fd_sc_hd__a211o_4 _08564_ (.A1(\u_wb_if.spim_wb_addr[27] ),
+    .A2(_03416_),
+    .B1(_03424_),
+    .C1(_03426_),
+    .X(_01136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08565_ (.A(_01304_),
+    .B(psn_net_212),
     .X(_03427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08653_ (.A1(\u_wb_if.spim_wb_addr[29] ),
-    .A2(_03424_),
-    .B1(_03425_),
-    .C1(_03427_),
-    .X(_01149_),
+ sky130_fd_sc_hd__o21ai_4 _08566_ (.A1(_01384_),
+    .A2(_03427_),
+    .B1(_01319_),
+    .Y(_03428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08654_ (.A(\u_wb_if.NextPreAddr[28] ),
-    .B(_03418_),
-    .X(_03428_),
+ sky130_fd_sc_hd__a32o_4 _08567_ (.A1(_03413_),
+    .A2(_03402_),
+    .A3(_03428_),
+    .B1(\u_wb_if.spim_wb_addr[26] ),
+    .B2(_01445_),
+    .X(_03429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08655_ (.A(_01356_),
-    .B(psn_net_6),
-    .Y(_03429_),
+ sky130_fd_sc_hd__a21o_4 _08568_ (.A1(\u_wb_if.NextPreAddr[26] ),
+    .A2(_01457_),
+    .B1(_03429_),
+    .X(_01135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08656_ (.A(psn_net_3),
-    .B(_03421_),
-    .C(_03429_),
+ sky130_fd_sc_hd__or2_4 _08569_ (.A(_01454_),
+    .B(_03427_),
     .X(_03430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08657_ (.A1(_01282_),
-    .A2(_03424_),
-    .B1(_03428_),
-    .C1(_03430_),
-    .X(_01148_),
+ sky130_fd_sc_hd__inv_2 _08570_ (.A(_03430_),
+    .Y(_03431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08658_ (.A(\u_wb_if.NextPreAddr[27] ),
-    .B(_03418_),
-    .X(_03431_),
+ sky130_fd_sc_hd__o22a_4 _08571_ (.A1(\u_wb_if.NextPreAddr[25] ),
+    .A2(_03431_),
+    .B1(_01384_),
+    .B2(_03430_),
+    .X(_03432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08659_ (.A(_01385_),
-    .B(psn_net_8),
-    .Y(_03432_),
+ sky130_fd_sc_hd__o22a_4 _08572_ (.A1(_03388_),
+    .A2(_03432_),
+    .B1(\u_wb_if.spim_wb_addr[25] ),
+    .B2(_03410_),
+    .X(_01134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08660_ (.A(psn_net_5),
-    .B(_03421_),
-    .C(_03432_),
-    .X(_03433_),
+ sky130_fd_sc_hd__nand2_4 _08573_ (.A(_01304_),
+    .B(psn_net_211),
+    .Y(_03433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08661_ (.A1(\u_wb_if.spim_wb_addr[27] ),
-    .A2(_03424_),
-    .B1(_03431_),
-    .C1(_03433_),
-    .X(_01147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08662_ (.A(_03417_),
+ sky130_fd_sc_hd__buf_2 _08574_ (.A(psn_net_125),
     .X(_03434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08663_ (.A(\u_wb_if.NextPreAddr[26] ),
-    .B(_03434_),
+ sky130_fd_sc_hd__and3_4 _08575_ (.A(_03427_),
+    .B(_03433_),
+    .C(_03434_),
     .X(_03435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08664_ (.A(_01431_),
+ sky130_fd_sc_hd__and2_4 _08576_ (.A(\u_wb_if.NextPreAddr[24] ),
+    .B(_03411_),
     .X(_03436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08665_ (.A(psn_net_10),
-    .B(_01317_),
-    .Y(_03437_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08666_ (.A(_03437_),
-    .B(_03436_),
-    .C(psn_net_7),
-    .X(_03438_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08667_ (.A1(\u_wb_if.spim_wb_addr[26] ),
-    .A2(_03424_),
+ sky130_fd_sc_hd__a211o_4 _08577_ (.A1(\u_wb_if.spim_wb_addr[24] ),
+    .A2(_03416_),
     .B1(_03435_),
-    .C1(_03438_),
-    .X(_01146_),
+    .C1(_03436_),
+    .X(_01133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08668_ (.A(_03415_),
+ sky130_fd_sc_hd__and2_4 _08578_ (.A(\u_wb_if.spim_wb_addr[23] ),
+    .B(_01460_),
+    .X(_03437_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08579_ (.A(_01388_),
+    .B(psn_net_214),
+    .Y(_03438_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _08580_ (.A(psn_net_210),
+    .B(_03438_),
+    .C(_03434_),
     .X(_03439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08669_ (.A(\u_wb_if.NextPreAddr[25] ),
-    .B(_03434_),
+ sky130_fd_sc_hd__a211o_4 _08581_ (.A1(\u_wb_if.NextPreAddr[23] ),
+    .A2(_01457_),
+    .B1(_03437_),
+    .C1(_03439_),
+    .X(_01132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08582_ (.A(\u_wb_if.spim_wb_addr[22] ),
+    .B(_01460_),
     .X(_03440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08670_ (.A(psn_net_11),
-    .B(_01382_),
+ sky130_fd_sc_hd__nand2_4 _08583_ (.A(_01376_),
+    .B(psn_net_216),
     .Y(_03441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08671_ (.A(_03441_),
-    .B(_03436_),
-    .C(psn_net_9),
+ sky130_fd_sc_hd__and3_4 _08584_ (.A(psn_net_213),
+    .B(_03441_),
+    .C(_03434_),
     .X(_03442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08672_ (.A1(\u_wb_if.spim_wb_addr[25] ),
-    .A2(_03439_),
+ sky130_fd_sc_hd__a211o_4 _08585_ (.A1(\u_wb_if.NextPreAddr[22] ),
+    .A2(_01457_),
     .B1(_03440_),
     .C1(_03442_),
-    .X(_01145_),
+    .X(_01131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08673_ (.A(\u_wb_if.NextPreAddr[24] ),
-    .B(_03434_),
+ sky130_fd_sc_hd__and2_4 _08586_ (.A(\u_wb_if.spim_wb_addr[21] ),
+    .B(_01460_),
     .X(_03443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08674_ (.A(_01302_),
-    .B(psn_net_14),
+ sky130_fd_sc_hd__inv_2 _08587_ (.A(psn_net_217),
     .Y(_03444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08675_ (.A(psn_net_12),
-    .B(_03436_),
-    .C(_03444_),
+ sky130_fd_sc_hd__or2_4 _08588_ (.A(\u_wb_if.NextPreAddr[21] ),
+    .B(_03444_),
     .X(_03445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08676_ (.A1(\u_wb_if.spim_wb_addr[24] ),
-    .A2(_03439_),
-    .B1(_03443_),
-    .C1(_03445_),
-    .X(_01144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08677_ (.A(\u_wb_if.NextPreAddr[23] ),
-    .B(_03434_),
+ sky130_fd_sc_hd__and4_4 _08589_ (.A(_02727_),
+    .B(_01432_),
+    .C(psn_net_215),
+    .D(_03445_),
     .X(_03446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08678_ (.A(_01386_),
-    .B(psn_net_16),
-    .Y(_03447_),
+ sky130_fd_sc_hd__a211o_4 _08590_ (.A1(\u_wb_if.NextPreAddr[21] ),
+    .A2(_03411_),
+    .B1(_03443_),
+    .C1(_03446_),
+    .X(_01130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08679_ (.A(_03436_),
-    .B(psn_net_13),
-    .C(_03447_),
+ sky130_fd_sc_hd__buf_2 _08591_ (.A(_01406_),
+    .X(_03447_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08592_ (.A(\u_wb_if.NextPreAddr[20] ),
+    .B(_01456_),
     .X(_03448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08680_ (.A1(\u_wb_if.spim_wb_addr[23] ),
-    .A2(_03439_),
-    .B1(_03446_),
-    .C1(_03448_),
-    .X(_01143_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08681_ (.A(\u_wb_if.NextPreAddr[22] ),
-    .B(_03417_),
+ sky130_fd_sc_hd__and2_4 _08593_ (.A(_01356_),
+    .B(psn_net_218),
     .X(_03449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08682_ (.A(_01374_),
-    .B(psn_net_18),
-    .Y(_03450_),
+ sky130_fd_sc_hd__or4_4 _08594_ (.A(_03444_),
+    .B(_03449_),
+    .C(_01406_),
+    .D(_01455_),
+    .X(_03450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08683_ (.A(psn_net_15),
-    .B(_03450_),
-    .C(_03420_),
-    .X(_03451_),
+ sky130_fd_sc_hd__inv_2 _08595_ (.A(_03450_),
+    .Y(_03451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08684_ (.A1(\u_wb_if.spim_wb_addr[22] ),
-    .A2(_03439_),
-    .B1(_03449_),
+ sky130_fd_sc_hd__a211o_4 _08596_ (.A1(\u_wb_if.spim_wb_addr[20] ),
+    .A2(_03447_),
+    .B1(_03448_),
     .C1(_03451_),
-    .X(_01142_),
+    .X(_01129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08685_ (.A(_03415_),
+ sky130_fd_sc_hd__or2_4 _08597_ (.A(_03391_),
+    .B(_01434_),
     .X(_03452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08686_ (.A(\u_wb_if.NextPreAddr[21] ),
-    .B(_03417_),
+ sky130_fd_sc_hd__or2_4 _08598_ (.A(_01320_),
+    .B(_03452_),
     .X(_03453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08687_ (.A(psn_net_19),
-    .Y(_03454_),
+ sky130_fd_sc_hd__or2_4 _08599_ (.A(_01323_),
+    .B(_03453_),
+    .X(_03454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08688_ (.A(\u_wb_if.NextPreAddr[21] ),
+ sky130_fd_sc_hd__or2_4 _08600_ (.A(_01338_),
     .B(_03454_),
     .X(_03455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08689_ (.A(psn_net_17),
+ sky130_fd_sc_hd__or2_4 _08601_ (.A(_01324_),
     .B(_03455_),
-    .C(_03420_),
     .X(_03456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08690_ (.A1(\u_wb_if.spim_wb_addr[21] ),
-    .A2(_03452_),
-    .B1(_03453_),
-    .C1(_03456_),
-    .X(_01141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08691_ (.A(_01433_),
+ sky130_fd_sc_hd__or2_4 _08602_ (.A(_01377_),
+    .B(_03456_),
     .X(_03457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08692_ (.A1(_01354_),
-    .A2(psn_net_21),
-    .B1(_03454_),
-    .C1(_03457_),
+ sky130_fd_sc_hd__or2_4 _08603_ (.A(_03394_),
+    .B(_03457_),
     .X(_03458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08693_ (.A(_03458_),
-    .Y(_03459_),
+ sky130_fd_sc_hd__or2_4 _08604_ (.A(_01371_),
+    .B(_03458_),
+    .X(_03459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08694_ (.A(\u_wb_if.NextPreAddr[20] ),
-    .B(_01455_),
+ sky130_fd_sc_hd__or2_4 _08605_ (.A(_01311_),
+    .B(_03459_),
     .X(_03460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08695_ (.A1(\u_wb_if.spim_wb_addr[20] ),
-    .A2(_03452_),
-    .B1(_03459_),
-    .C1(_03460_),
-    .X(_01140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08696_ (.A(_03413_),
+ sky130_fd_sc_hd__or2_4 _08606_ (.A(_01353_),
+    .B(_03460_),
     .X(_03461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08697_ (.A(_03386_),
-    .B(psn_net_22),
-    .Y(_03462_),
+ sky130_fd_sc_hd__or2_4 _08607_ (.A(_01326_),
+    .B(_03461_),
+    .X(_03462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08698_ (.A1(_01401_),
-    .A2(_03462_),
-    .A3(psn_net_20),
-    .B1(\u_wb_if.spim_wb_addr[19] ),
-    .B2(_01404_),
-    .X(_03463_),
+ sky130_fd_sc_hd__inv_2 _08608_ (.A(psn_net_102),
+    .Y(_03463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08699_ (.A1(_03461_),
-    .A2(_03457_),
-    .A3(\u_wb_if.NextPreAddr[19] ),
-    .B1(_01453_),
-    .B2(_03463_),
-    .X(_01139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08700_ (.A(_01433_),
-    .B(psn_net_28),
+ sky130_fd_sc_hd__or2_4 _08609_ (.A(_01366_),
+    .B(_03462_),
     .X(_03464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08701_ (.A(_01375_),
-    .B(_03464_),
+ sky130_fd_sc_hd__a32o_4 _08610_ (.A1(\u_wb_if.NextPreAddr[18] ),
+    .A2(_03463_),
+    .A3(_03392_),
+    .B1(_03464_),
+    .B2(\u_wb_if.NextPreAddr[19] ),
     .X(_03465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08702_ (.A(_01309_),
-    .B(_01369_),
-    .C(_03392_),
-    .D(_03465_),
+ sky130_fd_sc_hd__o22a_4 _08611_ (.A1(_03388_),
+    .A2(_03465_),
+    .B1(\u_wb_if.spim_wb_addr[19] ),
+    .B2(_03410_),
+    .X(_01128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08612_ (.A(_01403_),
     .X(_03466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08703_ (.A(_01324_),
-    .B(_01351_),
-    .C(_03466_),
+ sky130_fd_sc_hd__or2_4 _08613_ (.A(\u_wb_if.NextPreAddr[18] ),
+    .B(_03463_),
     .X(_03467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08704_ (.A(_03467_),
+ sky130_fd_sc_hd__a32o_4 _08614_ (.A1(psn_net_100),
+    .A2(_03466_),
+    .A3(_03467_),
+    .B1(\u_wb_if.spim_wb_addr[18] ),
+    .B2(_03447_),
+    .X(_01127_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08615_ (.A(_01326_),
+    .B(psn_net_104),
     .Y(_03468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08705_ (.A1(\u_wb_if.NextPreAddr[18] ),
-    .A2(_03468_),
-    .B1(_01364_),
-    .B2(_03467_),
+ sky130_fd_sc_hd__a32o_4 _08616_ (.A1(_03466_),
+    .A2(psn_net_101),
+    .A3(_03468_),
+    .B1(\u_wb_if.spim_wb_addr[17] ),
+    .B2(_03447_),
+    .X(_01126_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08617_ (.A(_03279_),
     .X(_03469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08706_ (.A1(_01459_),
-    .A2(_03469_),
-    .B1(\u_wb_if.spim_wb_addr[18] ),
-    .B2(_03414_),
-    .X(_01138_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08707_ (.A(_01324_),
-    .B(psn_net_24),
+ sky130_fd_sc_hd__nand2_4 _08618_ (.A(_01353_),
+    .B(psn_net_106),
     .Y(_03470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08708_ (.A1(psn_net_23),
-    .A2(_03470_),
-    .A3(_01401_),
-    .B1(\u_wb_if.spim_wb_addr[17] ),
-    .B2(_01404_),
-    .X(_03471_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08709_ (.A1(_03461_),
-    .A2(_03457_),
-    .A3(\u_wb_if.NextPreAddr[17] ),
-    .B1(_01453_),
-    .B2(_03471_),
-    .X(_01137_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08710_ (.A(_03466_),
-    .Y(_03472_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08711_ (.A1(_01351_),
-    .A2(_03466_),
-    .B1(\u_wb_if.NextPreAddr[16] ),
-    .B2(_03472_),
-    .X(_03473_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08712_ (.A1(_03416_),
-    .A2(_03473_),
+ sky130_fd_sc_hd__a32o_4 _08619_ (.A1(_03469_),
+    .A2(psn_net_103),
+    .A3(_03470_),
     .B1(\u_wb_if.spim_wb_addr[16] ),
-    .B2(_03414_),
-    .X(_01136_),
+    .B2(_03447_),
+    .X(_01125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08713_ (.A(_01309_),
-    .B(psn_net_26),
+ sky130_fd_sc_hd__nand2_4 _08620_ (.A(_01311_),
+    .B(psn_net_108),
+    .Y(_03471_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08621_ (.A(_03387_),
+    .X(_03472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _08622_ (.A1(_03469_),
+    .A2(psn_net_105),
+    .A3(_03471_),
+    .B1(\u_wb_if.spim_wb_addr[15] ),
+    .B2(_03472_),
+    .X(_01124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08623_ (.A(_01371_),
+    .B(psn_net_109),
+    .Y(_03473_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _08624_ (.A1(_03469_),
+    .A2(psn_net_107),
+    .A3(_03473_),
+    .B1(\u_wb_if.spim_wb_addr[14] ),
+    .B2(_03472_),
+    .X(_01123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08625_ (.A(_03394_),
+    .B(psn_net_111),
     .Y(_03474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08714_ (.A1(psn_net_25),
-    .A2(_03474_),
-    .A3(_01401_),
-    .B1(\u_wb_if.spim_wb_addr[15] ),
-    .B2(_01404_),
+ sky130_fd_sc_hd__a32o_4 _08626_ (.A1(_03469_),
+    .A2(psn_net_109),
+    .A3(_03474_),
+    .B1(\u_wb_if.spim_wb_addr[13] ),
+    .B2(_03472_),
+    .X(_01122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08627_ (.A(_01403_),
     .X(_03475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08715_ (.A1(_03461_),
-    .A2(_03457_),
-    .A3(\u_wb_if.NextPreAddr[15] ),
-    .B1(_01453_),
-    .B2(_03475_),
-    .X(_01135_),
+ sky130_fd_sc_hd__nand2_4 _08628_ (.A(_01377_),
+    .B(psn_net_113),
+    .Y(_03476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08716_ (.A(_03392_),
-    .B(_03465_),
-    .X(_03476_),
+ sky130_fd_sc_hd__a32o_4 _08629_ (.A1(_03475_),
+    .A2(psn_net_110),
+    .A3(_03476_),
+    .B1(\u_wb_if.spim_wb_addr[12] ),
+    .B2(_03472_),
+    .X(_01121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08717_ (.A(_03476_),
+ sky130_fd_sc_hd__nand2_4 _08630_ (.A(_01324_),
+    .B(psn_net_115),
     .Y(_03477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08718_ (.A1(_01369_),
-    .A2(_03476_),
-    .B1(\u_wb_if.NextPreAddr[14] ),
-    .B2(_03477_),
+ sky130_fd_sc_hd__buf_2 _08631_ (.A(_03387_),
     .X(_03478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08719_ (.A1(_03416_),
-    .A2(_03478_),
-    .B1(\u_wb_if.spim_wb_addr[14] ),
-    .B2(_03414_),
-    .X(_01134_),
+ sky130_fd_sc_hd__a32o_4 _08632_ (.A1(_03475_),
+    .A2(psn_net_112),
+    .A3(_03477_),
+    .B1(\u_wb_if.spim_wb_addr[11] ),
+    .B2(_03478_),
+    .X(_01120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08720_ (.A(_03392_),
-    .B(_03465_),
+ sky130_fd_sc_hd__nand2_4 _08633_ (.A(_01338_),
+    .B(psn_net_117),
     .Y(_03479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08721_ (.A(_03413_),
-    .X(_03480_),
+ sky130_fd_sc_hd__a32o_4 _08634_ (.A1(_03475_),
+    .A2(psn_net_114),
+    .A3(_03479_),
+    .B1(\u_wb_if.spim_wb_addr[10] ),
+    .B2(_03478_),
+    .X(_01119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08722_ (.A1(_03476_),
-    .A2(_03479_),
+ sky130_fd_sc_hd__nand2_4 _08635_ (.A(_01323_),
+    .B(psn_net_119),
+    .Y(_03480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _08636_ (.A1(_03475_),
+    .A2(psn_net_116),
     .A3(_03480_),
-    .B1(\u_wb_if.spim_wb_addr[13] ),
-    .B2(_03452_),
-    .X(_01133_),
+    .B1(\u_wb_if.spim_wb_addr[9] ),
+    .B2(_03478_),
+    .X(_01118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08723_ (.A(_01375_),
-    .B(_03464_),
+ sky130_fd_sc_hd__nand2_4 _08637_ (.A(_01320_),
+    .B(psn_net_121),
     .Y(_03481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08724_ (.A1(_03465_),
+ sky130_fd_sc_hd__a32o_4 _08638_ (.A1(psn_net_118),
     .A2(_03481_),
-    .A3(_03480_),
-    .B1(\u_wb_if.spim_wb_addr[12] ),
-    .B2(_03452_),
-    .X(_01132_),
+    .A3(_03410_),
+    .B1(\u_wb_if.spim_wb_addr[8] ),
+    .B2(_03478_),
+    .X(_01117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08725_ (.A(\u_wb_if.spim_wb_addr[11] ),
-    .B(_01456_),
+ sky130_fd_sc_hd__buf_2 _08639_ (.A(_01403_),
     .X(_03482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08726_ (.A(_01322_),
-    .B(psn_net_29),
-    .Y(_03483_),
+ sky130_fd_sc_hd__or2_4 _08640_ (.A(_01379_),
+    .B(psn_net_122),
+    .X(_03483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08727_ (.A(psn_net_27),
+ sky130_fd_sc_hd__or2_4 _08641_ (.A(_03389_),
     .B(_03483_),
-    .C(_03420_),
     .X(_03484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08728_ (.A1(\u_wb_if.NextPreAddr[11] ),
-    .A2(_01455_),
-    .B1(_03482_),
-    .C1(_03484_),
-    .X(_01131_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08729_ (.A(_01377_),
-    .B(_01432_),
+ sky130_fd_sc_hd__or2_4 _08642_ (.A(_01332_),
+    .B(_03484_),
     .X(_03485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08730_ (.A(_03387_),
+ sky130_fd_sc_hd__or2_4 _08643_ (.A(_01341_),
     .B(_03485_),
     .X(_03486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08731_ (.A(_01330_),
+ sky130_fd_sc_hd__or2_4 _08644_ (.A(_01308_),
     .B(_03486_),
     .X(_03487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08732_ (.A(_01339_),
+ sky130_fd_sc_hd__nand2_4 _08645_ (.A(_01352_),
     .B(_03487_),
-    .X(_03488_),
+    .Y(_03488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08733_ (.A(psn_net_53),
-    .B(_03488_),
+ sky130_fd_sc_hd__buf_2 _08646_ (.A(_01406_),
     .X(_03489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08734_ (.A(_01350_),
-    .B(_03489_),
-    .X(_03490_),
+ sky130_fd_sc_hd__a32o_4 _08647_ (.A1(_03482_),
+    .A2(psn_net_120),
+    .A3(_03488_),
+    .B1(\u_wb_if.spim_wb_addr[7] ),
+    .B2(_03489_),
+    .X(_01116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08735_ (.A(psn_net_71),
-    .B(_03490_),
-    .X(_03491_),
+ sky130_fd_sc_hd__nand2_4 _08648_ (.A(_01308_),
+    .B(_03486_),
+    .Y(_03490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08736_ (.A(_03491_),
+ sky130_fd_sc_hd__a32o_4 _08649_ (.A1(_03482_),
+    .A2(_03487_),
+    .A3(_03490_),
+    .B1(\u_wb_if.spim_wb_addr[6] ),
+    .B2(_03489_),
+    .X(_01115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08650_ (.A(_01341_),
+    .B(_03485_),
+    .Y(_03491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _08651_ (.A1(_03482_),
+    .A2(_03486_),
+    .A3(_03491_),
+    .B1(_02080_),
+    .B2(_03489_),
+    .X(_01114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08652_ (.A(_01332_),
+    .B(_03484_),
     .Y(_03492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08737_ (.A(psn_net_30),
-    .B(_03491_),
-    .X(_03493_),
+ sky130_fd_sc_hd__a32o_4 _08653_ (.A1(_03482_),
+    .A2(_03485_),
+    .A3(_03492_),
+    .B1(_02081_),
+    .B2(_03489_),
+    .X(_01113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08738_ (.A1(psn_net_34),
-    .A2(_03492_),
-    .A3(_01336_),
-    .B1(\u_wb_if.NextPreAddr[10] ),
-    .B2(_03493_),
+ sky130_fd_sc_hd__nand2_4 _08654_ (.A(_03389_),
+    .B(_03483_),
+    .Y(_03493_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _08655_ (.A1(_03484_),
+    .A2(_03493_),
+    .A3(_03466_),
+    .B1(_02079_),
+    .B2(_01407_),
+    .X(_01112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08656_ (.A(\u_wb_if.NextPreAddr[2] ),
+    .B(_03434_),
     .X(_03494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08739_ (.A1(_03416_),
+ sky130_fd_sc_hd__a32o_4 _08657_ (.A1(_03483_),
     .A2(_03494_),
-    .B1(\u_wb_if.spim_wb_addr[10] ),
-    .B2(_03480_),
-    .X(_01130_),
+    .A3(_03466_),
+    .B1(_01545_),
+    .B2(_01407_),
+    .X(_01111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08740_ (.A(_03413_),
+ sky130_fd_sc_hd__or2_4 _08658_ (.A(_01288_),
+    .B(_01401_),
     .X(_03495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08741_ (.A(psn_net_33),
-    .B(_03492_),
+ sky130_fd_sc_hd__buf_2 _08659_ (.A(_03495_),
     .X(_03496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08742_ (.A(_03415_),
-    .X(_03497_),
+ sky130_fd_sc_hd__inv_2 _08660_ (.A(_03496_),
+    .Y(_03497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08743_ (.A1(_03495_),
-    .A2(_03493_),
-    .A3(_03496_),
-    .B1(\u_wb_if.spim_wb_addr[9] ),
-    .B2(_03497_),
-    .X(_01129_),
+ sky130_fd_sc_hd__o22a_4 _08661_ (.A1(\u_m0_cmd_fifo.wr_ptr[1] ),
+    .A2(_03497_),
+    .B1(_01285_),
+    .B2(_03496_),
+    .X(_01110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08744_ (.A(psn_net_72),
-    .B(_03490_),
-    .Y(_03498_),
+ sky130_fd_sc_hd__buf_2 _08662_ (.A(_03496_),
+    .X(_03498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08745_ (.A1(_03495_),
-    .A2(_03491_),
-    .A3(_03498_),
-    .B1(\u_wb_if.spim_wb_addr[8] ),
-    .B2(_03497_),
-    .X(_01128_),
+ sky130_fd_sc_hd__o21a_4 _08663_ (.A1(\u_m0_cmd_fifo.wr_ptr[0] ),
+    .A2(_01461_),
+    .B1(_03498_),
+    .X(_01109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08746_ (.A(_01350_),
-    .B(_03489_),
-    .Y(_03499_),
+ sky130_fd_sc_hd__buf_2 _08664_ (.A(\u_m0_cmd_fifo.rd_ptr[0] ),
+    .X(_03499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08747_ (.A1(_03495_),
-    .A2(_03490_),
-    .A3(_03499_),
-    .B1(\u_wb_if.spim_wb_addr[7] ),
-    .B2(_03497_),
-    .X(_01127_),
+ sky130_fd_sc_hd__buf_2 _08665_ (.A(_03499_),
+    .X(_03500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08748_ (.A(psn_net_52),
-    .B(_03488_),
-    .Y(_03500_),
+ sky130_fd_sc_hd__buf_2 _08666_ (.A(_03500_),
+    .X(_03501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08749_ (.A1(_03489_),
-    .A2(_03500_),
-    .A3(_03480_),
-    .B1(\u_wb_if.spim_wb_addr[6] ),
-    .B2(_03497_),
-    .X(_01126_),
+ sky130_fd_sc_hd__buf_2 _08667_ (.A(_03501_),
+    .X(_03502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08750_ (.A(_01339_),
-    .B(_03487_),
-    .Y(_03501_),
+ sky130_fd_sc_hd__buf_2 _08668_ (.A(_03502_),
+    .X(_03503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08751_ (.A1(_03495_),
-    .A2(_03488_),
-    .A3(_03501_),
-    .B1(_02086_),
-    .B2(_01405_),
-    .X(_01125_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08752_ (.A(_01330_),
-    .B(_03486_),
-    .Y(_03502_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08753_ (.A1(_01402_),
-    .A2(_03487_),
-    .A3(_03502_),
-    .B1(_02087_),
-    .B2(_01405_),
-    .X(_01124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08754_ (.A(_03485_),
-    .Y(_03503_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08755_ (.A(\u_wb_if.NextPreAddr[3] ),
-    .B(_03503_),
+ sky130_fd_sc_hd__buf_2 _08669_ (.A(_03503_),
     .X(_03504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08756_ (.A1(_03486_),
-    .A2(_03504_),
-    .A3(_03461_),
-    .B1(_02085_),
-    .B2(_01405_),
-    .X(_01123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08757_ (.A(_02297_),
-    .B(_01442_),
+ sky130_fd_sc_hd__or2_4 _08670_ (.A(psn_net_156),
+    .B(_03377_),
     .X(_03505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08758_ (.A1(_01377_),
-    .A2(_01455_),
-    .B1(_03505_),
-    .C1(_03503_),
-    .X(_03506_),
+ sky130_fd_sc_hd__inv_2 _08671_ (.A(_03505_),
+    .Y(_03506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08759_ (.A(_03506_),
-    .Y(_01122_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08760_ (.A(\u_m0_cmd_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__buf_2 _08672_ (.A(_01289_),
     .X(_03507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08761_ (.A(_03507_),
+ sky130_fd_sc_hd__buf_2 _08673_ (.A(_03507_),
     .X(_03508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08762_ (.A(_03508_),
+ sky130_fd_sc_hd__buf_2 _08674_ (.A(_03508_),
     .X(_03509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08763_ (.A(_03509_),
+ sky130_fd_sc_hd__buf_2 _08675_ (.A(_03509_),
     .X(_03510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08764_ (.A(_03510_),
+ sky130_fd_sc_hd__buf_2 _08676_ (.A(_03510_),
     .X(_03511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08765_ (.A(_01527_),
-    .B(psn_net_223),
+ sky130_fd_sc_hd__or2_4 _08677_ (.A(_03511_),
+    .B(_03505_),
     .X(_03512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08766_ (.A(_03512_),
+ sky130_fd_sc_hd__a32o_4 _08678_ (.A1(_03504_),
+    .A2(_03506_),
+    .A3(_01286_),
+    .B1(\u_m0_cmd_fifo.rd_ptr[1] ),
+    .B2(_03512_),
+    .X(_01108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _08679_ (.A1(_03504_),
+    .A2(_03506_),
+    .B1(_03512_),
+    .X(_01107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08680_ (.A1(_02608_),
+    .A2(_02640_),
+    .B1(_02526_),
+    .B2(_02638_),
+    .X(_01106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08681_ (.A1(_02610_),
+    .A2(_02640_),
+    .B1(_02527_),
+    .B2(_02638_),
+    .X(_01105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08682_ (.A1(_02611_),
+    .A2(_02636_),
+    .B1(_02529_),
+    .B2(_02638_),
+    .X(_01104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _08683_ (.A(_02613_),
+    .B(_02656_),
     .Y(_03513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08767_ (.A(_01287_),
+ sky130_fd_sc_hd__a2bb2o_4 _08684_ (.A1_N(_02637_),
+    .A2_N(_03513_),
+    .B1(_02044_),
+    .B2(_02637_),
+    .X(_01103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08685_ (.A(_02531_),
     .X(_03514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08768_ (.A(_03514_),
+ sky130_fd_sc_hd__buf_2 _08686_ (.A(_02534_),
     .X(_03515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08769_ (.A(_03515_),
+ sky130_fd_sc_hd__a32o_4 _08687_ (.A1(_03514_),
+    .A2(_03515_),
+    .A3(_02198_),
+    .B1(_02197_),
+    .B2(_02674_),
     .X(_03516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08770_ (.A(_03516_),
+ sky130_fd_sc_hd__or2_4 _08688_ (.A(spi_debug[11]),
+    .B(spi_debug[10]),
     .X(_03517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08771_ (.A(_03517_),
+ sky130_fd_sc_hd__a211o_4 _08689_ (.A1(\u_spim_regs.cfg_m1_spi_seq[1] ),
+    .A2(_02043_),
+    .B1(_01962_),
+    .C1(_01993_),
     .X(_03518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08772_ (.A(_03518_),
-    .B(_03512_),
-    .X(_03519_),
+ sky130_fd_sc_hd__inv_2 _08690_ (.A(\u_spim_regs.cfg_m1_spi_seq[0] ),
+    .Y(_03519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08773_ (.A1(_03511_),
-    .A2(_03513_),
-    .A3(_01284_),
-    .B1(\u_m0_cmd_fifo.rd_ptr[1] ),
-    .B2(_03519_),
-    .X(_01121_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _08774_ (.A1(_03511_),
-    .A2(_03513_),
-    .B1(_03519_),
-    .X(_01120_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08775_ (.A(_01450_),
+ sky130_fd_sc_hd__or4_4 _08691_ (.A(\u_spim_regs.cfg_m1_spi_seq[3] ),
+    .B(\u_spim_regs.cfg_m1_spi_seq[2] ),
+    .C(_02020_),
+    .D(_03519_),
     .X(_03520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08776_ (.A1_N(_01315_),
-    .A2_N(_03520_),
-    .B1(\u_wb_if.NextPreAddr[1] ),
-    .B2(_03520_),
-    .X(_01119_),
+ sky130_fd_sc_hd__nand2_4 _08692_ (.A(_03518_),
+    .B(_03520_),
+    .Y(_03521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08777_ (.A1_N(_01290_),
-    .A2_N(_03520_),
-    .B1(\u_wb_if.NextPreAddr[0] ),
-    .B2(_03520_),
-    .X(_01118_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08778_ (.A(_02557_),
-    .X(_03521_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08779_ (.A(_02560_),
+ sky130_fd_sc_hd__o22a_4 _08693_ (.A1(_02020_),
+    .A2(_03519_),
+    .B1(_01963_),
+    .B2(_02527_),
     .X(_03522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _08780_ (.A1(_03521_),
-    .A2(_03522_),
-    .A3(_02213_),
-    .B1(_02212_),
-    .B2(_02675_),
+ sky130_fd_sc_hd__o22a_4 _08694_ (.A1(_02529_),
+    .A2(_02043_),
+    .B1(_01962_),
+    .B2(_01993_),
     .X(_03523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08781_ (.A(_01478_),
-    .B(spi_debug[10]),
-    .X(_03524_),
+ sky130_fd_sc_hd__nor2_4 _08695_ (.A(_03522_),
+    .B(_03523_),
+    .Y(_03524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08782_ (.A1(\u_spim_regs.cfg_m1_spi_seq[1] ),
-    .A2(_02049_),
-    .B1(_01965_),
-    .C1(_01995_),
+ sky130_fd_sc_hd__or4_4 _08696_ (.A(_01474_),
+    .B(_03517_),
+    .C(_03521_),
+    .D(_03524_),
     .X(_03525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08783_ (.A(\u_spim_regs.cfg_m1_spi_seq[0] ),
-    .Y(_03526_),
+ sky130_fd_sc_hd__or2_4 _08697_ (.A(\u_m1_cmd_fifo.full ),
+    .B(_03525_),
+    .X(_03526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08784_ (.A(\u_spim_regs.cfg_m1_spi_seq[3] ),
-    .B(\u_spim_regs.cfg_m1_spi_seq[2] ),
-    .C(_02027_),
-    .D(_03526_),
-    .X(_03527_),
+ sky130_fd_sc_hd__inv_2 _08698_ (.A(_03526_),
+    .Y(_03527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08785_ (.A(_03525_),
-    .B(_03527_),
-    .Y(_03528_),
+ sky130_fd_sc_hd__and4_4 _08699_ (.A(_02530_),
+    .B(_02519_),
+    .C(_02196_),
+    .D(_01498_),
+    .X(_03528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08786_ (.A1(_02027_),
-    .A2(_03526_),
-    .B1(_01966_),
-    .B2(_02554_),
+ sky130_fd_sc_hd__and4_4 _08700_ (.A(_02196_),
+    .B(_01471_),
+    .C(_02519_),
+    .D(\u_m1_cmd_fifo.full ),
     .X(_03529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08787_ (.A1(_02556_),
-    .A2(_02049_),
-    .B1(_01965_),
-    .B2(_01995_),
+ sky130_fd_sc_hd__and4_4 _08701_ (.A(_02530_),
+    .B(_01475_),
+    .C(_02196_),
+    .D(_02524_),
     .X(_03530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _08788_ (.A(_03529_),
-    .B(_03530_),
-    .Y(_03531_),
+ sky130_fd_sc_hd__or3_4 _08702_ (.A(_03528_),
+    .B(_03529_),
+    .C(_03530_),
+    .X(_03531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08789_ (.A(_01476_),
-    .B(_03524_),
-    .C(_03528_),
-    .D(_03531_),
-    .X(_03532_),
+ sky130_fd_sc_hd__inv_2 _08703_ (.A(\u_spim_regs.cur_cnt[1] ),
+    .Y(_03532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08790_ (.A(\u_m1_cmd_fifo.full ),
-    .B(_03532_),
+ sky130_fd_sc_hd__or3_4 _08704_ (.A(\u_spim_regs.cfg_m1_data_cnt[1] ),
+    .B(\u_spim_regs.cfg_m1_data_cnt[0] ),
+    .C(\u_spim_regs.cfg_m1_data_cnt[2] ),
     .X(_03533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08791_ (.A(_03533_),
-    .Y(_03534_),
+ sky130_fd_sc_hd__or2_4 _08705_ (.A(\u_spim_regs.cfg_m1_data_cnt[3] ),
+    .B(_03533_),
+    .X(_03534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08792_ (.A(_01471_),
-    .B(_02546_),
-    .C(_02211_),
-    .D(_01499_),
+ sky130_fd_sc_hd__a21bo_4 _08706_ (.A1(_01730_),
+    .A2(_03533_),
+    .B1_N(_03534_),
     .X(_03535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08793_ (.A(_02211_),
-    .B(_01472_),
-    .C(_02546_),
-    .D(\u_m1_cmd_fifo.full ),
-    .X(_03536_),
+ sky130_fd_sc_hd__nor2_4 _08707_ (.A(_03532_),
+    .B(_03535_),
+    .Y(_03536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _08794_ (.A(_01471_),
-    .B(_01476_),
-    .C(_02211_),
-    .D(_02551_),
-    .X(_03537_),
+ sky130_fd_sc_hd__inv_2 _08708_ (.A(_01764_),
+    .Y(_03537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08795_ (.A(_03535_),
-    .B(_03536_),
-    .C(_03537_),
-    .X(_03538_),
+ sky130_fd_sc_hd__nor2_4 _08709_ (.A(_01794_),
+    .B(_01824_),
+    .Y(_03538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08796_ (.A(\u_spim_regs.cur_cnt[1] ),
-    .Y(_03539_),
+ sky130_fd_sc_hd__o21a_4 _08710_ (.A1(_03537_),
+    .A2(_03538_),
+    .B1(_03533_),
+    .X(_03539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08797_ (.A(\u_spim_regs.cfg_m1_data_cnt[1] ),
-    .B(\u_spim_regs.cfg_m1_data_cnt[0] ),
-    .C(\u_spim_regs.cfg_m1_data_cnt[2] ),
+ sky130_fd_sc_hd__a2bb2o_4 _08711_ (.A1_N(\u_spim_regs.cur_cnt[0] ),
+    .A2_N(_03539_),
+    .B1(\u_spim_regs.cur_cnt[0] ),
+    .B2(_03539_),
     .X(_03540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08798_ (.A(\u_spim_regs.cfg_m1_data_cnt[3] ),
-    .B(_03540_),
+ sky130_fd_sc_hd__and2_4 _08712_ (.A(_03532_),
+    .B(_03535_),
     .X(_03541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _08799_ (.A1(_01728_),
-    .A2(_03540_),
-    .B1_N(_03541_),
+ sky130_fd_sc_hd__or2_4 _08713_ (.A(\u_spim_regs.cfg_m1_data_cnt[4] ),
+    .B(_03534_),
     .X(_03542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _08800_ (.A(_03539_),
-    .B(_03542_),
+ sky130_fd_sc_hd__inv_2 _08714_ (.A(_03542_),
     .Y(_03543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08801_ (.A(_01762_),
+ sky130_fd_sc_hd__a21oi_4 _08715_ (.A1(_01680_),
+    .A2(_03534_),
+    .B1(_03543_),
     .Y(_03544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _08802_ (.A(_01795_),
-    .B(_01826_),
-    .Y(_03545_),
+ sky130_fd_sc_hd__a2bb2o_4 _08716_ (.A1_N(\u_spim_regs.cur_cnt[2] ),
+    .A2_N(_03544_),
+    .B1(\u_spim_regs.cur_cnt[2] ),
+    .B2(_03544_),
+    .X(_03545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _08803_ (.A1(_03544_),
-    .A2(_03545_),
-    .B1(_03540_),
+ sky130_fd_sc_hd__or4_4 _08717_ (.A(_03536_),
+    .B(_03540_),
+    .C(_03541_),
+    .D(_03545_),
     .X(_03546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08804_ (.A1_N(\u_spim_regs.cur_cnt[0] ),
-    .A2_N(_03546_),
-    .B1(\u_spim_regs.cur_cnt[0] ),
-    .B2(_03546_),
+ sky130_fd_sc_hd__or2_4 _08718_ (.A(\u_spim_regs.cfg_m1_data_cnt[5] ),
+    .B(_03542_),
     .X(_03547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08805_ (.A(_03539_),
-    .B(_03542_),
+ sky130_fd_sc_hd__buf_2 _08719_ (.A(_03547_),
     .X(_03548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08806_ (.A(\u_spim_regs.cfg_m1_data_cnt[4] ),
-    .B(_03541_),
+ sky130_fd_sc_hd__o21a_4 _08720_ (.A1(_01632_),
+    .A2(_03543_),
+    .B1(_03548_),
     .X(_03549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08807_ (.A(_03549_),
-    .Y(_03550_),
+ sky130_fd_sc_hd__a2bb2o_4 _08721_ (.A1_N(\u_spim_regs.cur_cnt[3] ),
+    .A2_N(_03549_),
+    .B1(\u_spim_regs.cur_cnt[3] ),
+    .B2(_03549_),
+    .X(_03550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _08808_ (.A1(_01679_),
-    .A2(_03541_),
-    .B1(_03550_),
+ sky130_fd_sc_hd__nor2_4 _08722_ (.A(\u_spim_regs.cfg_m1_data_cnt[6] ),
+    .B(_03548_),
     .Y(_03551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08809_ (.A1_N(\u_spim_regs.cur_cnt[2] ),
-    .A2_N(_03551_),
-    .B1(\u_spim_regs.cur_cnt[2] ),
-    .B2(_03551_),
-    .X(_03552_),
+ sky130_fd_sc_hd__a21oi_4 _08723_ (.A1(_01602_),
+    .A2(_03548_),
+    .B1(_03551_),
+    .Y(_03552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08810_ (.A(_03543_),
-    .B(_03547_),
-    .C(_03548_),
-    .D(_03552_),
+ sky130_fd_sc_hd__a2bb2o_4 _08724_ (.A1_N(\u_spim_regs.cur_cnt[4] ),
+    .A2_N(_03552_),
+    .B1(\u_spim_regs.cur_cnt[4] ),
+    .B2(_03552_),
     .X(_03553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08811_ (.A(\u_spim_regs.cfg_m1_data_cnt[5] ),
-    .B(_03549_),
-    .X(_03554_),
+ sky130_fd_sc_hd__inv_2 _08725_ (.A(\u_spim_regs.cur_cnt[5] ),
+    .Y(_03554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08812_ (.A(_03554_),
-    .X(_03555_),
+ sky130_fd_sc_hd__inv_2 _08726_ (.A(\u_spim_regs.cfg_m1_data_cnt[7] ),
+    .Y(_03555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _08813_ (.A1(_01630_),
-    .A2(_03550_),
+ sky130_fd_sc_hd__a2bb2o_4 _08727_ (.A1_N(_03555_),
+    .A2_N(_03551_),
     .B1(_03555_),
+    .B2(_03551_),
     .X(_03556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08814_ (.A1_N(\u_spim_regs.cur_cnt[3] ),
+ sky130_fd_sc_hd__a2bb2o_4 _08728_ (.A1_N(_03554_),
     .A2_N(_03556_),
-    .B1(\u_spim_regs.cur_cnt[3] ),
+    .B1(_03554_),
     .B2(_03556_),
     .X(_03557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _08815_ (.A(\u_spim_regs.cfg_m1_data_cnt[6] ),
-    .B(_03555_),
-    .Y(_03558_),
+ sky130_fd_sc_hd__or4_4 _08729_ (.A(_03546_),
+    .B(_03550_),
+    .C(_03553_),
+    .D(_03557_),
+    .X(_03558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _08816_ (.A1(_01600_),
-    .A2(_03555_),
-    .B1(_03558_),
-    .Y(_03559_),
+ sky130_fd_sc_hd__or2_4 _08730_ (.A(_01477_),
+    .B(_03558_),
+    .X(_03559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08817_ (.A1_N(\u_spim_regs.cur_cnt[4] ),
-    .A2_N(_03559_),
-    .B1(\u_spim_regs.cur_cnt[4] ),
-    .B2(_03559_),
-    .X(_03560_),
+ sky130_fd_sc_hd__nor2_4 _08731_ (.A(_01472_),
+    .B(_03559_),
+    .Y(_03560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08818_ (.A(\u_spim_regs.cfg_m1_data_cnt[7] ),
-    .Y(_03561_),
+ sky130_fd_sc_hd__or4_4 _08732_ (.A(_01477_),
+    .B(_03527_),
+    .C(_03531_),
+    .D(_03560_),
+    .X(_03561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08819_ (.A(\u_spim_regs.cur_cnt[5] ),
+ sky130_fd_sc_hd__inv_2 _08733_ (.A(\u_spim_regs.cfg_m1_fsm_reset[0] ),
     .Y(_03562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08820_ (.A1_N(_03562_),
-    .A2_N(_03558_),
+ sky130_fd_sc_hd__o21a_4 _08734_ (.A1(_03516_),
+    .A2(_03561_),
     .B1(_03562_),
-    .B2(_03558_),
     .X(_03563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08821_ (.A1_N(_03561_),
-    .A2_N(_03563_),
-    .B1(_03561_),
-    .B2(_03563_),
-    .X(_03564_),
+ sky130_fd_sc_hd__inv_2 _08735_ (.A(_03563_),
+    .Y(_03564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08822_ (.A(_03553_),
-    .B(_03557_),
-    .C(_03560_),
-    .D(_03564_),
-    .X(_03565_),
+ sky130_fd_sc_hd__inv_2 _08736_ (.A(\u_spim_regs.cur_cnt[4] ),
+    .Y(_03565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08823_ (.A(_01478_),
-    .B(_03565_),
-    .X(_03566_),
+ sky130_fd_sc_hd__inv_2 _08737_ (.A(\u_spim_regs.cur_cnt[0] ),
+    .Y(_03566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _08824_ (.A(_01473_),
-    .B(_03566_),
-    .Y(_03567_),
+ sky130_fd_sc_hd__and2_4 _08738_ (.A(_03562_),
+    .B(_03561_),
+    .X(_03567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08825_ (.A(_01479_),
-    .B(_03534_),
-    .C(_03538_),
-    .D(_03567_),
+ sky130_fd_sc_hd__or2_4 _08739_ (.A(_03566_),
+    .B(_03567_),
     .X(_03568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08826_ (.A(\u_spim_regs.cfg_m1_fsm_reset[0] ),
+ sky130_fd_sc_hd__nor2_4 _08740_ (.A(_03532_),
+    .B(_03568_),
     .Y(_03569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _08827_ (.A1(_03523_),
-    .A2(_03568_),
-    .B1(_03569_),
+ sky130_fd_sc_hd__and2_4 _08741_ (.A(\u_spim_regs.cur_cnt[2] ),
+    .B(_03569_),
     .X(_03570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08828_ (.A(_03570_),
+ sky130_fd_sc_hd__nand2_4 _08742_ (.A(\u_spim_regs.cur_cnt[3] ),
+    .B(_03570_),
     .Y(_03571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08829_ (.A(\u_spim_regs.cur_cnt[4] ),
-    .Y(_03572_),
+ sky130_fd_sc_hd__or2_4 _08743_ (.A(_03565_),
+    .B(_03571_),
+    .X(_03572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08830_ (.A(\u_spim_regs.cur_cnt[0] ),
+ sky130_fd_sc_hd__inv_2 _08744_ (.A(_03572_),
     .Y(_03573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08831_ (.A(_03569_),
-    .B(_03568_),
+ sky130_fd_sc_hd__o22a_4 _08745_ (.A1(_03554_),
+    .A2(_03573_),
+    .B1(\u_spim_regs.cur_cnt[5] ),
+    .B2(_03572_),
     .X(_03574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08832_ (.A(_03573_),
+ sky130_fd_sc_hd__nor2_4 _08746_ (.A(_03564_),
     .B(_03574_),
+    .Y(_01102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _08747_ (.A1(_03565_),
+    .A2(_03571_),
+    .B1(_03564_),
+    .C1(_03573_),
     .X(_03575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _08833_ (.A(_03539_),
-    .B(_03575_),
-    .Y(_03576_),
+ sky130_fd_sc_hd__inv_2 _08748_ (.A(_03575_),
+    .Y(_01101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08834_ (.A(\u_spim_regs.cur_cnt[2] ),
-    .B(_03576_),
-    .X(_03577_),
+ sky130_fd_sc_hd__or2_4 _08749_ (.A(\u_spim_regs.cur_cnt[3] ),
+    .B(_03570_),
+    .X(_03576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _08835_ (.A(\u_spim_regs.cur_cnt[3] ),
-    .B(_03577_),
-    .Y(_03578_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08836_ (.A(_03572_),
-    .B(_03578_),
-    .X(_03579_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08837_ (.A(_03579_),
-    .Y(_03580_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08838_ (.A1(_03562_),
-    .A2(_03580_),
-    .B1(\u_spim_regs.cur_cnt[5] ),
-    .B2(_03579_),
-    .X(_03581_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _08839_ (.A(_03571_),
-    .B(_03581_),
-    .Y(_01117_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08840_ (.A1(_03572_),
-    .A2(_03578_),
-    .B1(_03571_),
-    .C1(_03580_),
-    .X(_03582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08841_ (.A(_03582_),
-    .Y(_01116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08842_ (.A(\u_spim_regs.cur_cnt[3] ),
-    .B(_03577_),
-    .X(_03583_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08843_ (.A(_03570_),
-    .B(_03578_),
-    .C(_03583_),
-    .X(_01115_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08844_ (.A(_03577_),
-    .Y(_03584_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08845_ (.A(\u_spim_regs.cur_cnt[2] ),
-    .B(_03576_),
-    .X(_03585_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _08846_ (.A(_03570_),
-    .B(_03584_),
-    .C(_03585_),
-    .X(_01114_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08847_ (.A1(_03539_),
-    .A2(_03575_),
-    .B1(_03571_),
-    .C1(_03576_),
-    .X(_03586_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08848_ (.A(_03586_),
-    .Y(_01113_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08849_ (.A(_03524_),
-    .Y(_03587_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _08850_ (.A(_02302_),
-    .B(_03587_),
-    .C(_02676_),
-    .D(_03574_),
-    .X(_03588_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08851_ (.A(_03588_),
-    .Y(_03589_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _08852_ (.A1(\u_spim_regs.cur_cnt[0] ),
-    .A2(_03589_),
-    .B1(_03575_),
-    .X(_01112_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08853_ (.A(_02601_),
-    .B(\u_spim_regs.spim_reg_wdata[31] ),
-    .X(_03590_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08854_ (.A(\u_spim_regs.spim_reg_be[3] ),
-    .Y(_03591_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08855_ (.A(_03591_),
-    .B(_02592_),
-    .X(_03592_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08856_ (.A(_02595_),
-    .B(_03592_),
-    .X(_03593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08857_ (.A(_03593_),
-    .X(_03594_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08858_ (.A(_03593_),
-    .Y(_03595_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08859_ (.A(_03595_),
-    .X(_03596_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08860_ (.A1(_03590_),
-    .A2(_03594_),
-    .B1(\u_spim_regs.cfg_m1_data_cnt[7] ),
-    .B2(_03596_),
-    .X(_01111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08861_ (.A(_02660_),
-    .B(\u_spim_regs.spim_reg_wdata[30] ),
-    .X(_03597_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08862_ (.A1(_03594_),
-    .A2(_03597_),
-    .B1(_01600_),
-    .B2(_03596_),
-    .X(_01110_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08863_ (.A(_03593_),
-    .X(_03598_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08864_ (.A(_02628_),
-    .X(_03599_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08865_ (.A(_03599_),
-    .B(\u_spim_regs.spim_reg_wdata[29] ),
-    .X(_03600_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08866_ (.A1(_03598_),
-    .A2(_03600_),
-    .B1(\u_spim_regs.cfg_m1_data_cnt[5] ),
-    .B2(_03596_),
-    .X(_01109_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08867_ (.A(_03599_),
-    .B(\u_spim_regs.spim_reg_wdata[28] ),
-    .X(_03601_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08868_ (.A1(_03598_),
-    .A2(_03601_),
-    .B1(_01679_),
-    .B2(_03596_),
-    .X(_01108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08869_ (.A(_03599_),
-    .B(\u_spim_regs.spim_reg_wdata[27] ),
-    .X(_03602_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08870_ (.A1(_03598_),
-    .A2(_03602_),
-    .B1(_01728_),
-    .B2(_03595_),
-    .X(_01107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08871_ (.A(_03599_),
-    .B(\u_spim_regs.spim_reg_wdata[26] ),
-    .X(_03603_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08872_ (.A1(_03598_),
-    .A2(_03603_),
-    .B1(_01762_),
-    .B2(_03595_),
-    .X(_01106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08873_ (.A(_02583_),
-    .Y(_03604_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08874_ (.A(_02584_),
-    .B(\u_spim_regs.spim_reg_wdata[25] ),
-    .X(_03605_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _08875_ (.A(_03604_),
-    .B(_03605_),
-    .Y(_03606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08876_ (.A1_N(_03594_),
-    .A2_N(_03606_),
-    .B1(_01795_),
-    .B2(_03594_),
-    .X(_01105_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _08877_ (.A(_02601_),
-    .B(\u_spim_regs.spim_reg_wdata[24] ),
-    .X(_03607_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08878_ (.A1(_03593_),
-    .A2(_03607_),
-    .B1(_01826_),
-    .B2(_03595_),
-    .X(_01104_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08879_ (.A(_02595_),
-    .B(_02648_),
-    .X(_03608_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08880_ (.A(_03608_),
-    .X(_03609_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08881_ (.A(_03608_),
-    .Y(_03610_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08882_ (.A(_03610_),
-    .X(_03611_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08883_ (.A1(_02653_),
-    .A2(_03609_),
-    .B1(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
-    .B2(_03611_),
-    .X(_01103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08884_ (.A1(_02656_),
-    .A2(_03609_),
-    .B1(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
-    .B2(_03611_),
-    .X(_01102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08885_ (.A(_03608_),
-    .X(_03612_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08886_ (.A1(_02657_),
-    .A2(_03612_),
-    .B1(\u_spim_regs.cfg_m1_addr_cnt[1] ),
-    .B2(_03611_),
-    .X(_01101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08887_ (.A1(_02658_),
-    .A2(_03612_),
-    .B1(\u_spim_regs.cfg_m1_addr_cnt[0] ),
-    .B2(_03611_),
+ sky130_fd_sc_hd__and3_4 _08750_ (.A(_03563_),
+    .B(_03571_),
+    .C(_03576_),
     .X(_01100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08888_ (.A1(_02661_),
-    .A2(_03612_),
-    .B1(_02553_),
-    .B2(_03610_),
+ sky130_fd_sc_hd__inv_2 _08751_ (.A(_03570_),
+    .Y(_03577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08752_ (.A(\u_spim_regs.cur_cnt[2] ),
+    .B(_03569_),
+    .X(_03578_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _08753_ (.A(_03563_),
+    .B(_03577_),
+    .C(_03578_),
     .X(_01099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08889_ (.A1(_02663_),
-    .A2(_03612_),
-    .B1(_02554_),
-    .B2(_03610_),
-    .X(_01098_),
+ sky130_fd_sc_hd__a211o_4 _08754_ (.A1(_03532_),
+    .A2(_03568_),
+    .B1(_03564_),
+    .C1(_03569_),
+    .X(_03579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08890_ (.A1(_02664_),
-    .A2(_03608_),
-    .B1(_02556_),
-    .B2(_03610_),
+ sky130_fd_sc_hd__inv_2 _08755_ (.A(_03579_),
+    .Y(_01098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08756_ (.A(_03517_),
+    .Y(_03580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _08757_ (.A(_02283_),
+    .B(_03580_),
+    .C(_02676_),
+    .D(_03567_),
+    .X(_03581_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08758_ (.A(_03581_),
+    .Y(_03582_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _08759_ (.A1(\u_spim_regs.cur_cnt[0] ),
+    .A2(_03582_),
+    .B1(_03568_),
     .X(_01097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _08891_ (.A(_03604_),
-    .B(_02665_),
-    .Y(_03613_),
+ sky130_fd_sc_hd__buf_2 _08760_ (.A(\u_spim_regs.spim_reg_wdata[8] ),
+    .X(_03583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08892_ (.A1_N(_03609_),
-    .A2_N(_03613_),
-    .B1(_02050_),
-    .B2(_03609_),
+ sky130_fd_sc_hd__inv_2 _08761_ (.A(_03583_),
+    .Y(_03584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08762_ (.A(_02614_),
+    .B(_02591_),
+    .X(_03585_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08763_ (.A(_02284_),
+    .B(_03585_),
+    .X(_03586_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08764_ (.A1_N(_03584_),
+    .A2_N(_03586_),
+    .B1(_02283_),
+    .B2(_03586_),
     .X(_01096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08893_ (.A(\u_spim_regs.spim_reg_wdata[8] ),
-    .X(_03614_),
+ sky130_fd_sc_hd__nor2_4 _08765_ (.A(_02084_),
+    .B(_03585_),
+    .Y(_03587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08894_ (.A(_03614_),
-    .Y(_03615_),
+ sky130_fd_sc_hd__buf_2 _08766_ (.A(_03587_),
+    .X(_03588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08895_ (.A(_02589_),
-    .B(_02590_),
-    .X(_03616_),
+ sky130_fd_sc_hd__buf_2 _08767_ (.A(\u_spim_regs.spim_reg_wdata[3] ),
+    .X(_03589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08896_ (.A(_03616_),
-    .X(_03617_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _08897_ (.A(_02303_),
-    .B(_03617_),
-    .X(_03618_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08898_ (.A1_N(_03615_),
-    .A2_N(_03618_),
-    .B1(_02302_),
-    .B2(_03618_),
+ sky130_fd_sc_hd__a2bb2o_4 _08768_ (.A1_N(_02415_),
+    .A2_N(_03588_),
+    .B1(_03589_),
+    .B2(_03588_),
     .X(_01095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _08899_ (.A(_02090_),
-    .B(_03617_),
-    .Y(_03619_),
+ sky130_fd_sc_hd__buf_2 _08769_ (.A(\u_spim_regs.spim_reg_wdata[2] ),
+    .X(_03590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08900_ (.A(_03619_),
-    .X(_03620_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08901_ (.A(\u_spim_regs.spim_reg_wdata[3] ),
-    .X(_03621_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08902_ (.A1_N(_02436_),
-    .A2_N(_03620_),
-    .B1(_03621_),
-    .B2(_03620_),
+ sky130_fd_sc_hd__a2bb2o_4 _08770_ (.A1_N(_02443_),
+    .A2_N(_03588_),
+    .B1(_03590_),
+    .B2(_03588_),
     .X(_01094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08903_ (.A(\u_spim_regs.spim_reg_wdata[2] ),
-    .X(_03622_),
+ sky130_fd_sc_hd__buf_2 _08771_ (.A(_03587_),
+    .X(_03591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08904_ (.A1_N(_02465_),
-    .A2_N(_03620_),
-    .B1(_03622_),
-    .B2(_03620_),
+ sky130_fd_sc_hd__buf_2 _08772_ (.A(\u_spim_regs.spim_reg_wdata[1] ),
+    .X(_03592_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08773_ (.A1_N(_02466_),
+    .A2_N(_03591_),
+    .B1(_03592_),
+    .B2(_03591_),
     .X(_01093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08905_ (.A(_03619_),
-    .X(_03623_),
+ sky130_fd_sc_hd__buf_2 _08774_ (.A(\u_spim_regs.spim_reg_wdata[0] ),
+    .X(_03593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08906_ (.A(\u_spim_regs.spim_reg_wdata[1] ),
-    .X(_03624_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08907_ (.A1_N(_02490_),
-    .A2_N(_03623_),
-    .B1(_03624_),
-    .B2(_03623_),
+ sky130_fd_sc_hd__a2bb2o_4 _08775_ (.A1_N(_02491_),
+    .A2_N(_03591_),
+    .B1(_03593_),
+    .B2(_03591_),
     .X(_01092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08908_ (.A(\u_spim_regs.spim_reg_wdata[0] ),
-    .X(_03625_),
+ sky130_fd_sc_hd__a211o_4 _08776_ (.A1(_02550_),
+    .A2(_02284_),
+    .B1(_02593_),
+    .C1(_02615_),
+    .X(_03594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08909_ (.A1_N(_02515_),
-    .A2_N(_03623_),
-    .B1(_03625_),
-    .B2(_03623_),
+ sky130_fd_sc_hd__buf_2 _08777_ (.A(_03594_),
+    .X(_03595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08778_ (.A(_03594_),
+    .Y(_03596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08779_ (.A(_03596_),
+    .X(_03597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08780_ (.A1(_02620_),
+    .A2(_03595_),
+    .B1(\u_spictrl.cfg_m1_spi_switch[1] ),
+    .B2(_03597_),
     .X(_01091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _08910_ (.A1(_02581_),
-    .A2(_02303_),
-    .B1(_02593_),
-    .C1(_02594_),
-    .X(_03626_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08911_ (.A(_03626_),
-    .X(_03627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08912_ (.A(_03627_),
-    .X(_03628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08913_ (.A(_03626_),
-    .Y(_03629_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08914_ (.A(_03629_),
-    .X(_03630_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08915_ (.A1(_02586_),
-    .A2(_03628_),
-    .B1(\u_spictrl.cfg_m1_spi_switch[1] ),
-    .B2(_03630_),
+ sky130_fd_sc_hd__o22a_4 _08781_ (.A1(_02624_),
+    .A2(_03595_),
+    .B1(\u_spictrl.cfg_m1_spi_switch[0] ),
+    .B2(_03597_),
     .X(_01090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08916_ (.A1(_02603_),
-    .A2(_03628_),
-    .B1(\u_spictrl.cfg_m1_spi_switch[0] ),
-    .B2(_03630_),
+ sky130_fd_sc_hd__o22a_4 _08782_ (.A1(_02626_),
+    .A2(_03595_),
+    .B1(\u_spictrl.cfg_m1_spi_mode[1] ),
+    .B2(_03597_),
     .X(_01089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08917_ (.A(_03629_),
-    .X(_03631_),
+ sky130_fd_sc_hd__buf_2 _08783_ (.A(_03594_),
+    .X(_03598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08918_ (.A1(_02607_),
-    .A2(_03628_),
-    .B1(\u_spictrl.cfg_m1_spi_mode[1] ),
-    .B2(_03631_),
+ sky130_fd_sc_hd__o22a_4 _08784_ (.A1(_02628_),
+    .A2(_03598_),
+    .B1(\u_spictrl.cfg_m1_spi_mode[0] ),
+    .B2(_03597_),
     .X(_01088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08919_ (.A1(_02609_),
-    .A2(_03628_),
-    .B1(\u_spictrl.cfg_m1_spi_mode[0] ),
-    .B2(_03631_),
+ sky130_fd_sc_hd__buf_2 _08785_ (.A(_03596_),
+    .X(_03599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08786_ (.A1(_02630_),
+    .A2(_03598_),
+    .B1(\u_spictrl.cfg_m1_cs_reg[3] ),
+    .B2(_03599_),
     .X(_01087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08920_ (.A1(_02610_),
-    .A2(_03627_),
-    .B1(\u_spictrl.cfg_m1_cs_reg[3] ),
-    .B2(_03631_),
+ sky130_fd_sc_hd__o22a_4 _08787_ (.A1(_02632_),
+    .A2(_03598_),
+    .B1(\u_spictrl.cfg_m1_cs_reg[2] ),
+    .B2(_03599_),
     .X(_01086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08921_ (.A1(_02611_),
-    .A2(_03627_),
-    .B1(\u_spictrl.cfg_m1_cs_reg[2] ),
-    .B2(_03631_),
+ sky130_fd_sc_hd__o22a_4 _08788_ (.A1(_02633_),
+    .A2(_03598_),
+    .B1(\u_spictrl.cfg_m1_cs_reg[1] ),
+    .B2(_03599_),
     .X(_01085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _08922_ (.A1(_02615_),
-    .A2(_03627_),
-    .B1(\u_spictrl.cfg_m1_cs_reg[1] ),
-    .B2(_03629_),
+ sky130_fd_sc_hd__o22a_4 _08789_ (.A1(\u_spictrl.cfg_m1_cs_reg[0] ),
+    .A2(_03599_),
+    .B1(_02669_),
+    .B2(_03595_),
     .X(_01084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08923_ (.A1_N(_02518_),
-    .A2_N(_03630_),
-    .B1(_02617_),
-    .B2(_03630_),
+ sky130_fd_sc_hd__inv_2 _08790_ (.A(\u_spim_regs.cfg_m0_mode_reg[7] ),
+    .Y(_03600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08791_ (.A(_02557_),
+    .X(_03601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _08792_ (.A(\u_spim_regs.spim_reg_be[1] ),
+    .B(_03601_),
+    .C(_02420_),
+    .X(_03602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08793_ (.A(_03602_),
+    .X(_03603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08794_ (.A1_N(_03600_),
+    .A2_N(_03603_),
+    .B1(_02553_),
+    .B2(_03603_),
     .X(_01083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08924_ (.A(_02590_),
-    .X(_03632_),
+ sky130_fd_sc_hd__inv_2 _08795_ (.A(\u_spim_regs.cfg_m0_mode_reg[6] ),
+    .Y(_03604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08925_ (.A(_02622_),
-    .B(_03632_),
-    .C(_01509_),
-    .X(_03633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08926_ (.A(_03633_),
-    .Y(_03634_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08927_ (.A(_03634_),
-    .X(_03635_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08928_ (.A1_N(_02095_),
-    .A2_N(_03635_),
-    .B1(_02620_),
-    .B2(_03635_),
+ sky130_fd_sc_hd__a2bb2o_4 _08796_ (.A1_N(_03604_),
+    .A2_N(_03603_),
+    .B1(_02573_),
+    .B2(_03603_),
     .X(_01082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08929_ (.A1_N(_02120_),
-    .A2_N(_03635_),
-    .B1(_02630_),
-    .B2(_03635_),
+ sky130_fd_sc_hd__inv_2 _08797_ (.A(\u_spim_regs.cfg_m0_mode_reg[5] ),
+    .Y(_03605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08798_ (.A(_03602_),
+    .X(_03606_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08799_ (.A1_N(_03605_),
+    .A2_N(_03606_),
+    .B1(_02577_),
+    .B2(_03606_),
     .X(_01081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08930_ (.A(_03634_),
-    .X(_03636_),
+ sky130_fd_sc_hd__inv_2 _08800_ (.A(\u_spim_regs.cfg_m0_mode_reg[4] ),
+    .Y(_03607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08931_ (.A1_N(_02152_),
-    .A2_N(_03636_),
-    .B1(_02632_),
-    .B2(_03636_),
+ sky130_fd_sc_hd__a2bb2o_4 _08801_ (.A1_N(_03607_),
+    .A2_N(_03606_),
+    .B1(_02579_),
+    .B2(_03606_),
     .X(_01080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08932_ (.A1_N(_02180_),
-    .A2_N(_03636_),
-    .B1(_02635_),
-    .B2(_03636_),
+ sky130_fd_sc_hd__inv_2 _08802_ (.A(\u_spim_regs.cfg_m0_mode_reg[3] ),
+    .Y(_03608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08803_ (.A(_03602_),
+    .X(_03609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08804_ (.A1_N(_03608_),
+    .A2_N(_03609_),
+    .B1(_02582_),
+    .B2(_03609_),
     .X(_01079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08933_ (.A(_03634_),
-    .X(_03637_),
+ sky130_fd_sc_hd__inv_2 _08805_ (.A(\u_spim_regs.cfg_m0_mode_reg[2] ),
+    .Y(_03610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08934_ (.A1_N(_02206_),
-    .A2_N(_03637_),
-    .B1(_02638_),
-    .B2(_03637_),
+ sky130_fd_sc_hd__a2bb2o_4 _08806_ (.A1_N(_03610_),
+    .A2_N(_03609_),
+    .B1(_02585_),
+    .B2(_03609_),
     .X(_01078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08935_ (.A1_N(_02235_),
-    .A2_N(_03637_),
-    .B1(_02641_),
-    .B2(_03637_),
+ sky130_fd_sc_hd__inv_2 _08807_ (.A(\u_spim_regs.cfg_m0_mode_reg[1] ),
+    .Y(_03611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08808_ (.A(_03602_),
+    .X(_03612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08809_ (.A1_N(_03611_),
+    .A2_N(_03612_),
+    .B1(_02588_),
+    .B2(_03612_),
     .X(_01077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08936_ (.A(_03634_),
-    .X(_03638_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08937_ (.A1_N(_02270_),
-    .A2_N(_03638_),
-    .B1(_02643_),
-    .B2(_03638_),
+ sky130_fd_sc_hd__a2bb2o_4 _08810_ (.A1_N(_02281_),
+    .A2_N(_03612_),
+    .B1(_03583_),
+    .B2(_03612_),
     .X(_01076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08938_ (.A1_N(_02300_),
-    .A2_N(_03638_),
-    .B1(_03614_),
-    .B2(_03638_),
+ sky130_fd_sc_hd__and3_4 _08811_ (.A(\u_spim_regs.spim_reg_be[0] ),
+    .B(_03601_),
+    .C(_02420_),
+    .X(_03613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08812_ (.A(_03613_),
+    .X(_03614_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08813_ (.A1_N(_02312_),
+    .A2_N(_03614_),
+    .B1(_02619_),
+    .B2(_03614_),
     .X(_01075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _08939_ (.A(_01510_),
-    .B(_03617_),
-    .Y(_03639_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08940_ (.A(_03639_),
-    .X(_03640_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08941_ (.A1_N(_02331_),
-    .A2_N(_03640_),
-    .B1(_02585_),
-    .B2(_03640_),
+ sky130_fd_sc_hd__a2bb2o_4 _08814_ (.A1_N(_02346_),
+    .A2_N(_03614_),
+    .B1(_02623_),
+    .B2(_03614_),
     .X(_01074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08942_ (.A1_N(_02366_),
-    .A2_N(_03640_),
-    .B1(_02602_),
-    .B2(_03640_),
+ sky130_fd_sc_hd__buf_2 _08815_ (.A(_03613_),
+    .X(_03615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08816_ (.A1_N(_02370_),
+    .A2_N(_03615_),
+    .B1(_02625_),
+    .B2(_03615_),
     .X(_01073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08943_ (.A(_03639_),
-    .X(_03641_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08944_ (.A1_N(_02391_),
-    .A2_N(_03641_),
-    .B1(_02606_),
-    .B2(_03641_),
+ sky130_fd_sc_hd__a2bb2o_4 _08817_ (.A1_N(_02393_),
+    .A2_N(_03615_),
+    .B1(_02627_),
+    .B2(_03615_),
     .X(_01072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08945_ (.A1_N(_02414_),
-    .A2_N(_03641_),
-    .B1(_02608_),
-    .B2(_03641_),
+ sky130_fd_sc_hd__inv_2 _08818_ (.A(\u_spim_regs.cfg_m0_cmd_reg[3] ),
+    .Y(_03616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08819_ (.A(_03613_),
+    .X(_03617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08820_ (.A1_N(_03616_),
+    .A2_N(_03617_),
+    .B1(_03589_),
+    .B2(_03617_),
     .X(_01071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08946_ (.A(_03639_),
-    .X(_03642_),
+ sky130_fd_sc_hd__inv_2 _08821_ (.A(\u_spim_regs.cfg_m0_cmd_reg[2] ),
+    .Y(_03618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08947_ (.A1_N(_02442_),
-    .A2_N(_03642_),
-    .B1(_03621_),
-    .B2(_03642_),
+ sky130_fd_sc_hd__a2bb2o_4 _08822_ (.A1_N(_03618_),
+    .A2_N(_03617_),
+    .B1(_03590_),
+    .B2(_03617_),
     .X(_01070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08948_ (.A1_N(_02470_),
-    .A2_N(_03642_),
-    .B1(_03622_),
-    .B2(_03642_),
+ sky130_fd_sc_hd__buf_2 _08823_ (.A(_03613_),
+    .X(_03619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08824_ (.A1_N(_02471_),
+    .A2_N(_03619_),
+    .B1(_03592_),
+    .B2(_03619_),
     .X(_01069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08949_ (.A(_03639_),
-    .X(_03643_),
+ sky130_fd_sc_hd__inv_2 _08825_ (.A(\u_spim_regs.cfg_m0_cmd_reg[0] ),
+    .Y(_03620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08950_ (.A1_N(_02495_),
-    .A2_N(_03643_),
-    .B1(_03624_),
-    .B2(_03643_),
+ sky130_fd_sc_hd__a2bb2o_4 _08826_ (.A1_N(_03620_),
+    .A2_N(_03619_),
+    .B1(_03593_),
+    .B2(_03619_),
     .X(_01068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08951_ (.A1_N(_02524_),
-    .A2_N(_03643_),
-    .B1(_03625_),
-    .B2(_03643_),
+ sky130_fd_sc_hd__inv_2 _08827_ (.A(\u_spim_regs.cfg_m0_data_cnt[7] ),
+    .Y(_03621_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _08828_ (.A(\u_spim_regs.spim_reg_be[3] ),
+    .B(_01509_),
+    .C(_03601_),
+    .X(_03622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08829_ (.A(_03622_),
+    .X(_03623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08830_ (.A1_N(_03621_),
+    .A2_N(_03623_),
+    .B1(\u_spim_regs.spim_reg_wdata[31] ),
+    .B2(_03623_),
     .X(_01067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08952_ (.A(_03591_),
-    .B(_02367_),
-    .C(_03632_),
-    .X(_03644_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08953_ (.A(_03644_),
-    .Y(_03645_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08954_ (.A(_03645_),
-    .X(_03646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08955_ (.A1_N(_01505_),
-    .A2_N(_03646_),
-    .B1(\u_spim_regs.spim_reg_wdata[31] ),
-    .B2(_03646_),
+ sky130_fd_sc_hd__a2bb2o_4 _08831_ (.A1_N(_01279_),
+    .A2_N(_03623_),
+    .B1(\u_spim_regs.spim_reg_wdata[30] ),
+    .B2(_03623_),
     .X(_01066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08956_ (.A1_N(_01278_),
-    .A2_N(_03646_),
-    .B1(\u_spim_regs.spim_reg_wdata[30] ),
-    .B2(_03646_),
+ sky130_fd_sc_hd__buf_2 _08832_ (.A(_03622_),
+    .X(_03624_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08833_ (.A1_N(_01441_),
+    .A2_N(_03624_),
+    .B1(\u_spim_regs.spim_reg_wdata[29] ),
+    .B2(_03624_),
     .X(_01065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08957_ (.A(_03645_),
-    .X(_03647_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08958_ (.A1_N(_01441_),
-    .A2_N(_03647_),
-    .B1(\u_spim_regs.spim_reg_wdata[29] ),
-    .B2(_03647_),
+ sky130_fd_sc_hd__a2bb2o_4 _08834_ (.A1_N(_01444_),
+    .A2_N(_03624_),
+    .B1(\u_spim_regs.spim_reg_wdata[28] ),
+    .B2(_03624_),
     .X(_01064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08959_ (.A1_N(_01446_),
-    .A2_N(_03647_),
-    .B1(\u_spim_regs.spim_reg_wdata[28] ),
-    .B2(_03647_),
+ sky130_fd_sc_hd__buf_2 _08835_ (.A(_03622_),
+    .X(_03625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08836_ (.A1_N(_01452_),
+    .A2_N(_03625_),
+    .B1(\u_spim_regs.spim_reg_wdata[27] ),
+    .B2(_03625_),
     .X(_01063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08960_ (.A(_03645_),
-    .X(_03648_),
+ sky130_fd_sc_hd__inv_2 _08837_ (.A(\u_spim_regs.cfg_m0_data_cnt[2] ),
+    .Y(_03626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08961_ (.A1_N(_01451_),
-    .A2_N(_03648_),
-    .B1(\u_spim_regs.spim_reg_wdata[27] ),
-    .B2(_03648_),
+ sky130_fd_sc_hd__a2bb2o_4 _08838_ (.A1_N(_03626_),
+    .A2_N(_03625_),
+    .B1(\u_spim_regs.spim_reg_wdata[26] ),
+    .B2(_03625_),
     .X(_01062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08962_ (.A1_N(_01758_),
-    .A2_N(_03648_),
-    .B1(\u_spim_regs.spim_reg_wdata[26] ),
-    .B2(_03648_),
+ sky130_fd_sc_hd__inv_2 _08839_ (.A(\u_spim_regs.cfg_m0_data_cnt[1] ),
+    .Y(_03627_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08840_ (.A(_03622_),
+    .X(_03628_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08841_ (.A1_N(_03627_),
+    .A2_N(_03628_),
+    .B1(\u_spim_regs.spim_reg_wdata[25] ),
+    .B2(_03628_),
     .X(_01061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08963_ (.A(_03645_),
-    .X(_03649_),
+ sky130_fd_sc_hd__inv_2 _08842_ (.A(\u_spim_regs.cfg_m0_data_cnt[0] ),
+    .Y(_03629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08964_ (.A1_N(_01791_),
-    .A2_N(_03649_),
-    .B1(\u_spim_regs.spim_reg_wdata[25] ),
-    .B2(_03649_),
+ sky130_fd_sc_hd__a2bb2o_4 _08843_ (.A1_N(_03629_),
+    .A2_N(_03628_),
+    .B1(\u_spim_regs.spim_reg_wdata[24] ),
+    .B2(_03628_),
     .X(_01060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08965_ (.A1_N(_01823_),
-    .A2_N(_03649_),
-    .B1(\u_spim_regs.spim_reg_wdata[24] ),
-    .B2(_03649_),
+ sky130_fd_sc_hd__inv_2 _08844_ (.A(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
+    .Y(_03630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _08845_ (.A(\u_spim_regs.spim_reg_be[2] ),
+    .B(_01509_),
+    .C(_03601_),
+    .X(_03631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08846_ (.A(_03631_),
+    .X(_03632_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08847_ (.A1_N(_03630_),
+    .A2_N(_03632_),
+    .B1(\u_spim_regs.spim_reg_wdata[23] ),
+    .B2(_03632_),
     .X(_01059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08966_ (.A(_02647_),
-    .B(_01633_),
-    .C(_03632_),
-    .X(_03650_),
+ sky130_fd_sc_hd__inv_2 _08848_ (.A(\u_spim_regs.cfg_m0_dummy_cnt[0] ),
+    .Y(_03633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08967_ (.A(_03650_),
-    .Y(_03651_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08968_ (.A(_03651_),
-    .X(_03652_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08969_ (.A1_N(_01862_),
-    .A2_N(_03652_),
-    .B1(\u_spim_regs.spim_reg_wdata[23] ),
-    .B2(_03652_),
+ sky130_fd_sc_hd__a2bb2o_4 _08849_ (.A1_N(_03633_),
+    .A2_N(_03632_),
+    .B1(\u_spim_regs.spim_reg_wdata[22] ),
+    .B2(_03632_),
     .X(_01058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08970_ (.A1_N(_01886_),
-    .A2_N(_03652_),
-    .B1(\u_spim_regs.spim_reg_wdata[22] ),
-    .B2(_03652_),
+ sky130_fd_sc_hd__inv_2 _08850_ (.A(\u_spim_regs.cfg_m0_addr_cnt[1] ),
+    .Y(_03634_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08851_ (.A(_03631_),
+    .X(_03635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08852_ (.A1_N(_03634_),
+    .A2_N(_03635_),
+    .B1(\u_spim_regs.spim_reg_wdata[21] ),
+    .B2(_03635_),
     .X(_01057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08971_ (.A(_03651_),
-    .X(_03653_),
+ sky130_fd_sc_hd__inv_2 _08853_ (.A(\u_spim_regs.cfg_m0_addr_cnt[0] ),
+    .Y(_03636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08972_ (.A1_N(_01911_),
-    .A2_N(_03653_),
-    .B1(\u_spim_regs.spim_reg_wdata[21] ),
-    .B2(_03653_),
+ sky130_fd_sc_hd__a2bb2o_4 _08854_ (.A1_N(_03636_),
+    .A2_N(_03635_),
+    .B1(\u_spim_regs.spim_reg_wdata[20] ),
+    .B2(_03635_),
     .X(_01056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08973_ (.A1_N(_01938_),
-    .A2_N(_03653_),
-    .B1(\u_spim_regs.spim_reg_wdata[20] ),
-    .B2(_03653_),
+ sky130_fd_sc_hd__buf_2 _08855_ (.A(_03631_),
+    .X(_03637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08856_ (.A1_N(_01965_),
+    .A2_N(_03637_),
+    .B1(\u_spim_regs.spim_reg_wdata[19] ),
+    .B2(_03637_),
     .X(_01055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08974_ (.A(_03651_),
-    .X(_03654_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08975_ (.A1_N(_01968_),
-    .A2_N(_03654_),
-    .B1(\u_spim_regs.spim_reg_wdata[19] ),
-    .B2(_03654_),
+ sky130_fd_sc_hd__a2bb2o_4 _08857_ (.A1_N(_01995_),
+    .A2_N(_03637_),
+    .B1(\u_spim_regs.spim_reg_wdata[18] ),
+    .B2(_03637_),
     .X(_01054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08976_ (.A1_N(_01998_),
-    .A2_N(_03654_),
-    .B1(\u_spim_regs.spim_reg_wdata[18] ),
-    .B2(_03654_),
+ sky130_fd_sc_hd__buf_2 _08858_ (.A(_03631_),
+    .X(_03638_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08859_ (.A1_N(_02023_),
+    .A2_N(_03638_),
+    .B1(\u_spim_regs.spim_reg_wdata[17] ),
+    .B2(_03638_),
     .X(_01053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08977_ (.A(_03651_),
-    .X(_03655_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08978_ (.A1_N(_02029_),
-    .A2_N(_03655_),
-    .B1(\u_spim_regs.spim_reg_wdata[17] ),
-    .B2(_03655_),
+ sky130_fd_sc_hd__a2bb2o_4 _08860_ (.A1_N(_02045_),
+    .A2_N(_03638_),
+    .B1(\u_spim_regs.spim_reg_wdata[16] ),
+    .B2(_03638_),
     .X(_01052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08979_ (.A1_N(_02051_),
-    .A2_N(_03655_),
-    .B1(\u_spim_regs.spim_reg_wdata[16] ),
-    .B2(_03655_),
+ sky130_fd_sc_hd__nor2_4 _08861_ (.A(_02417_),
+    .B(_03585_),
+    .Y(_03639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08862_ (.A(_03639_),
+    .X(_03640_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08863_ (.A1_N(_02309_),
+    .A2_N(_03640_),
+    .B1(_02619_),
+    .B2(_03640_),
     .X(_01051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _08980_ (.A(_02438_),
-    .B(_03617_),
-    .Y(_03656_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08981_ (.A(_03656_),
-    .X(_03657_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08982_ (.A1_N(_02328_),
-    .A2_N(_03657_),
-    .B1(_02585_),
-    .B2(_03657_),
+ sky130_fd_sc_hd__a2bb2o_4 _08864_ (.A1_N(_02344_),
+    .A2_N(_03640_),
+    .B1(_02623_),
+    .B2(_03640_),
     .X(_01050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08983_ (.A1_N(_02364_),
-    .A2_N(_03657_),
-    .B1(_02602_),
-    .B2(_03657_),
+ sky130_fd_sc_hd__buf_2 _08865_ (.A(_03639_),
+    .X(_03641_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08866_ (.A1_N(_02368_),
+    .A2_N(_03641_),
+    .B1(_02625_),
+    .B2(_03641_),
     .X(_01049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08984_ (.A(_03656_),
-    .X(_03658_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08985_ (.A1_N(_02389_),
-    .A2_N(_03658_),
-    .B1(_02606_),
-    .B2(_03658_),
+ sky130_fd_sc_hd__a2bb2o_4 _08867_ (.A1_N(_02391_),
+    .A2_N(_03641_),
+    .B1(_02627_),
+    .B2(_03641_),
     .X(_01048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08986_ (.A1_N(_02412_),
-    .A2_N(_03658_),
-    .B1(_02608_),
-    .B2(_03658_),
+ sky130_fd_sc_hd__buf_2 _08868_ (.A(_03639_),
+    .X(_03642_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08869_ (.A1_N(_02416_),
+    .A2_N(_03642_),
+    .B1(_03589_),
+    .B2(_03642_),
     .X(_01047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08987_ (.A(_03656_),
-    .X(_03659_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08988_ (.A1_N(_02437_),
-    .A2_N(_03659_),
-    .B1(_03621_),
-    .B2(_03659_),
+ sky130_fd_sc_hd__a2bb2o_4 _08870_ (.A1_N(_02444_),
+    .A2_N(_03642_),
+    .B1(_03590_),
+    .B2(_03642_),
     .X(_01046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08989_ (.A1_N(_02466_),
-    .A2_N(_03659_),
-    .B1(_03622_),
-    .B2(_03659_),
+ sky130_fd_sc_hd__buf_2 _08871_ (.A(_03639_),
+    .X(_03643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08872_ (.A1_N(_02467_),
+    .A2_N(_03643_),
+    .B1(_03592_),
+    .B2(_03643_),
     .X(_01045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08990_ (.A(_03656_),
-    .X(_03660_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08991_ (.A1_N(_02491_),
-    .A2_N(_03660_),
-    .B1(_03624_),
-    .B2(_03660_),
+ sky130_fd_sc_hd__a2bb2o_4 _08873_ (.A1_N(_02493_),
+    .A2_N(_03643_),
+    .B1(_03593_),
+    .B2(_03643_),
     .X(_01044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08992_ (.A1_N(_02517_),
-    .A2_N(_03660_),
-    .B1(_03625_),
-    .B2(_03660_),
+ sky130_fd_sc_hd__or3_4 _08874_ (.A(_02555_),
+    .B(_02591_),
+    .C(_02417_),
+    .X(_03644_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08875_ (.A1_N(_03584_),
+    .A2_N(_03644_),
+    .B1(\u_spim_regs.cfg_m0_fsm_reset ),
+    .B2(_03644_),
     .X(_01043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08993_ (.A(_02622_),
-    .B(_03632_),
-    .C(_02438_),
-    .X(_03661_),
+ sky130_fd_sc_hd__or3_4 _08876_ (.A(_02555_),
+    .B(_02556_),
+    .C(_02117_),
+    .X(_03645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08994_ (.A1_N(_03615_),
-    .A2_N(_03661_),
-    .B1(\u_spim_regs.cfg_m0_fsm_reset ),
-    .B2(_03661_),
+ sky130_fd_sc_hd__inv_2 _08877_ (.A(_03645_),
+    .Y(_03646_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08878_ (.A(_03646_),
+    .X(_03647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08879_ (.A1_N(_02078_),
+    .A2_N(_03647_),
+    .B1(_02553_),
+    .B2(_03647_),
     .X(_01042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _08995_ (.A(_02622_),
-    .B(_02590_),
-    .C(_02127_),
-    .X(_03662_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _08996_ (.A(_03662_),
-    .Y(_03663_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _08997_ (.A(_03663_),
-    .X(_03664_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08998_ (.A1_N(_02084_),
-    .A2_N(_03664_),
-    .B1(_02620_),
-    .B2(_03664_),
+ sky130_fd_sc_hd__a2bb2o_4 _08880_ (.A1_N(_02116_),
+    .A2_N(_03647_),
+    .B1(_02573_),
+    .B2(_03647_),
     .X(_01041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _08999_ (.A1_N(_02126_),
-    .A2_N(_03664_),
-    .B1(_02630_),
-    .B2(_03664_),
+ sky130_fd_sc_hd__buf_2 _08881_ (.A(_03646_),
+    .X(_03648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08882_ (.A1_N(_02145_),
+    .A2_N(_03648_),
+    .B1(_02577_),
+    .B2(_03648_),
     .X(_01040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09000_ (.A(_03663_),
-    .X(_03665_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _09001_ (.A1_N(_02156_),
-    .A2_N(_03665_),
-    .B1(_02632_),
-    .B2(_03665_),
+ sky130_fd_sc_hd__a2bb2o_4 _08883_ (.A1_N(_02165_),
+    .A2_N(_03648_),
+    .B1(_02579_),
+    .B2(_03648_),
     .X(_01039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _09002_ (.A1_N(_02176_),
-    .A2_N(_03665_),
-    .B1(_02635_),
-    .B2(_03665_),
+ sky130_fd_sc_hd__buf_2 _08884_ (.A(_03646_),
+    .X(_03649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08885_ (.A1_N(_02195_),
+    .A2_N(_03649_),
+    .B1(_02582_),
+    .B2(_03649_),
     .X(_01038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09003_ (.A(_03663_),
-    .X(_03666_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _09004_ (.A1_N(_02210_),
-    .A2_N(_03666_),
-    .B1(_02638_),
-    .B2(_03666_),
+ sky130_fd_sc_hd__a2bb2o_4 _08886_ (.A1_N(_02223_),
+    .A2_N(_03649_),
+    .B1(_02585_),
+    .B2(_03649_),
     .X(_01037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _09005_ (.A1_N(_02239_),
-    .A2_N(_03666_),
-    .B1(_02641_),
-    .B2(_03666_),
+ sky130_fd_sc_hd__buf_2 _08887_ (.A(_03646_),
+    .X(_03650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _08888_ (.A1_N(_02246_),
+    .A2_N(_03650_),
+    .B1(_02588_),
+    .B2(_03650_),
     .X(_01036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09006_ (.A(_03663_),
-    .X(_03667_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _09007_ (.A1_N(_02262_),
-    .A2_N(_03667_),
-    .B1(_02643_),
-    .B2(_03667_),
+ sky130_fd_sc_hd__a2bb2o_4 _08889_ (.A1_N(_02275_),
+    .A2_N(_03650_),
+    .B1(_03583_),
+    .B2(_03650_),
     .X(_01035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _09008_ (.A1_N(_02293_),
-    .A2_N(_03667_),
-    .B1(_03614_),
-    .B2(_03667_),
+ sky130_fd_sc_hd__o21a_4 _08890_ (.A1(\u_spim_regs.spim_reg_be[3] ),
+    .A2(_02591_),
+    .B1(_02595_),
+    .X(_03651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08891_ (.A(_03651_),
+    .Y(_03652_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08892_ (.A(_03652_),
+    .X(_03653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08893_ (.A(_03651_),
+    .X(_03654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08894_ (.A1(_02641_),
+    .A2(_03653_),
+    .B1(\u_spim_regs.cfg_m1_addr[31] ),
+    .B2(_03654_),
     .X(_01034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09009_ (.A(_02650_),
-    .B(_03592_),
-    .X(_03668_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09010_ (.A(_03668_),
-    .X(_03669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09011_ (.A(_03668_),
-    .Y(_03670_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09012_ (.A(_03670_),
-    .X(_03671_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09013_ (.A1(_03590_),
-    .A2(_03669_),
-    .B1(\u_spim_regs.cfg_m1_addr[31] ),
-    .B2(_03671_),
+ sky130_fd_sc_hd__o22a_4 _08895_ (.A1(_02648_),
+    .A2(_03653_),
+    .B1(\u_spim_regs.cfg_m1_addr[30] ),
+    .B2(_03654_),
     .X(_01033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09014_ (.A1(_03597_),
-    .A2(_03669_),
-    .B1(\u_spim_regs.cfg_m1_addr[30] ),
-    .B2(_03671_),
+ sky130_fd_sc_hd__o22a_4 _08896_ (.A1(_02650_),
+    .A2(_03653_),
+    .B1(\u_spim_regs.cfg_m1_addr[29] ),
+    .B2(_03654_),
     .X(_01032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09015_ (.A1(_03600_),
-    .A2(_03669_),
-    .B1(\u_spim_regs.cfg_m1_addr[29] ),
-    .B2(_03671_),
+ sky130_fd_sc_hd__o22a_4 _08897_ (.A1(_02651_),
+    .A2(_03653_),
+    .B1(\u_spim_regs.cfg_m1_addr[28] ),
+    .B2(_03654_),
     .X(_01031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09016_ (.A1(_03601_),
-    .A2(_03669_),
-    .B1(\u_spim_regs.cfg_m1_addr[28] ),
-    .B2(_03671_),
+ sky130_fd_sc_hd__buf_2 _08898_ (.A(_03652_),
+    .X(_03655_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08899_ (.A(_03651_),
+    .X(_03656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08900_ (.A1(_02652_),
+    .A2(_03655_),
+    .B1(\u_spim_regs.cfg_m1_addr[27] ),
+    .B2(_03656_),
     .X(_01030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09017_ (.A(_03668_),
-    .X(_03672_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09018_ (.A(_03670_),
-    .X(_03673_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09019_ (.A1(_03602_),
-    .A2(_03672_),
-    .B1(\u_spim_regs.cfg_m1_addr[27] ),
-    .B2(_03673_),
+ sky130_fd_sc_hd__o22a_4 _08901_ (.A1(_02653_),
+    .A2(_03655_),
+    .B1(\u_spim_regs.cfg_m1_addr[26] ),
+    .B2(_03656_),
     .X(_01029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09020_ (.A1(_03603_),
-    .A2(_03672_),
-    .B1(\u_spim_regs.cfg_m1_addr[26] ),
-    .B2(_03673_),
+ sky130_fd_sc_hd__o22a_4 _08902_ (.A1(_02654_),
+    .A2(_03655_),
+    .B1(\u_spim_regs.cfg_m1_addr[25] ),
+    .B2(_03656_),
     .X(_01028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09021_ (.A1(_03605_),
-    .A2(_03672_),
-    .B1(\u_spim_regs.cfg_m1_addr[25] ),
-    .B2(_03673_),
+ sky130_fd_sc_hd__o22a_4 _08903_ (.A1(_02658_),
+    .A2(_03655_),
+    .B1(\u_spim_regs.cfg_m1_addr[24] ),
+    .B2(_03656_),
     .X(_01027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09022_ (.A1(_03607_),
-    .A2(_03672_),
-    .B1(\u_spim_regs.cfg_m1_addr[24] ),
-    .B2(_03673_),
-    .X(_01026_),
+ sky130_fd_sc_hd__or2_4 _08904_ (.A(_01964_),
+    .B(_01993_),
+    .X(_03657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09023_ (.A(_01967_),
-    .B(_01995_),
+ sky130_fd_sc_hd__inv_2 _08905_ (.A(_03657_),
+    .Y(_03658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _08906_ (.A(_01964_),
+    .B(_01994_),
+    .C(_02021_),
+    .D(_03519_),
+    .X(_03659_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08907_ (.A(_01472_),
+    .B(_01476_),
+    .X(_03660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08908_ (.A(_02197_),
+    .B(_03660_),
+    .X(_03661_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08909_ (.A(_03661_),
+    .X(_03662_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _08910_ (.A(_02531_),
+    .B(_03515_),
+    .C(_01479_),
+    .X(_03663_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _08911_ (.A(_03662_),
+    .B(_03663_),
+    .Y(_03664_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _08912_ (.A(_03658_),
+    .B(_03659_),
+    .C(_03664_),
+    .X(_03665_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08913_ (.A(_03525_),
+    .Y(_03666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _08914_ (.A(_03662_),
+    .B(_03663_),
+    .C(_03516_),
+    .D(_03666_),
+    .X(_03667_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _08915_ (.A1(_02535_),
+    .A2(_03658_),
+    .B1(_03662_),
+    .X(_03668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _08916_ (.A1(_02021_),
+    .A2(_02528_),
+    .B1(_03518_),
+    .Y(_03669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _08917_ (.A(_01473_),
+    .B(_02522_),
+    .Y(_03670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _08918_ (.A1(_02050_),
+    .A2(_03660_),
+    .B1(_02534_),
+    .B2(_03670_),
+    .Y(_03671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _08919_ (.A1(_03526_),
+    .A2(_03669_),
+    .B1(_02197_),
+    .B2(_03671_),
+    .X(_03672_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08920_ (.A(_03672_),
+    .Y(_03673_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _08921_ (.A(_03668_),
+    .B(_03673_),
+    .C(_03531_),
     .X(_03674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09024_ (.A(_03674_),
+ sky130_fd_sc_hd__nor2_4 _08922_ (.A(_02283_),
+    .B(_03674_),
     .Y(_03675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _09025_ (.A(_01967_),
-    .B(_01996_),
-    .C(_02028_),
-    .D(_03526_),
+ sky130_fd_sc_hd__and2_4 _08923_ (.A(_03562_),
+    .B(_03674_),
     .X(_03676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09026_ (.A(_01473_),
-    .B(_01477_),
+ sky130_fd_sc_hd__a32o_4 _08924_ (.A1(_03665_),
+    .A2(_03667_),
+    .A3(_03675_),
+    .B1(_01479_),
+    .B2(_03676_),
+    .X(_01026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _08925_ (.A(_03514_),
+    .B(_03559_),
     .X(_03677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09027_ (.A(_02212_),
-    .B(_03677_),
+ sky130_fd_sc_hd__or2_4 _08926_ (.A(_03524_),
+    .B(_03669_),
     .X(_03678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09028_ (.A(_03678_),
+ sky130_fd_sc_hd__or2_4 _08927_ (.A(_03664_),
+    .B(_03678_),
     .X(_03679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09029_ (.A(_02557_),
-    .B(_03522_),
-    .C(_01480_),
-    .X(_03680_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _09030_ (.A(_03679_),
-    .B(_03680_),
-    .Y(_03681_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _09031_ (.A(_03675_),
-    .B(_03676_),
-    .C(_03681_),
-    .X(_03682_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09032_ (.A(_03532_),
-    .Y(_03683_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09033_ (.A(_03679_),
-    .B(_03680_),
-    .C(_03523_),
-    .D(_03683_),
-    .X(_03684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09034_ (.A1(_02561_),
-    .A2(_03675_),
-    .B1(_03679_),
-    .X(_03685_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _09035_ (.A1(_02028_),
-    .A2(_02555_),
-    .B1(_03525_),
-    .Y(_03686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _09036_ (.A(_01474_),
-    .B(_02549_),
-    .Y(_03687_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _09037_ (.A1(_02056_),
+ sky130_fd_sc_hd__o21ai_4 _08928_ (.A1(_03662_),
     .A2(_03677_),
-    .B1(_02560_),
-    .B2(_03687_),
-    .Y(_03688_),
+    .B1(_03679_),
+    .Y(_03680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09038_ (.A1(_03533_),
-    .A2(_03686_),
-    .B1(_02212_),
-    .B2(_03688_),
-    .X(_03689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09039_ (.A(_03689_),
-    .Y(_03690_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _09040_ (.A(_03685_),
-    .B(_03690_),
-    .C(_03538_),
-    .X(_03691_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _09041_ (.A(_02302_),
-    .B(_03691_),
-    .Y(_03692_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09042_ (.A(_03569_),
-    .B(_03691_),
-    .X(_03693_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09043_ (.A1(_03682_),
-    .A2(_03684_),
-    .A3(_03692_),
-    .B1(_01480_),
-    .B2(_03693_),
+ sky130_fd_sc_hd__a32o_4 _08929_ (.A1(_03562_),
+    .A2(_03674_),
+    .A3(_03514_),
+    .B1(_03675_),
+    .B2(_03680_),
     .X(_01025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09044_ (.A(_03521_),
-    .B(_03566_),
-    .X(_03694_),
+ sky130_fd_sc_hd__and3_4 _08930_ (.A(_02526_),
+    .B(_01994_),
+    .C(_02044_),
+    .X(_03681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09045_ (.A(_03531_),
-    .B(_03686_),
-    .X(_03695_),
+ sky130_fd_sc_hd__or2_4 _08931_ (.A(_03679_),
+    .B(_03681_),
+    .X(_03682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09046_ (.A(_03681_),
-    .B(_03695_),
-    .X(_03696_),
+ sky130_fd_sc_hd__and4_4 _08932_ (.A(_02198_),
+    .B(_01473_),
+    .C(_03515_),
+    .D(_03521_),
+    .X(_03683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _09047_ (.A1(_03679_),
-    .A2(_03694_),
-    .B1(_03696_),
-    .Y(_03697_),
+ sky130_fd_sc_hd__and4_4 _08933_ (.A(_03514_),
+    .B(_01476_),
+    .C(_02198_),
+    .D(_03558_),
+    .X(_03684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09048_ (.A1(_03569_),
-    .A2(_03691_),
-    .A3(_03521_),
-    .B1(_03692_),
-    .B2(_03697_),
+ sky130_fd_sc_hd__or4_4 _08934_ (.A(_03660_),
+    .B(_03663_),
+    .C(_03683_),
+    .D(_03684_),
+    .X(_03685_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _08935_ (.A1(_03675_),
+    .A2(_03682_),
+    .A3(_03685_),
+    .B1(_03515_),
+    .B2(_03676_),
     .X(_01024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09049_ (.A(_02553_),
-    .B(_01996_),
-    .C(_02050_),
-    .X(_03698_),
+ sky130_fd_sc_hd__inv_2 _08936_ (.A(\u_spictrl.spi_fall ),
+    .Y(_03686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09050_ (.A(_03696_),
-    .B(_03698_),
+ sky130_fd_sc_hd__or2_4 _08937_ (.A(_03686_),
+    .B(psn_net_183),
+    .X(_03687_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08938_ (.A(_03687_),
+    .Y(_03688_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08939_ (.A(_03373_),
+    .Y(_03689_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08940_ (.A(spi_debug[17]),
+    .B(_03369_),
+    .X(_03690_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08941_ (.A(_03690_),
+    .Y(_03691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _08942_ (.A(_01930_),
+    .B(spi_debug[19]),
+    .C(_01539_),
+    .D(_01991_),
+    .X(_03692_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08943_ (.A(psn_net_195),
+    .B(spi_debug[17]),
+    .X(_03693_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08944_ (.A(_03693_),
+    .B(_03365_),
+    .X(_03694_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08945_ (.A(_03694_),
+    .X(_03695_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _08946_ (.A(_03695_),
+    .Y(_03696_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _08947_ (.A(_01530_),
+    .B(_03370_),
+    .X(_03697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _08948_ (.A1(\u_spictrl.tx_data_ready ),
+    .A2(_03372_),
+    .B1(_03697_),
+    .Y(_03698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _08949_ (.A(_03691_),
+    .B(_03692_),
+    .C(_03696_),
+    .D(_03698_),
     .X(_03699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _09051_ (.A(_02213_),
-    .B(_01474_),
-    .C(_03522_),
-    .D(_03528_),
-    .X(_03700_),
+ sky130_fd_sc_hd__a21oi_4 _08950_ (.A1(_03295_),
+    .A2(_03689_),
+    .B1(_03699_),
+    .Y(_03700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _09052_ (.A(_03521_),
-    .B(_01477_),
-    .C(_02213_),
-    .D(_03565_),
+ sky130_fd_sc_hd__or2_4 _08951_ (.A(_03686_),
+    .B(_03700_),
     .X(_03701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09053_ (.A(_03677_),
-    .B(_03680_),
-    .C(_03700_),
-    .D(_03701_),
+ sky130_fd_sc_hd__buf_2 _08952_ (.A(_03701_),
     .X(_03702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09054_ (.A1(_03692_),
-    .A2(_03699_),
-    .A3(_03702_),
-    .B1(_03522_),
-    .B2(_03693_),
-    .X(_01023_),
+ sky130_fd_sc_hd__buf_2 _08953_ (.A(_03702_),
+    .X(_03703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09055_ (.A(_03298_),
-    .Y(_03703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09056_ (.A(_03703_),
+ sky130_fd_sc_hd__or2_4 _08954_ (.A(\u_spictrl.u_txreg.tx_CS[0] ),
+    .B(\u_spictrl.u_txreg.tx_CS[1] ),
     .X(_03704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09057_ (.A(\u_spictrl.cfg_data_cnt[7] ),
-    .B(_03704_),
-    .X(_03705_),
+ sky130_fd_sc_hd__inv_2 _08955_ (.A(_03704_),
+    .Y(_03705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09058_ (.A(\u_spictrl.s_spi_mode[1] ),
+ sky130_fd_sc_hd__and2_4 _08956_ (.A(_03363_),
+    .B(_03705_),
     .X(_03706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09059_ (.A(\u_spictrl.s_spi_mode[0] ),
-    .Y(_03707_),
+ sky130_fd_sc_hd__or2_4 _08957_ (.A(_03703_),
+    .B(psn_net_5),
+    .X(_03707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09060_ (.A(_03706_),
-    .B(_03707_),
-    .X(_03708_),
+ sky130_fd_sc_hd__inv_2 _08958_ (.A(_03707_),
+    .Y(_03708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09061_ (.A(_03708_),
-    .Y(_03709_),
+ sky130_fd_sc_hd__or2_4 _08959_ (.A(_03688_),
+    .B(_03708_),
+    .X(_03709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09062_ (.A(_03709_),
+ sky130_fd_sc_hd__buf_2 _08960_ (.A(_03709_),
     .X(_03710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09063_ (.A(_03710_),
+ sky130_fd_sc_hd__buf_2 _08961_ (.A(_03710_),
     .X(_03711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09064_ (.A(\u_spictrl.s_spi_mode[1] ),
+ sky130_fd_sc_hd__inv_2 _08962_ (.A(\u_spictrl.cfg_data_cnt[7] ),
     .Y(_03712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09065_ (.A(_03712_),
-    .B(\u_spictrl.s_spi_mode[0] ),
+ sky130_fd_sc_hd__buf_2 _08963_ (.A(\u_spictrl.s_spi_mode[1] ),
     .X(_03713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09066_ (.A(_03713_),
-    .Y(_03714_),
+ sky130_fd_sc_hd__buf_2 _08964_ (.A(\u_spictrl.s_spi_mode[0] ),
+    .X(_03714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09067_ (.A(_03711_),
-    .B(_03714_),
+ sky130_fd_sc_hd__buf_2 _08965_ (.A(_03714_),
     .X(_03715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09068_ (.A(_03715_),
-    .Y(_03716_),
+ sky130_fd_sc_hd__or2_4 _08966_ (.A(_03713_),
+    .B(_03715_),
+    .X(_03716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09069_ (.A(\u_spictrl.spi_fall ),
-    .Y(_03717_),
+ sky130_fd_sc_hd__buf_2 _08967_ (.A(_03716_),
+    .X(_03717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09070_ (.A(_03717_),
-    .B(psn_net_232),
+ sky130_fd_sc_hd__buf_2 _08968_ (.A(_03709_),
     .X(_03718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09071_ (.A(_03718_),
+ sky130_fd_sc_hd__inv_2 _08969_ (.A(_03718_),
     .Y(_03719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09072_ (.A(_03719_),
+ sky130_fd_sc_hd__or4_4 _08970_ (.A(_03712_),
+    .B(_03717_),
+    .C(_03374_),
+    .D(_03719_),
     .X(_03720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09073_ (.A(\u_spictrl.u_txreg.tx_CS[0] ),
-    .B(\u_spictrl.u_txreg.tx_CS[1] ),
+ sky130_fd_sc_hd__o21ai_4 _08971_ (.A1(_03326_),
+    .A2(psn_net_229),
+    .B1(_03720_),
+    .Y(_01023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08972_ (.A(_03375_),
     .X(_03721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09074_ (.A(_03717_),
+ sky130_fd_sc_hd__buf_2 _08973_ (.A(_03721_),
     .X(_03722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09075_ (.A(_03300_),
-    .Y(_03723_),
+ sky130_fd_sc_hd__buf_2 _08974_ (.A(_03722_),
+    .X(_03723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _09076_ (.A(_01530_),
-    .B(_03294_),
-    .C(_01529_),
-    .D(_01532_),
+ sky130_fd_sc_hd__buf_2 _08975_ (.A(_03723_),
     .X(_03724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09077_ (.A(_03723_),
-    .B(_03724_),
+ sky130_fd_sc_hd__buf_2 _08976_ (.A(_03713_),
     .X(_03725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09078_ (.A(psn_net_176),
-    .B(_01530_),
-    .C(_01531_),
-    .D(_01533_),
+ sky130_fd_sc_hd__buf_2 _08977_ (.A(_03725_),
     .X(_03726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09079_ (.A(_03726_),
-    .Y(_03727_),
+ sky130_fd_sc_hd__buf_2 _08978_ (.A(_03726_),
+    .X(_03727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09080_ (.A(_03297_),
-    .Y(_03728_),
+ sky130_fd_sc_hd__buf_2 _08979_ (.A(_03727_),
+    .X(_03728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09081_ (.A(_03295_),
+ sky130_fd_sc_hd__inv_2 _08980_ (.A(\u_spictrl.s_spi_mode[0] ),
     .Y(_03729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09082_ (.A1(_03293_),
-    .A2(_03728_),
-    .B1(_03729_),
+ sky130_fd_sc_hd__buf_2 _08981_ (.A(_03729_),
     .X(_03730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09083_ (.A(_03301_),
-    .Y(_03731_),
+ sky130_fd_sc_hd__buf_2 _08982_ (.A(_03730_),
+    .X(_03731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09084_ (.A(_03731_),
-    .B(_03728_),
+ sky130_fd_sc_hd__buf_2 _08983_ (.A(_03731_),
     .X(_03732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09085_ (.A(psn_net_166),
-    .B(_03727_),
-    .C(_03730_),
-    .D(_03732_),
+ sky130_fd_sc_hd__buf_2 _08984_ (.A(_03732_),
     .X(_03733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09086_ (.A(_03733_),
+ sky130_fd_sc_hd__inv_2 _08985_ (.A(\u_spictrl.cfg_data_cnt[6] ),
     .Y(_03734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09087_ (.A(_03722_),
-    .B(_03734_),
+ sky130_fd_sc_hd__buf_2 _08986_ (.A(_03714_),
     .X(_03735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09088_ (.A(_03735_),
-    .Y(_03736_),
+ sky130_fd_sc_hd__buf_2 _08987_ (.A(_03735_),
+    .X(_03736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09089_ (.A1(_03370_),
-    .A2(_03721_),
-    .B1(_03736_),
+ sky130_fd_sc_hd__o22a_4 _08988_ (.A1(_03712_),
+    .A2(_03733_),
+    .B1(_03734_),
+    .B2(_03736_),
     .X(_03737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09090_ (.A(_03720_),
+ sky130_fd_sc_hd__or2_4 _08989_ (.A(_03728_),
     .B(_03737_),
     .X(_03738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09091_ (.A(_03738_),
-    .X(_03739_),
+ sky130_fd_sc_hd__inv_2 _08990_ (.A(_03738_),
+    .Y(_03739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09092_ (.A(_03739_),
+ sky130_fd_sc_hd__buf_2 _08991_ (.A(_03718_),
     .X(_03740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09093_ (.A(_03738_),
-    .Y(_03741_),
+ sky130_fd_sc_hd__buf_2 _08992_ (.A(_03719_),
+    .X(_03741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09094_ (.A(_03741_),
-    .X(_03742_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09095_ (.A1(_03705_),
-    .A2(_03716_),
+ sky130_fd_sc_hd__a32o_4 _08993_ (.A1(_03724_),
+    .A2(_03739_),
     .A3(_03740_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[10] ),
-    .B2(_03742_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[9] ),
+    .B2(_03741_),
     .X(_01022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09096_ (.A(_03742_),
+ sky130_fd_sc_hd__buf_2 _08994_ (.A(_03715_),
+    .X(_03742_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _08995_ (.A(_03742_),
     .X(_03743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09097_ (.A(_03713_),
+ sky130_fd_sc_hd__a2bb2o_4 _08996_ (.A1_N(\u_spictrl.cfg_data_cnt[5] ),
+    .A2_N(_03743_),
+    .B1(_03734_),
+    .B2(_03743_),
     .X(_03744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09098_ (.A(_03744_),
-    .X(_03745_),
+ sky130_fd_sc_hd__inv_2 _08997_ (.A(_03713_),
+    .Y(_03745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09099_ (.A(_03745_),
+ sky130_fd_sc_hd__buf_2 _08998_ (.A(_03745_),
     .X(_03746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09100_ (.A(_03711_),
+ sky130_fd_sc_hd__buf_2 _08999_ (.A(_03746_),
     .X(_03747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09101_ (.A(_03747_),
+ sky130_fd_sc_hd__buf_2 _09000_ (.A(_03747_),
     .X(_03748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09102_ (.A(\u_spictrl.cfg_data_cnt[6] ),
-    .B(_03704_),
+ sky130_fd_sc_hd__buf_2 _09001_ (.A(_03748_),
     .X(_03749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09103_ (.A(_03748_),
-    .B(_03749_),
+ sky130_fd_sc_hd__o22a_4 _09002_ (.A1(_03728_),
+    .A2(_03744_),
+    .B1(_03712_),
+    .B2(_03749_),
     .X(_03750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09104_ (.A(_03708_),
-    .X(_03751_),
+ sky130_fd_sc_hd__inv_2 _09003_ (.A(_03750_),
+    .Y(_03751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09105_ (.A(_03751_),
-    .X(_03752_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09106_ (.A(_03752_),
-    .X(_03753_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09107_ (.A(_03705_),
-    .B(_03753_),
-    .X(_03754_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09108_ (.A(_03746_),
-    .B(_03750_),
-    .C(_03754_),
-    .X(_03755_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09109_ (.A(_03739_),
-    .X(_03756_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09110_ (.A1(_03743_),
-    .A2(_03755_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[9] ),
-    .B2(_03756_),
+ sky130_fd_sc_hd__a32o_4 _09004_ (.A1(_03724_),
+    .A2(_03751_),
+    .A3(psn_net_230),
+    .B1(\u_spictrl.u_txreg.counter_trgt[8] ),
+    .B2(_03741_),
     .X(_01021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09111_ (.A(_03714_),
+ sky130_fd_sc_hd__buf_2 _09005_ (.A(_03727_),
+    .X(_03752_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09006_ (.A(\u_spictrl.cfg_data_cnt[4] ),
+    .X(_03753_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09007_ (.A(_03736_),
+    .X(_03754_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09008_ (.A(_03732_),
+    .X(_03755_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09009_ (.A1(_03753_),
+    .A2(_03754_),
+    .B1(\u_spictrl.cfg_data_cnt[5] ),
+    .B2(_03755_),
+    .X(_03756_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09010_ (.A(_03748_),
     .X(_03757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09112_ (.A(_03757_),
+ sky130_fd_sc_hd__o22a_4 _09011_ (.A1(_03752_),
+    .A2(_03756_),
+    .B1(\u_spictrl.cfg_data_cnt[6] ),
+    .B2(_03757_),
     .X(_03758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09113_ (.A(_03758_),
-    .X(_03759_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09114_ (.A(_03759_),
-    .X(_03760_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09115_ (.A(_03747_),
-    .X(_03761_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09116_ (.A(_03703_),
-    .X(_03762_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09117_ (.A(_03762_),
-    .X(_03763_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09118_ (.A(\u_spictrl.cfg_data_cnt[5] ),
-    .B(_03763_),
-    .X(_03764_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09119_ (.A(_03752_),
-    .X(_03765_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09120_ (.A1(_03761_),
-    .A2(_03764_),
-    .B1(_03765_),
-    .B2(_03749_),
-    .X(_03766_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09121_ (.A(_03745_),
-    .X(_03767_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09122_ (.A1(_03760_),
-    .A2(_03766_),
-    .B1(_03705_),
-    .B2(_03767_),
-    .X(_03768_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09123_ (.A1(_03743_),
-    .A2(_03768_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[8] ),
-    .B2(_03756_),
+ sky130_fd_sc_hd__a32o_4 _09012_ (.A1(_03724_),
+    .A2(_03758_),
+    .A3(psn_net_230),
+    .B1(\u_spictrl.u_txreg.counter_trgt[7] ),
+    .B2(_03741_),
     .X(_01020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09124_ (.A(\u_spictrl.cfg_data_cnt[4] ),
-    .B(_03763_),
-    .X(_03769_),
+ sky130_fd_sc_hd__buf_2 _09013_ (.A(_03719_),
+    .X(_03759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09125_ (.A1(_03761_),
-    .A2(_03769_),
-    .B1(_03765_),
-    .B2(_03764_),
-    .X(_03770_),
+ sky130_fd_sc_hd__or2_4 _09014_ (.A(\u_spictrl.cfg_data_cnt[5] ),
+    .B(_03748_),
+    .X(_03760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09126_ (.A1(_03760_),
-    .A2(_03770_),
-    .B1(_03767_),
-    .B2(_03749_),
-    .X(_03771_),
+ sky130_fd_sc_hd__buf_2 _09015_ (.A(\u_spictrl.cfg_data_cnt[3] ),
+    .X(_03761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09127_ (.A(_03739_),
-    .X(_03772_),
+ sky130_fd_sc_hd__buf_2 _09016_ (.A(_03733_),
+    .X(_03762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09128_ (.A1(_03743_),
-    .A2(_03771_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[7] ),
-    .B2(_03772_),
+ sky130_fd_sc_hd__buf_2 _09017_ (.A(_03726_),
+    .X(_03763_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09018_ (.A(\u_spictrl.cfg_data_cnt[4] ),
+    .B(_03736_),
+    .X(_03764_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _09019_ (.A1(_03761_),
+    .A2(_03762_),
+    .B1(_03763_),
+    .C1(_03764_),
+    .X(_03765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _09020_ (.A(_03724_),
+    .B(_03760_),
+    .C(_03765_),
+    .D(psn_net_197),
+    .X(_03766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _09021_ (.A1(\u_spictrl.u_txreg.counter_trgt[6] ),
+    .A2(_03759_),
+    .B1(_03766_),
     .X(_01019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09129_ (.A(\u_spictrl.cfg_data_cnt[3] ),
-    .B(_03704_),
+ sky130_fd_sc_hd__buf_2 _09022_ (.A(_03749_),
+    .X(_03767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09023_ (.A(\u_spictrl.cfg_dummy_cnt[1] ),
+    .Y(_03768_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09024_ (.A(\u_spictrl.cfg_dummy_cnt[0] ),
+    .Y(_03769_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09025_ (.A1(_03746_),
+    .A2(_03731_),
+    .B1(_03768_),
+    .B2(_03769_),
+    .X(_03770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _09026_ (.A(_03695_),
+    .B(_03770_),
+    .Y(_03771_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09027_ (.A(\u_spictrl.cfg_addr_cnt[0] ),
+    .Y(_03772_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09028_ (.A(_03772_),
     .X(_03773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09130_ (.A(_03752_),
-    .X(_03774_),
+ sky130_fd_sc_hd__inv_2 _09029_ (.A(\u_spictrl.cfg_addr_cnt[1] ),
+    .Y(_03774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09131_ (.A(_03774_),
+ sky130_fd_sc_hd__buf_2 _09030_ (.A(_03774_),
     .X(_03775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09132_ (.A1(_03761_),
-    .A2(_03773_),
-    .B1(_03775_),
-    .B2(_03769_),
+ sky130_fd_sc_hd__buf_2 _09031_ (.A(_03697_),
     .X(_03776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09133_ (.A1(_03760_),
-    .A2(_03776_),
-    .B1(_03767_),
-    .B2(_03764_),
+ sky130_fd_sc_hd__or2_4 _09032_ (.A(_03775_),
+    .B(_03776_),
     .X(_03777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09134_ (.A1(_03743_),
-    .A2(_03777_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[6] ),
-    .B2(_03772_),
-    .X(_01018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09135_ (.A(_03741_),
+ sky130_fd_sc_hd__or2_4 _09033_ (.A(_03773_),
+    .B(_03777_),
     .X(_03778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09136_ (.A(_03747_),
-    .X(_03779_),
+ sky130_fd_sc_hd__inv_2 _09034_ (.A(_03778_),
+    .Y(_03779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09137_ (.A(\u_spictrl.cfg_data_cnt[2] ),
-    .B(_03704_),
+ sky130_fd_sc_hd__a211o_4 _09035_ (.A1(\u_spictrl.cfg_data_cnt[2] ),
+    .A2(_03721_),
+    .B1(_03771_),
+    .C1(_03779_),
     .X(_03780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09138_ (.A1(_03779_),
-    .A2(_03780_),
-    .B1(_03775_),
-    .B2(_03773_),
+ sky130_fd_sc_hd__a32o_4 _09036_ (.A1(\u_spictrl.cfg_data_cnt[3] ),
+    .A2(_03722_),
+    .A3(_03754_),
+    .B1(_03755_),
+    .B2(_03780_),
     .X(_03781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09139_ (.A1(_03760_),
-    .A2(_03781_),
+ sky130_fd_sc_hd__a32o_4 _09037_ (.A1(_03753_),
+    .A2(_03752_),
+    .A3(_03723_),
     .B1(_03767_),
-    .B2(_03769_),
+    .B2(_03781_),
     .X(_03782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09140_ (.A1(_03778_),
+ sky130_fd_sc_hd__o22a_4 _09038_ (.A1(_03759_),
     .A2(_03782_),
     .B1(\u_spictrl.u_txreg.counter_trgt[5] ),
-    .B2(_03772_),
-    .X(_01017_),
+    .B2(_03711_),
+    .X(_01018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09141_ (.A(_03759_),
+ sky130_fd_sc_hd__buf_2 _09039_ (.A(_03763_),
     .X(_03783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09142_ (.A(\u_spictrl.cfg_addr_cnt[1] ),
-    .Y(_03784_),
+ sky130_fd_sc_hd__buf_2 _09040_ (.A(\u_spictrl.cfg_addr_cnt[1] ),
+    .X(_03784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09143_ (.A(\u_spictrl.cfg_addr_cnt[0] ),
-    .Y(_03785_),
+ sky130_fd_sc_hd__or2_4 _09041_ (.A(_03784_),
+    .B(_03773_),
+    .X(_03785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09144_ (.A(_03784_),
-    .B(_03785_),
-    .X(_03786_),
+ sky130_fd_sc_hd__inv_2 _09042_ (.A(_03785_),
+    .Y(_03786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _09145_ (.A(_03296_),
-    .B(_03786_),
-    .Y(_03787_),
+ sky130_fd_sc_hd__buf_2 _09043_ (.A(\u_spictrl.cfg_addr_cnt[0] ),
+    .X(_03787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09146_ (.A(_03787_),
+ sky130_fd_sc_hd__or2_4 _09044_ (.A(_03774_),
+    .B(_03787_),
     .X(_03788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09147_ (.A(_03788_),
-    .X(_03789_),
+ sky130_fd_sc_hd__inv_2 _09045_ (.A(_03788_),
+    .Y(_03789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09148_ (.A(_03789_),
+ sky130_fd_sc_hd__buf_2 _09046_ (.A(_03789_),
     .X(_03790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09149_ (.A1(\u_spictrl.cfg_dummy_cnt[1] ),
-    .A2(\u_spictrl.cfg_dummy_cnt[0] ),
-    .B1(_03727_),
+ sky130_fd_sc_hd__buf_2 _09047_ (.A(_03790_),
     .X(_03791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09150_ (.A1(\u_spictrl.cfg_data_cnt[1] ),
-    .A2(_03762_),
-    .B1(_03790_),
-    .C1(_03791_),
-    .X(_03792_),
+ sky130_fd_sc_hd__inv_2 _09048_ (.A(_03697_),
+    .Y(_03792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09151_ (.A1(_03753_),
-    .A2(_03780_),
-    .B1(_03761_),
-    .B2(_03792_),
+ sky130_fd_sc_hd__buf_2 _09049_ (.A(_03792_),
     .X(_03793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09152_ (.A1(_03783_),
-    .A2(_03793_),
-    .B1(_03746_),
-    .B2(_03773_),
+ sky130_fd_sc_hd__o21a_4 _09050_ (.A1(_03786_),
+    .A2(_03791_),
+    .B1(_03793_),
     .X(_03794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09153_ (.A1(_03778_),
-    .A2(_03794_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[4] ),
-    .B2(_03772_),
-    .X(_01016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09154_ (.A(_03729_),
+ sky130_fd_sc_hd__a21o_4 _09051_ (.A1(_03713_),
+    .A2(_03714_),
+    .B1(_03769_),
     .X(_03795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09155_ (.A(_03795_),
-    .X(_03796_),
+ sky130_fd_sc_hd__nor2_4 _09052_ (.A(_03768_),
+    .B(_03795_),
+    .Y(_03796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _09156_ (.A1_N(\u_spictrl.cfg_dummy_cnt[0] ),
-    .A2_N(_03726_),
-    .B1(\u_spictrl.cfg_data_cnt[0] ),
-    .B2(_03703_),
+ sky130_fd_sc_hd__a211o_4 _09053_ (.A1(_03768_),
+    .A2(_03795_),
+    .B1(_03695_),
+    .C1(_03796_),
     .X(_03797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09157_ (.A1(_03785_),
-    .A2(_03796_),
-    .B1(psn_net_167),
-    .C1(_03797_),
-    .X(_03798_),
+ sky130_fd_sc_hd__inv_2 _09054_ (.A(_03797_),
+    .Y(_03798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09158_ (.A1(_03779_),
-    .A2(_03798_),
-    .B1(_03775_),
-    .B2(_03792_),
+ sky130_fd_sc_hd__a211o_4 _09055_ (.A1(\u_spictrl.cfg_data_cnt[1] ),
+    .A2(_03722_),
+    .B1(_03794_),
+    .C1(_03798_),
     .X(_03799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09159_ (.A1(_03783_),
-    .A2(_03799_),
-    .B1(_03746_),
-    .B2(_03780_),
+ sky130_fd_sc_hd__o22a_4 _09056_ (.A1(_03755_),
+    .A2(_03780_),
+    .B1(_03754_),
+    .B2(_03799_),
     .X(_03800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09160_ (.A1(_03778_),
-    .A2(_03800_),
-    .B1(\u_spictrl.u_txreg.counter_trgt[3] ),
-    .B2(_03740_),
-    .X(_01015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09161_ (.A(_03727_),
+ sky130_fd_sc_hd__a32o_4 _09057_ (.A1(_03761_),
+    .A2(_03723_),
+    .A3(_03783_),
+    .B1(_03757_),
+    .B2(_03800_),
     .X(_03801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09162_ (.A(\u_spictrl.cfg_addr_cnt[0] ),
+ sky130_fd_sc_hd__o22a_4 _09058_ (.A1(_03759_),
+    .A2(_03801_),
+    .B1(\u_spictrl.u_txreg.counter_trgt[4] ),
+    .B2(_03740_),
+    .X(_01017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09059_ (.A(_03736_),
     .X(_03802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09163_ (.A(_03802_),
+ sky130_fd_sc_hd__buf_2 _09060_ (.A(_03691_),
     .X(_03803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09164_ (.A(\u_spictrl.cfg_addr_cnt[1] ),
-    .B(_03795_),
+ sky130_fd_sc_hd__buf_2 _09061_ (.A(_03692_),
     .X(_03804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09165_ (.A(_03804_),
+ sky130_fd_sc_hd__buf_2 _09062_ (.A(_03804_),
     .X(_03805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09166_ (.A(_03805_),
+ sky130_fd_sc_hd__buf_2 _09063_ (.A(_03696_),
     .X(_03806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09167_ (.A1(\u_spictrl.cfg_dummy_cnt[1] ),
-    .A2(\u_spictrl.cfg_dummy_cnt[0] ),
-    .A3(_03801_),
-    .B1(_03803_),
-    .B2(_03806_),
+ sky130_fd_sc_hd__or3_4 _09064_ (.A(_03745_),
+    .B(_03730_),
+    .C(\u_spictrl.cfg_dummy_cnt[0] ),
     .X(_03807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09168_ (.A1(_03779_),
-    .A2(_03807_),
-    .B1(_03775_),
-    .B2(_03798_),
+ sky130_fd_sc_hd__a32o_4 _09065_ (.A1(_03806_),
+    .A2(_03795_),
+    .A3(_03807_),
+    .B1(_03773_),
+    .B2(_03793_),
     .X(_03808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09169_ (.A1(_03783_),
-    .A2(_03808_),
-    .B1(_03746_),
-    .B2(_03792_),
+ sky130_fd_sc_hd__a2111o_4 _09066_ (.A1(\u_spictrl.cfg_data_cnt[0] ),
+    .A2(_03721_),
+    .B1(_03803_),
+    .C1(_03805_),
+    .D1(_03808_),
     .X(_03809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09170_ (.A1(_03778_),
+ sky130_fd_sc_hd__o22a_4 _09067_ (.A1(_03802_),
     .A2(_03809_),
-    .B1(psn_net_136),
-    .B2(_03740_),
-    .X(_01014_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09171_ (.A(_03758_),
+    .B1(_03762_),
+    .B2(_03799_),
     .X(_03810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09172_ (.A(_03810_),
-    .B(_03798_),
+ sky130_fd_sc_hd__o22a_4 _09068_ (.A1(_03783_),
+    .A2(_03810_),
+    .B1(_03767_),
+    .B2(_03780_),
     .X(_03811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09173_ (.A(_03747_),
+ sky130_fd_sc_hd__o22a_4 _09069_ (.A1(_03811_),
+    .A2(_03759_),
+    .B1(psn_net_36),
+    .B2(_03740_),
+    .X(_01016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09070_ (.A(_03687_),
     .X(_03812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09174_ (.A(_03812_),
-    .B(_03807_),
+ sky130_fd_sc_hd__buf_2 _09071_ (.A(_03812_),
     .X(_03813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o32a_4 _09175_ (.A1(_03811_),
-    .A2(_03813_),
-    .A3(_03742_),
-    .B1(psn_net_126),
-    .B2(_03740_),
-    .X(_01013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09176_ (.A(_03759_),
+ sky130_fd_sc_hd__buf_2 _09072_ (.A(_03813_),
     .X(_03814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09177_ (.A1(_03814_),
-    .A2(_03807_),
-    .A3(_03739_),
-    .B1(_03346_),
-    .B2(_03742_),
-    .X(_01012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09178_ (.A(psn_net_235),
+ sky130_fd_sc_hd__buf_2 _09073_ (.A(_03814_),
     .X(_03815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09179_ (.A(\u_spictrl.u_txreg.tx_CS[0] ),
+ sky130_fd_sc_hd__a32o_4 _09074_ (.A1(_03757_),
+    .A2(_03802_),
+    .A3(_03809_),
+    .B1(_03783_),
+    .B2(_03799_),
     .X(_03816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09180_ (.A(_03733_),
+ sky130_fd_sc_hd__a32o_4 _09075_ (.A1(_03815_),
+    .A2(psn_net_200),
+    .A3(psn_net_20),
+    .B1(_03740_),
+    .B2(_03816_),
+    .X(_01015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09076_ (.A(_03728_),
     .X(_03817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09181_ (.A(_03326_),
-    .X(_03818_),
+ sky130_fd_sc_hd__a32o_4 _09077_ (.A1(_03817_),
+    .A2(_03809_),
+    .A3(_03710_),
+    .B1(_03741_),
+    .B2(_03333_),
+    .X(_01014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09182_ (.A(_03348_),
+ sky130_fd_sc_hd__inv_2 _09078_ (.A(\u_spictrl.u_txreg.counter[2] ),
+    .Y(_03818_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09079_ (.A(_03339_),
+    .B(_03337_),
     .X(_03819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09183_ (.A(\u_spictrl.u_txreg.counter[2] ),
-    .Y(_03820_),
+ sky130_fd_sc_hd__or2_4 _09080_ (.A(_03818_),
+    .B(_03819_),
+    .X(_03820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _09184_ (.A(_03352_),
-    .B(_03819_),
-    .C(_03820_),
+ sky130_fd_sc_hd__or2_4 _09081_ (.A(_03343_),
+    .B(_03820_),
     .X(_03821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09185_ (.A(_03818_),
+ sky130_fd_sc_hd__or4_4 _09082_ (.A(_03349_),
     .B(_03821_),
+    .C(_03330_),
+    .D(_03357_),
     .X(_03822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09186_ (.A(_03331_),
+ sky130_fd_sc_hd__or3_4 _09083_ (.A(_03353_),
     .B(_03822_),
+    .C(_03318_),
     .X(_03823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09187_ (.A(\u_spictrl.u_txreg.spi_mode[0] ),
+ sky130_fd_sc_hd__inv_2 _09084_ (.A(_03823_),
     .Y(_03824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09188_ (.A(\u_spictrl.u_txreg.spi_mode[1] ),
+ sky130_fd_sc_hd__and3_4 _09085_ (.A(\u_spictrl.u_txreg.counter[9] ),
     .B(_03824_),
+    .C(\u_spictrl.u_txreg.counter[10] ),
     .X(_03825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09189_ (.A(\u_spictrl.u_txreg.spi_mode[1] ),
-    .Y(_03826_),
+ sky130_fd_sc_hd__and3_4 _09086_ (.A(\u_spictrl.u_txreg.counter[11] ),
+    .B(_03825_),
+    .C(\u_spictrl.u_txreg.counter[12] ),
+    .X(_03826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09190_ (.A(_03826_),
-    .B(\u_spictrl.u_txreg.spi_mode[0] ),
+ sky130_fd_sc_hd__and3_4 _09087_ (.A(\u_spictrl.u_txreg.counter[14] ),
+    .B(\u_spictrl.u_txreg.counter[13] ),
+    .C(_03826_),
     .X(_03827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09191_ (.A1(_03818_),
-    .A2(_03825_),
-    .B1(_03827_),
+ sky130_fd_sc_hd__buf_2 _09088_ (.A(\u_spictrl.u_txreg.tx_CS[2] ),
     .X(_03828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09192_ (.A1(\u_spictrl.u_txreg.spi_mode[1] ),
-    .A2(_03823_),
-    .B1(_03821_),
-    .B2(_03828_),
+ sky130_fd_sc_hd__buf_2 _09089_ (.A(_03296_),
     .X(_03829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09193_ (.A(\u_spictrl.u_txreg.tx_CS[2] ),
-    .Y(_03830_),
+ sky130_fd_sc_hd__buf_2 _09090_ (.A(\u_spictrl.u_txreg.tx_CS[0] ),
+    .X(_03830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09194_ (.A(_03830_),
-    .B(_03369_),
-    .X(_03831_),
+ sky130_fd_sc_hd__inv_2 _09091_ (.A(_03830_),
+    .Y(_03831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09195_ (.A(_03829_),
-    .B(_03831_),
-    .X(_03832_),
+ sky130_fd_sc_hd__inv_2 _09092_ (.A(_03362_),
+    .Y(_03832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09196_ (.A(_03817_),
-    .B(psn_net_185),
+ sky130_fd_sc_hd__or2_4 _09093_ (.A(_03296_),
+    .B(_03832_),
     .X(_03833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09197_ (.A1(\u_spictrl.u_txreg.tx_CS[2] ),
-    .A2(_03816_),
-    .B1(_03833_),
+ sky130_fd_sc_hd__buf_2 _09094_ (.A(psn_net_90),
     .X(_03834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09198_ (.A(\u_spictrl.spi_fall ),
-    .X(_03835_),
+ sky130_fd_sc_hd__inv_2 _09095_ (.A(_03834_),
+    .Y(_03835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09199_ (.A1(_03815_),
-    .A2(_03834_),
-    .B1(_03835_),
-    .X(_03836_),
+ sky130_fd_sc_hd__inv_2 _09096_ (.A(\u_spictrl.spi_dummy ),
+    .Y(_03836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09200_ (.A(_03836_),
-    .Y(_03837_),
+ sky130_fd_sc_hd__a211o_4 _09097_ (.A1(_01530_),
+    .A2(_01538_),
+    .B1(_03366_),
+    .C1(_03371_),
+    .X(_03837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09201_ (.A(_03823_),
+ sky130_fd_sc_hd__inv_2 _09098_ (.A(_03837_),
     .Y(_03838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09202_ (.A(\u_spictrl.u_txreg.counter[5] ),
-    .B(_03838_),
+ sky130_fd_sc_hd__a211o_4 _09099_ (.A1(_03836_),
+    .A2(_03700_),
+    .B1(_03686_),
+    .C1(_03838_),
     .X(_03839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09203_ (.A(\u_spictrl.u_txreg.counter[6] ),
-    .B(_03839_),
-    .X(_03840_),
+ sky130_fd_sc_hd__inv_2 _09100_ (.A(_03839_),
+    .Y(_03840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09204_ (.A(\u_spictrl.u_txreg.counter[7] ),
-    .B(_03840_),
+ sky130_fd_sc_hd__o21a_4 _09101_ (.A1(\u_spictrl.u_txreg.counter[4] ),
+    .A2(\u_spictrl.u_txreg.spi_mode[0] ),
+    .B1(_03346_),
     .X(_03841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09205_ (.A(_03364_),
-    .B(_03841_),
-    .X(_03842_),
+ sky130_fd_sc_hd__inv_2 _09102_ (.A(_03820_),
+    .Y(_03842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09206_ (.A(\u_spictrl.u_txreg.counter[9] ),
-    .B(_03842_),
+ sky130_fd_sc_hd__o21a_4 _09103_ (.A1(\u_spictrl.u_txreg.spi_mode[1] ),
+    .A2(_03841_),
+    .B1(_03842_),
     .X(_03843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09207_ (.A(_03843_),
+ sky130_fd_sc_hd__inv_2 _09104_ (.A(_03843_),
     .Y(_03844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09208_ (.A(_03302_),
+ sky130_fd_sc_hd__or2_4 _09105_ (.A(_03840_),
     .B(_03844_),
-    .C(_03319_),
-    .D(_03320_),
     .X(_03845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09209_ (.A(_03845_),
-    .B(_03837_),
-    .X(_03846_),
+ sky130_fd_sc_hd__inv_2 _09106_ (.A(_03845_),
+    .Y(_03846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09210_ (.A(_03323_),
+ sky130_fd_sc_hd__and2_4 _09107_ (.A(psn_net_86),
     .B(_03846_),
     .X(_03847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09211_ (.A1(_03322_),
-    .A2(_03847_),
-    .B1(_03321_),
+ sky130_fd_sc_hd__buf_2 _09108_ (.A(_03847_),
     .X(_03848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09212_ (.A(psn_net_183),
+ sky130_fd_sc_hd__or2_4 _09109_ (.A(\u_spictrl.u_txreg.tx_CS[2] ),
+    .B(_03704_),
     .X(_03849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09213_ (.A(_03830_),
+ sky130_fd_sc_hd__or2_4 _09110_ (.A(_03839_),
+    .B(_03844_),
     .X(_03850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09214_ (.A(_03850_),
-    .B(_03719_),
-    .X(_03851_),
+ sky130_fd_sc_hd__inv_2 _09111_ (.A(_03850_),
+    .Y(_03851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09215_ (.A(_03851_),
-    .X(_03852_),
+ sky130_fd_sc_hd__inv_2 _09112_ (.A(\u_spictrl.u_txreg.spi_mode[0] ),
+    .Y(_03852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09216_ (.A(_03849_),
-    .B(_03852_),
+ sky130_fd_sc_hd__and2_4 _09113_ (.A(_03852_),
+    .B(_03850_),
     .X(_03853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09217_ (.A(\u_spictrl.u_txreg.counter[10] ),
-    .B(_03843_),
+ sky130_fd_sc_hd__a211o_4 _09114_ (.A1(_03729_),
+    .A2(_03851_),
+    .B1(_03853_),
+    .C1(_03833_),
     .X(_03854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _09218_ (.A(_03849_),
-    .B(_03854_),
+ sky130_fd_sc_hd__inv_2 _09115_ (.A(_03701_),
     .Y(_03855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _09219_ (.A(_03325_),
-    .B(_03855_),
-    .Y(_03856_),
+ sky130_fd_sc_hd__and2_4 _09116_ (.A(_03852_),
+    .B(_03701_),
+    .X(_03856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _09220_ (.A(_03856_),
-    .B(_03853_),
-    .C(_03848_),
+ sky130_fd_sc_hd__a211o_4 _09117_ (.A1(_03729_),
+    .A2(_03855_),
+    .B1(_03856_),
+    .C1(_03706_),
     .X(_03857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09221_ (.A(_03857_),
-    .Y(_01011_),
+ sky130_fd_sc_hd__buf_2 _09118_ (.A(_03852_),
+    .X(_03858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09222_ (.A(psn_net_178),
-    .Y(_03858_),
+ sky130_fd_sc_hd__inv_2 _09119_ (.A(_03849_),
+    .Y(_03859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09223_ (.A(psn_net_182),
-    .X(_03859_),
+ sky130_fd_sc_hd__a32o_4 _09120_ (.A1(_03857_),
+    .A2(_03849_),
+    .A3(_03854_),
+    .B1(_03858_),
+    .B2(_03859_),
+    .X(_03860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09224_ (.A(_03851_),
-    .Y(_03860_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09225_ (.A1(_03324_),
-    .A2(_03845_),
-    .B1(_03860_),
+ sky130_fd_sc_hd__buf_2 _09121_ (.A(\u_spictrl.u_txreg.spi_mode[1] ),
     .X(_03861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09226_ (.A1(\u_spictrl.u_txreg.counter[14] ),
-    .A2(_03858_),
-    .B1(_03859_),
-    .B2(_03861_),
-    .X(_01010_),
+ sky130_fd_sc_hd__inv_2 _09122_ (.A(_03861_),
+    .Y(_03862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09227_ (.A1(_03323_),
-    .A2(psn_net_179),
-    .B1(_03858_),
-    .C1(_03853_),
-    .X(_03862_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09228_ (.A(_03862_),
-    .Y(_01009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09229_ (.A(_03849_),
-    .B(_03854_),
-    .C(\u_spictrl.u_txreg.counter[11] ),
+ sky130_fd_sc_hd__and2_4 _09123_ (.A(_03862_),
+    .B(_03850_),
     .X(_03863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09230_ (.A(_03845_),
-    .B(_03860_),
+ sky130_fd_sc_hd__a211o_4 _09124_ (.A1(_03745_),
+    .A2(_03851_),
+    .B1(_03863_),
+    .C1(_03833_),
     .X(_03864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09231_ (.A1(\u_spictrl.u_txreg.counter[12] ),
-    .A2(_03863_),
-    .B1(_03859_),
-    .B2(_03864_),
-    .X(_01008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09232_ (.A1(_03320_),
-    .A2(_03855_),
-    .B1(_03863_),
-    .C1(_03853_),
+ sky130_fd_sc_hd__and2_4 _09125_ (.A(_03862_),
+    .B(_03701_),
     .X(_03865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09233_ (.A(_03865_),
-    .Y(_01007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09234_ (.A(_03849_),
+ sky130_fd_sc_hd__a211o_4 _09126_ (.A1(_03745_),
+    .A2(_03855_),
+    .B1(_03865_),
+    .C1(_03706_),
     .X(_03866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09235_ (.A(_03866_),
+ sky130_fd_sc_hd__buf_2 _09127_ (.A(_03862_),
     .X(_03867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09236_ (.A(_03851_),
+ sky130_fd_sc_hd__buf_2 _09128_ (.A(_03859_),
     .X(_03868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09237_ (.A(_03302_),
-    .B(_03844_),
+ sky130_fd_sc_hd__a32o_4 _09129_ (.A1(_03866_),
+    .A2(_03849_),
+    .A3(_03864_),
+    .B1(_03867_),
+    .B2(_03868_),
     .X(_03869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09238_ (.A(psn_net_187),
+ sky130_fd_sc_hd__o21a_4 _09130_ (.A1(_03860_),
+    .A2(_03869_),
+    .B1(_03686_),
     .X(_03870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09239_ (.A(_03854_),
-    .B(_03868_),
-    .C(_03869_),
-    .D(_03870_),
+ sky130_fd_sc_hd__a211o_4 _09131_ (.A1(_03829_),
+    .A2(_03831_),
+    .B1(_03848_),
+    .C1(psn_net_75),
     .X(_03871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09240_ (.A1(_03302_),
-    .A2(_03867_),
-    .B1(_03859_),
-    .B2(_03871_),
+ sky130_fd_sc_hd__and2_4 _09132_ (.A(_03871_),
+    .B(_03812_),
     .X(_03872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09241_ (.A(_03872_),
-    .Y(_01006_),
+ sky130_fd_sc_hd__inv_2 _09133_ (.A(_03872_),
+    .Y(_03873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09242_ (.A(psn_net_181),
-    .X(_03873_),
+ sky130_fd_sc_hd__and3_4 _09134_ (.A(_03828_),
+    .B(_03813_),
+    .C(_03873_),
+    .X(_03874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _09243_ (.A(\u_spictrl.u_txreg.counter[9] ),
-    .B(_03842_),
-    .Y(_03874_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09244_ (.A(_03843_),
-    .B(_03868_),
-    .C(_03874_),
-    .D(_03870_),
+ sky130_fd_sc_hd__buf_2 _09135_ (.A(_03688_),
     .X(_03875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09245_ (.A1(_03313_),
-    .A2(_03867_),
-    .B1(_03873_),
-    .B2(_03875_),
+ sky130_fd_sc_hd__or2_4 _09136_ (.A(_03829_),
+    .B(_03875_),
     .X(_03876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09246_ (.A(_03876_),
-    .Y(_01005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09247_ (.A(_03368_),
+ sky130_fd_sc_hd__buf_2 _09137_ (.A(_03876_),
     .X(_03877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _09248_ (.A(_03364_),
-    .B(_03841_),
-    .Y(_03878_),
+ sky130_fd_sc_hd__buf_2 _09138_ (.A(_03873_),
+    .X(_03878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09249_ (.A(_03850_),
-    .B(_03720_),
-    .C(_03842_),
-    .D(_03878_),
-    .X(_03879_),
+ sky130_fd_sc_hd__o21ai_4 _09139_ (.A1(_03877_),
+    .A2(_03827_),
+    .B1(_03878_),
+    .Y(_03879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09250_ (.A(_03879_),
-    .Y(_03880_),
+ sky130_fd_sc_hd__a32o_4 _09140_ (.A1(_03307_),
+    .A2(_03827_),
+    .A3(_03874_),
+    .B1(_03879_),
+    .B2(\u_spictrl.u_txreg.counter[15] ),
+    .X(_01013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09251_ (.A1(psn_net_110),
+ sky130_fd_sc_hd__and3_4 _09141_ (.A(\u_spictrl.u_txreg.counter[13] ),
+    .B(_03826_),
+    .C(_03878_),
+    .X(_03880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _09142_ (.A1(\u_spictrl.u_txreg.counter[14] ),
     .A2(_03880_),
-    .A3(_03867_),
-    .B1(_03364_),
-    .B2(_03859_),
-    .X(_01004_),
+    .B1(psn_net_73),
+    .X(_01012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09252_ (.A(_03866_),
-    .X(_03881_),
+ sky130_fd_sc_hd__o21ai_4 _09143_ (.A1(_03877_),
+    .A2(_03826_),
+    .B1(_03878_),
+    .Y(_03881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _09253_ (.A(\u_spictrl.u_txreg.counter[7] ),
-    .B(_03840_),
-    .Y(_03882_),
+ sky130_fd_sc_hd__a32o_4 _09144_ (.A1(_03309_),
+    .A2(_03826_),
+    .A3(_03874_),
+    .B1(_03881_),
+    .B2(\u_spictrl.u_txreg.counter[13] ),
+    .X(_01011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09254_ (.A(_03841_),
-    .B(_03868_),
+ sky130_fd_sc_hd__buf_2 _09145_ (.A(_03873_),
+    .X(_03882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _09146_ (.A(\u_spictrl.u_txreg.counter[11] ),
+    .B(_03825_),
     .C(_03882_),
-    .D(_03870_),
     .X(_03883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09255_ (.A1(_03336_),
-    .A2(_03881_),
-    .B1(_03873_),
-    .B2(_03883_),
-    .X(_03884_),
+ sky130_fd_sc_hd__o21a_4 _09147_ (.A1(\u_spictrl.u_txreg.counter[12] ),
+    .A2(_03883_),
+    .B1(psn_net_70),
+    .X(_01010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09256_ (.A(_03884_),
-    .Y(_01003_),
+ sky130_fd_sc_hd__o21ai_4 _09148_ (.A1(_03877_),
+    .A2(_03825_),
+    .B1(_03878_),
+    .Y(_03884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _09257_ (.A(\u_spictrl.u_txreg.counter[6] ),
-    .B(_03839_),
-    .Y(_03885_),
+ sky130_fd_sc_hd__a32o_4 _09149_ (.A1(_03311_),
+    .A2(_03825_),
+    .A3(_03874_),
+    .B1(_03884_),
+    .B2(\u_spictrl.u_txreg.counter[11] ),
+    .X(_01009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09258_ (.A(_03840_),
-    .B(_03868_),
-    .C(_03885_),
-    .D(_03870_),
+ sky130_fd_sc_hd__or2_4 _09150_ (.A(\u_spictrl.u_txreg.counter[10] ),
+    .B(_03882_),
+    .X(_03885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09151_ (.A(_03297_),
+    .B(_03823_),
     .X(_03886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09259_ (.A1(_03342_),
-    .A2(_03881_),
-    .B1(_03873_),
-    .B2(_03886_),
-    .X(_03887_),
+ sky130_fd_sc_hd__a21oi_4 _09152_ (.A1(_03325_),
+    .A2(_03886_),
+    .B1(psn_net_91),
+    .Y(_03887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09260_ (.A(_03887_),
-    .Y(_01002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09261_ (.A(_03360_),
-    .B(_03823_),
+ sky130_fd_sc_hd__or2_4 _09153_ (.A(_03887_),
+    .B(psn_net_58),
     .X(_03888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09262_ (.A(_03369_),
+ sky130_fd_sc_hd__and3_4 _09154_ (.A(_03888_),
+    .B(_03884_),
+    .C(_03885_),
+    .X(_01008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09155_ (.A(_03875_),
+    .B(psn_net_87),
     .X(_03889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09263_ (.A(_03839_),
-    .B(_03851_),
-    .C(_03888_),
-    .D(_03889_),
-    .X(_03890_),
+ sky130_fd_sc_hd__nor2_4 _09156_ (.A(_03889_),
+    .B(psn_net_59),
+    .Y(_03890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09264_ (.A1(_03360_),
-    .A2(_03881_),
-    .B1(_03873_),
-    .B2(_03890_),
+ sky130_fd_sc_hd__buf_2 _09157_ (.A(_03890_),
     .X(_03891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09265_ (.A(_03891_),
-    .Y(_01001_),
+ sky130_fd_sc_hd__inv_2 _09158_ (.A(_03889_),
+    .Y(_03892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09266_ (.A(psn_net_180),
-    .X(_03892_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09267_ (.A(_03331_),
-    .B(_03822_),
+ sky130_fd_sc_hd__buf_2 _09159_ (.A(_03872_),
     .X(_03893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09268_ (.A(_03838_),
-    .B(_03893_),
-    .C(_03852_),
-    .D(_03889_),
+ sky130_fd_sc_hd__a21o_4 _09160_ (.A1(_03823_),
+    .A2(_03892_),
+    .B1(_03893_),
     .X(_03894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09269_ (.A1(_03331_),
-    .A2(_03881_),
-    .B1(_03892_),
-    .B2(_03894_),
-    .X(_03895_),
+ sky130_fd_sc_hd__a32o_4 _09161_ (.A1(_03297_),
+    .A2(_03824_),
+    .A3(_03891_),
+    .B1(_03894_),
+    .B2(\u_spictrl.u_txreg.counter[9] ),
+    .X(_01007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09270_ (.A(_03895_),
-    .Y(_01000_),
+ sky130_fd_sc_hd__inv_2 _09162_ (.A(_03822_),
+    .Y(_03895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09271_ (.A(_03822_),
-    .Y(_03896_),
+ sky130_fd_sc_hd__and3_4 _09163_ (.A(_03882_),
+    .B(\u_spictrl.u_txreg.counter[7] ),
+    .C(_03895_),
+    .X(_03896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09272_ (.A(_03818_),
-    .B(_03821_),
+ sky130_fd_sc_hd__o21a_4 _09164_ (.A1(\u_spictrl.u_txreg.counter[8] ),
+    .A2(_03896_),
+    .B1(_03894_),
+    .X(_01006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _09165_ (.A1(_03822_),
+    .A2(_03892_),
+    .B1(_03893_),
     .X(_03897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09273_ (.A(_03896_),
-    .B(_03897_),
-    .C(_03852_),
-    .D(_03889_),
+ sky130_fd_sc_hd__a32o_4 _09166_ (.A1(_03353_),
+    .A2(_03895_),
+    .A3(_03891_),
+    .B1(_03897_),
+    .B2(\u_spictrl.u_txreg.counter[7] ),
+    .X(_01005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09167_ (.A(\u_spictrl.u_txreg.counter[4] ),
     .X(_03898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09274_ (.A1(_03818_),
-    .A2(_03866_),
-    .B1(_03892_),
-    .B2(_03898_),
-    .X(_03899_),
+ sky130_fd_sc_hd__inv_2 _09168_ (.A(_03821_),
+    .Y(_03899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09275_ (.A(_03899_),
-    .Y(_00999_),
+ sky130_fd_sc_hd__and4_4 _09169_ (.A(_03898_),
+    .B(_03899_),
+    .C(\u_spictrl.u_txreg.counter[5] ),
+    .D(_03882_),
+    .X(_03900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09276_ (.A(_03821_),
-    .Y(_03900_),
+ sky130_fd_sc_hd__o21a_4 _09170_ (.A1(\u_spictrl.u_txreg.counter[6] ),
+    .A2(_03900_),
+    .B1(psn_net_56),
+    .X(_01004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09277_ (.A1(_03352_),
-    .A2(_03819_),
-    .B1(_03820_),
+ sky130_fd_sc_hd__and3_4 _09171_ (.A(_03898_),
+    .B(_03899_),
+    .C(psn_net_71),
     .X(_03901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09278_ (.A(_03900_),
-    .B(_03901_),
-    .C(_03852_),
-    .D(_03889_),
+ sky130_fd_sc_hd__buf_2 _09172_ (.A(psn_net_63),
     .X(_03902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09279_ (.A1(_03820_),
-    .A2(_03866_),
-    .B1(_03892_),
-    .B2(_03902_),
+ sky130_fd_sc_hd__or2_4 _09173_ (.A(_03349_),
+    .B(_03821_),
     .X(_03903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09280_ (.A(_03903_),
-    .Y(_00998_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09281_ (.A(_03819_),
-    .B(_03860_),
-    .C(psn_net_111),
+ sky130_fd_sc_hd__o21a_4 _09174_ (.A1(_03330_),
+    .A2(_03903_),
+    .B1(_03892_),
     .X(_03904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09282_ (.A(_03892_),
-    .B(_03904_),
+ sky130_fd_sc_hd__o22a_4 _09175_ (.A1(\u_spictrl.u_txreg.counter[5] ),
+    .A2(_03901_),
+    .B1(_03904_),
+    .B2(_03902_),
+    .X(_01003_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09176_ (.A(_03898_),
+    .B(_03899_),
     .X(_03905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09283_ (.A(_03815_),
+ sky130_fd_sc_hd__a32o_4 _09177_ (.A1(_03903_),
+    .A2(_03905_),
+    .A3(_03891_),
+    .B1(_03898_),
+    .B2(_03902_),
+    .X(_01002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09178_ (.A(_03346_),
+    .B(_03842_),
     .X(_03906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09284_ (.A(_03722_),
-    .B(_03906_),
-    .X(_03907_),
+ sky130_fd_sc_hd__a32o_4 _09179_ (.A1(_03821_),
+    .A2(_03906_),
+    .A3(_03891_),
+    .B1(_03902_),
+    .B2(_03346_),
+    .X(_01001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _09285_ (.A(\u_spictrl.u_txreg.counter[1] ),
+ sky130_fd_sc_hd__nand2_4 _09180_ (.A(_03818_),
     .B(_03819_),
-    .C(_03907_),
-    .D(psn_net_186),
+    .Y(_03907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09181_ (.A1(_03820_),
+    .A2(_03907_),
+    .A3(_03890_),
+    .B1(\u_spictrl.u_txreg.counter[2] ),
+    .B2(psn_net_57),
+    .X(_01000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09182_ (.A(\u_spictrl.u_txreg.counter[1] ),
+    .B(\u_spictrl.u_txreg.counter[0] ),
     .X(_03908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _09286_ (.A1(\u_spictrl.u_txreg.counter[1] ),
-    .A2(_03905_),
-    .B1_N(_03908_),
-    .X(_00997_),
+ sky130_fd_sc_hd__a32o_4 _09183_ (.A1(_03819_),
+    .A2(_03908_),
+    .A3(_03890_),
+    .B1(psn_net_57),
+    .B2(\u_spictrl.u_txreg.counter[1] ),
+    .X(_00999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09287_ (.A1(\u_spictrl.u_txreg.counter[0] ),
-    .A2(_03867_),
-    .B1(_03905_),
-    .X(_00996_),
+ sky130_fd_sc_hd__o22a_4 _09184_ (.A1(_03337_),
+    .A2(_03902_),
+    .B1(\u_spictrl.u_txreg.counter[0] ),
+    .B2(_03874_),
+    .X(_00998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09288_ (.A(psn_net_231),
+ sky130_fd_sc_hd__buf_2 _09185_ (.A(_03849_),
     .X(_03909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09289_ (.A(_03909_),
+ sky130_fd_sc_hd__and2_4 _09186_ (.A(_03812_),
+    .B(_03909_),
     .X(_03910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09290_ (.A(_03910_),
+ sky130_fd_sc_hd__buf_2 _09187_ (.A(_03910_),
     .X(_03911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09291_ (.A(_03721_),
-    .Y(_03912_),
+ sky130_fd_sc_hd__buf_2 _09188_ (.A(_03844_),
+    .X(_03912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09292_ (.A(_03830_),
-    .B(_03912_),
+ sky130_fd_sc_hd__buf_2 _09189_ (.A(_03912_),
     .X(_03913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09293_ (.A(_03722_),
-    .B(_03913_),
+ sky130_fd_sc_hd__buf_2 _09190_ (.A(_03913_),
     .X(_03914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09294_ (.A(_03914_),
-    .Y(_03915_),
+ sky130_fd_sc_hd__buf_2 _09191_ (.A(_03861_),
+    .X(_03915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09295_ (.A(_03915_),
+ sky130_fd_sc_hd__buf_2 _09192_ (.A(_03915_),
     .X(_03916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09296_ (.A(_03916_),
+ sky130_fd_sc_hd__buf_2 _09193_ (.A(_03916_),
     .X(_03917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _09297_ (.A(_03877_),
-    .B(_03829_),
-    .Y(_03918_),
+ sky130_fd_sc_hd__buf_2 _09194_ (.A(\u_spictrl.u_txreg.spi_mode[0] ),
+    .X(_03918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09298_ (.A(_03830_),
-    .B(_03918_),
+ sky130_fd_sc_hd__buf_2 _09195_ (.A(_03918_),
     .X(_03919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09299_ (.A(psn_net_150),
-    .Y(_03920_),
+ sky130_fd_sc_hd__buf_2 _09196_ (.A(_03919_),
+    .X(_03920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09300_ (.A(psn_net_148),
+ sky130_fd_sc_hd__buf_2 _09197_ (.A(_03920_),
     .X(_03921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09301_ (.A(_03921_),
+ sky130_fd_sc_hd__buf_2 _09198_ (.A(_03858_),
     .X(_03922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09302_ (.A(_03922_),
+ sky130_fd_sc_hd__buf_2 _09199_ (.A(_03922_),
     .X(_03923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09303_ (.A(\u_spictrl.u_txreg.data_int[23] ),
+ sky130_fd_sc_hd__o22a_4 _09200_ (.A1(\u_spictrl.u_txreg.data_int[26] ),
+    .A2(_03921_),
+    .B1(\u_spictrl.u_txreg.data_int[25] ),
+    .B2(_03923_),
     .X(_03924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09304_ (.A(_03827_),
+ sky130_fd_sc_hd__buf_2 _09201_ (.A(_03867_),
     .X(_03925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09305_ (.A(_03925_),
+ sky130_fd_sc_hd__buf_2 _09202_ (.A(_03925_),
     .X(_03926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09306_ (.A(_03926_),
+ sky130_fd_sc_hd__buf_2 _09203_ (.A(_03926_),
     .X(_03927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09307_ (.A(_03827_),
-    .Y(_03928_),
+ sky130_fd_sc_hd__o22a_4 _09204_ (.A1(_03917_),
+    .A2(_03924_),
+    .B1(\u_spictrl.u_txreg.data_int[23] ),
+    .B2(_03927_),
+    .X(_03928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09308_ (.A(_03928_),
+ sky130_fd_sc_hd__buf_2 _09205_ (.A(_03835_),
     .X(_03929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09309_ (.A(_03929_),
+ sky130_fd_sc_hd__buf_2 _09206_ (.A(psn_net_84),
     .X(_03930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09310_ (.A(_03930_),
+ sky130_fd_sc_hd__buf_2 _09207_ (.A(_03930_),
     .X(_03931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09311_ (.A(_03825_),
-    .Y(_03932_),
+ sky130_fd_sc_hd__buf_2 _09208_ (.A(_03855_),
+    .X(_03932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09312_ (.A(_03932_),
+ sky130_fd_sc_hd__buf_2 _09209_ (.A(\u_spictrl.u_txreg.tx_CS[1] ),
     .X(_03933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09313_ (.A(_03933_),
-    .X(_03934_),
+ sky130_fd_sc_hd__inv_2 _09210_ (.A(_03364_),
+    .Y(_03934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09314_ (.A(_03934_),
+ sky130_fd_sc_hd__or2_4 _09211_ (.A(_03933_),
+    .B(_03934_),
     .X(_03935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09315_ (.A(\u_spictrl.u_txreg.data_int[25] ),
+ sky130_fd_sc_hd__buf_2 _09212_ (.A(_03935_),
     .X(_03936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09316_ (.A(_03825_),
+ sky130_fd_sc_hd__and2_4 _09213_ (.A(_03929_),
+    .B(_03851_),
     .X(_03937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09317_ (.A(_03937_),
+ sky130_fd_sc_hd__a211o_4 _09214_ (.A1(_03932_),
+    .A2(_03936_),
+    .B1(_03830_),
+    .C1(_03937_),
     .X(_03938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09318_ (.A(_03938_),
+ sky130_fd_sc_hd__buf_2 _09215_ (.A(_03938_),
     .X(_03939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09319_ (.A1(\u_spictrl.u_txreg.data_int[26] ),
-    .A2(_03935_),
-    .B1(_03936_),
-    .B2(_03939_),
+ sky130_fd_sc_hd__buf_2 _09216_ (.A(_03939_),
     .X(_03940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09320_ (.A1(_03924_),
-    .A2(_03927_),
-    .B1(_03931_),
-    .B2(_03940_),
+ sky130_fd_sc_hd__buf_2 _09217_ (.A(_03940_),
     .X(_03941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09321_ (.A(\u_spictrl.u_txreg.data_int[27] ),
+ sky130_fd_sc_hd__buf_2 _09218_ (.A(_03786_),
     .X(_03942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09322_ (.A(\u_spictrl.u_txreg.tx_CS[2] ),
-    .B(psn_net_109),
+ sky130_fd_sc_hd__buf_2 _09219_ (.A(psn_net_163),
     .X(_03943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _09323_ (.A(\u_spictrl.u_txreg.tx_CS[1] ),
-    .B(_03943_),
-    .Y(_03944_),
+ sky130_fd_sc_hd__buf_2 _09220_ (.A(_03943_),
+    .X(_03944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09324_ (.A(_03817_),
-    .B(_03944_),
+ sky130_fd_sc_hd__buf_2 _09221_ (.A(_03501_),
     .X(_03945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09325_ (.A(_03945_),
-    .Y(_03946_),
+ sky130_fd_sc_hd__buf_2 _09222_ (.A(_03945_),
+    .X(_03946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09326_ (.A(_03946_),
+ sky130_fd_sc_hd__buf_2 _09223_ (.A(_03508_),
     .X(_03947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09327_ (.A(_03947_),
+ sky130_fd_sc_hd__buf_2 _09224_ (.A(_03947_),
     .X(_03948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09328_ (.A(_03942_),
-    .B(_03948_),
+ sky130_fd_sc_hd__buf_2 _09225_ (.A(_03948_),
     .X(_03949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09329_ (.A(_03816_),
-    .Y(_03950_),
+ sky130_fd_sc_hd__o22a_4 _09226_ (.A1(_03946_),
+    .A2(\u_m0_cmd_fifo.mem[0][27] ),
+    .B1(_03949_),
+    .B2(\u_m0_cmd_fifo.mem[1][27] ),
+    .X(_03950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _09330_ (.A1(_03734_),
-    .A2(_03944_),
-    .B1(_03950_),
-    .Y(_03951_),
+ sky130_fd_sc_hd__buf_2 _09227_ (.A(psn_net_168),
+    .X(_03951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09331_ (.A(_03951_),
+ sky130_fd_sc_hd__buf_2 _09228_ (.A(_03951_),
     .X(_03952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09332_ (.A(_03952_),
+ sky130_fd_sc_hd__buf_2 _09229_ (.A(_03952_),
     .X(_03953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09333_ (.A(_03953_),
+ sky130_fd_sc_hd__buf_2 _09230_ (.A(_03953_),
     .X(_03954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09334_ (.A(psn_net_210),
+ sky130_fd_sc_hd__buf_2 _09231_ (.A(_03954_),
     .X(_03955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09335_ (.A(_03955_),
+ sky130_fd_sc_hd__buf_2 _09232_ (.A(_03955_),
     .X(_03956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09336_ (.A(_03956_),
+ sky130_fd_sc_hd__buf_2 _09233_ (.A(_03382_),
     .X(_03957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09337_ (.A(_03728_),
+ sky130_fd_sc_hd__buf_2 _09234_ (.A(_03957_),
     .X(_03958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09338_ (.A1(_03795_),
-    .A2(_03786_),
-    .B1(_03958_),
-    .C1(psn_net_170),
+ sky130_fd_sc_hd__buf_2 _09235_ (.A(_03958_),
     .X(_03959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09339_ (.A(_03959_),
+ sky130_fd_sc_hd__buf_2 _09236_ (.A(\u_m1_cmd_fifo.rd_ptr[0] ),
     .X(_03960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09340_ (.A(_03960_),
+ sky130_fd_sc_hd__buf_2 _09237_ (.A(_03960_),
     .X(_03961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09341_ (.A(\u_m1_cmd_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__buf_2 _09238_ (.A(_03961_),
     .X(_03962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09342_ (.A(_03962_),
+ sky130_fd_sc_hd__buf_2 _09239_ (.A(_03962_),
     .X(_03963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09343_ (.A(_03963_),
+ sky130_fd_sc_hd__buf_2 _09240_ (.A(_03963_),
     .X(_03964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09344_ (.A(_03964_),
+ sky130_fd_sc_hd__buf_2 _09241_ (.A(_03964_),
     .X(_03965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09345_ (.A(_03965_),
+ sky130_fd_sc_hd__or2_4 _09242_ (.A(_03965_),
+    .B(\u_m1_cmd_fifo.mem[0][27] ),
     .X(_03966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09346_ (.A(_03966_),
+ sky130_fd_sc_hd__or2_4 _09243_ (.A(_03292_),
+    .B(\u_m1_cmd_fifo.mem[1][27] ),
     .X(_03967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09347_ (.A(_03292_),
-    .B(\u_m1_cmd_fifo.mem[2][3] ),
+ sky130_fd_sc_hd__buf_2 _09244_ (.A(_03291_),
     .X(_03968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09348_ (.A1(_03967_),
-    .A2(\u_m1_cmd_fifo.mem[3][3] ),
-    .B1(_03380_),
-    .C1(_03968_),
+ sky130_fd_sc_hd__or2_4 _09245_ (.A(_03968_),
+    .B(\u_m1_cmd_fifo.mem[3][27] ),
     .X(_03969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09349_ (.A(_03966_),
+ sky130_fd_sc_hd__buf_2 _09246_ (.A(_03963_),
     .X(_03970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09350_ (.A(_03290_),
+ sky130_fd_sc_hd__buf_2 _09247_ (.A(_03970_),
     .X(_03971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09351_ (.A(_03971_),
+ sky130_fd_sc_hd__buf_2 _09248_ (.A(_03971_),
     .X(_03972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09352_ (.A(_03972_),
-    .B(\u_m1_cmd_fifo.mem[0][3] ),
+ sky130_fd_sc_hd__o21a_4 _09249_ (.A1(_03972_),
+    .A2(\u_m1_cmd_fifo.mem[2][27] ),
+    .B1(_03285_),
     .X(_03973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09353_ (.A1(_03970_),
-    .A2(\u_m1_cmd_fifo.mem[1][3] ),
-    .B1(_03287_),
-    .C1(_03973_),
+ sky130_fd_sc_hd__a32o_4 _09250_ (.A1(_03959_),
+    .A2(_03966_),
+    .A3(_03967_),
+    .B1(_03969_),
+    .B2(_03973_),
     .X(_03974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09354_ (.A(_03518_),
-    .B(\u_m0_cmd_fifo.mem[1][3] ),
+ sky130_fd_sc_hd__o22a_4 _09251_ (.A1(_03944_),
+    .A2(_03950_),
+    .B1(_03956_),
+    .B2(_03974_),
     .X(_03975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09355_ (.A(\u_spictrl.gnt[2] ),
+ sky130_fd_sc_hd__buf_2 _09252_ (.A(_03788_),
     .X(_03976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09356_ (.A(_03976_),
+ sky130_fd_sc_hd__buf_2 _09253_ (.A(_03976_),
     .X(_03977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09357_ (.A(_03977_),
+ sky130_fd_sc_hd__buf_2 _09254_ (.A(_03943_),
     .X(_03978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09358_ (.A(_03978_),
+ sky130_fd_sc_hd__o22a_4 _09255_ (.A1(_03503_),
+    .A2(\u_m0_cmd_fifo.mem[0][19] ),
+    .B1(_03510_),
+    .B2(\u_m0_cmd_fifo.mem[1][19] ),
     .X(_03979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09359_ (.A1(_03511_),
-    .A2(\u_m0_cmd_fifo.mem[0][3] ),
-    .B1(_03979_),
+ sky130_fd_sc_hd__buf_2 _09256_ (.A(_03955_),
     .X(_03980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09360_ (.A1(_03969_),
-    .A2(_03974_),
-    .A3(_01526_),
-    .B1(_03975_),
-    .B2(_03980_),
+ sky130_fd_sc_hd__buf_2 _09257_ (.A(_03964_),
     .X(_03981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09361_ (.A(_03961_),
-    .B(_03981_),
+ sky130_fd_sc_hd__or2_4 _09258_ (.A(_03981_),
+    .B(\u_m1_cmd_fifo.mem[0][19] ),
     .X(_03982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09362_ (.A(_03789_),
+ sky130_fd_sc_hd__buf_2 _09259_ (.A(_03289_),
     .X(_03983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09363_ (.A(\u_spictrl.cfg_addr_cnt[1] ),
-    .B(_03785_),
+ sky130_fd_sc_hd__buf_2 _09260_ (.A(_03983_),
     .X(_03984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09364_ (.A(_03984_),
+ sky130_fd_sc_hd__or2_4 _09261_ (.A(_03984_),
+    .B(\u_m1_cmd_fifo.mem[1][19] ),
     .X(_03985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09365_ (.A(_03985_),
+ sky130_fd_sc_hd__or2_4 _09262_ (.A(_03292_),
+    .B(\u_m1_cmd_fifo.mem[3][19] ),
     .X(_03986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09366_ (.A(_03986_),
+ sky130_fd_sc_hd__buf_2 _09263_ (.A(_03970_),
     .X(_03987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09367_ (.A(_01523_),
+ sky130_fd_sc_hd__buf_2 _09264_ (.A(_03987_),
     .X(_03988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09368_ (.A(_03988_),
+ sky130_fd_sc_hd__buf_2 _09265_ (.A(_03284_),
     .X(_03989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09369_ (.A(_03989_),
+ sky130_fd_sc_hd__o21a_4 _09266_ (.A1(_03988_),
+    .A2(\u_m1_cmd_fifo.mem[2][19] ),
+    .B1(_03989_),
     .X(_03990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09370_ (.A(_03516_),
+ sky130_fd_sc_hd__a32o_4 _09267_ (.A1(_03384_),
+    .A2(_03982_),
+    .A3(_03985_),
+    .B1(_03986_),
+    .B2(_03990_),
     .X(_03991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09371_ (.A1(_03510_),
-    .A2(\u_m0_cmd_fifo.mem[0][11] ),
-    .B1(_03991_),
-    .B2(\u_m0_cmd_fifo.mem[1][11] ),
+ sky130_fd_sc_hd__o22a_4 _09268_ (.A1(_03978_),
+    .A2(_03979_),
+    .B1(_03980_),
+    .B2(_03991_),
     .X(_03992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09372_ (.A(_03976_),
+ sky130_fd_sc_hd__o22a_4 _09269_ (.A1(_03791_),
+    .A2(_03975_),
+    .B1(_03977_),
+    .B2(_03992_),
     .X(_03993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09373_ (.A(_03993_),
+ sky130_fd_sc_hd__buf_2 _09270_ (.A(_03785_),
     .X(_03994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09374_ (.A(_03994_),
+ sky130_fd_sc_hd__buf_2 _09271_ (.A(_03943_),
     .X(_03995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09375_ (.A(_03995_),
+ sky130_fd_sc_hd__buf_2 _09272_ (.A(_03948_),
     .X(_03996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09376_ (.A(_03377_),
+ sky130_fd_sc_hd__o22a_4 _09273_ (.A1(_03503_),
+    .A2(\u_m0_cmd_fifo.mem[0][11] ),
+    .B1(_03996_),
+    .B2(\u_m0_cmd_fifo.mem[1][11] ),
     .X(_03997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09377_ (.A(_03997_),
+ sky130_fd_sc_hd__buf_2 _09274_ (.A(_03958_),
     .X(_03998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09378_ (.A(_03998_),
+ sky130_fd_sc_hd__buf_2 _09275_ (.A(_03963_),
     .X(_03999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09379_ (.A(_03964_),
+ sky130_fd_sc_hd__buf_2 _09276_ (.A(_03999_),
     .X(_04000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09380_ (.A(_04000_),
+ sky130_fd_sc_hd__or2_4 _09277_ (.A(_04000_),
     .B(\u_m1_cmd_fifo.mem[0][11] ),
     .X(_04001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09381_ (.A(_03289_),
+ sky130_fd_sc_hd__or2_4 _09278_ (.A(_03984_),
+    .B(\u_m1_cmd_fifo.mem[1][11] ),
     .X(_04002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09382_ (.A(_04002_),
+ sky130_fd_sc_hd__buf_2 _09279_ (.A(_03290_),
     .X(_04003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09383_ (.A(_04003_),
-    .B(\u_m1_cmd_fifo.mem[1][11] ),
+ sky130_fd_sc_hd__buf_2 _09280_ (.A(_04003_),
     .X(_04004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09384_ (.A(_03971_),
+ sky130_fd_sc_hd__or2_4 _09281_ (.A(_04004_),
     .B(\u_m1_cmd_fifo.mem[3][11] ),
     .X(_04005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09385_ (.A(_03962_),
+ sky130_fd_sc_hd__buf_2 _09282_ (.A(_03987_),
     .X(_04006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09386_ (.A(_04006_),
+ sky130_fd_sc_hd__o21a_4 _09283_ (.A1(_04006_),
+    .A2(\u_m1_cmd_fifo.mem[2][11] ),
+    .B1(_03989_),
     .X(_04007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09387_ (.A(_04007_),
+ sky130_fd_sc_hd__a32o_4 _09284_ (.A1(_03998_),
+    .A2(_04001_),
+    .A3(_04002_),
+    .B1(_04005_),
+    .B2(_04007_),
     .X(_04008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09388_ (.A(_04008_),
+ sky130_fd_sc_hd__o22a_4 _09285_ (.A1(_03995_),
+    .A2(_03997_),
+    .B1(_03980_),
+    .B2(_04008_),
     .X(_04009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09389_ (.A1(_04009_),
-    .A2(\u_m1_cmd_fifo.mem[2][11] ),
-    .B1(_03286_),
+ sky130_fd_sc_hd__o22a_4 _09286_ (.A1(_03942_),
+    .A2(_03993_),
+    .B1(_03994_),
+    .B2(_04009_),
     .X(_04010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09390_ (.A1(_03999_),
-    .A2(_04001_),
-    .A3(_04004_),
-    .B1(_04005_),
-    .B2(_04010_),
+ sky130_fd_sc_hd__and2_4 _09287_ (.A(_03774_),
+    .B(_03772_),
     .X(_04011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09391_ (.A1(_03990_),
-    .A2(_03992_),
-    .B1(_03996_),
-    .B2(_04011_),
-    .X(_04012_),
+ sky130_fd_sc_hd__nor2_4 _09288_ (.A(_03776_),
+    .B(_04011_),
+    .Y(_04012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09392_ (.A(_03987_),
-    .B(_04012_),
+ sky130_fd_sc_hd__buf_2 _09289_ (.A(_04012_),
     .X(_04013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09393_ (.A(_03984_),
-    .Y(_04014_),
+ sky130_fd_sc_hd__and2_4 _09290_ (.A(\u_spictrl.spi_mode_cmd[3] ),
+    .B(_03805_),
+    .X(_04014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09394_ (.A(_04014_),
+ sky130_fd_sc_hd__buf_2 _09291_ (.A(_03972_),
     .X(_04015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09395_ (.A(_04015_),
+ sky130_fd_sc_hd__buf_2 _09292_ (.A(_04004_),
     .X(_04016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09396_ (.A(_03784_),
-    .B(\u_spictrl.cfg_addr_cnt[0] ),
+ sky130_fd_sc_hd__and2_4 _09293_ (.A(_04016_),
+    .B(\u_m1_cmd_fifo.mem[2][3] ),
     .X(_04017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09397_ (.A(_04017_),
-    .Y(_04018_),
+ sky130_fd_sc_hd__a211o_4 _09294_ (.A1(_04015_),
+    .A2(\u_m1_cmd_fifo.mem[3][3] ),
+    .B1(_03385_),
+    .C1(_04017_),
+    .X(_04018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09398_ (.A(_04018_),
+ sky130_fd_sc_hd__buf_2 _09295_ (.A(_03972_),
     .X(_04019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09399_ (.A(_04019_),
+ sky130_fd_sc_hd__and2_4 _09296_ (.A(_04016_),
+    .B(\u_m1_cmd_fifo.mem[0][3] ),
     .X(_04020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09400_ (.A(_03508_),
+ sky130_fd_sc_hd__a211o_4 _09297_ (.A1(_04019_),
+    .A2(\u_m1_cmd_fifo.mem[1][3] ),
+    .B1(_03286_),
+    .C1(_04020_),
     .X(_04021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09401_ (.A(_04021_),
+ sky130_fd_sc_hd__or2_4 _09298_ (.A(_03511_),
+    .B(\u_m0_cmd_fifo.mem[1][3] ),
     .X(_04022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09402_ (.A(_03515_),
+ sky130_fd_sc_hd__buf_2 _09299_ (.A(_03954_),
     .X(_04023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09403_ (.A1(_04022_),
-    .A2(\u_m0_cmd_fifo.mem[0][27] ),
-    .B1(_04023_),
-    .B2(\u_m0_cmd_fifo.mem[1][27] ),
+ sky130_fd_sc_hd__buf_2 _09300_ (.A(_04023_),
     .X(_04024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09404_ (.A(_03993_),
+ sky130_fd_sc_hd__o21a_4 _09301_ (.A1(_03504_),
+    .A2(\u_m0_cmd_fifo.mem[0][3] ),
+    .B1(_04024_),
     .X(_04025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09405_ (.A(_04025_),
+ sky130_fd_sc_hd__a32o_4 _09302_ (.A1(_04018_),
+    .A2(_04021_),
+    .A3(psn_net_157),
+    .B1(_04022_),
+    .B2(_04025_),
     .X(_04026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09406_ (.A(_03997_),
+ sky130_fd_sc_hd__a211o_4 _09303_ (.A1(_03792_),
+    .A2(_04011_),
+    .B1(_03689_),
+    .C1(_03691_),
     .X(_04027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09407_ (.A(_04007_),
+ sky130_fd_sc_hd__buf_2 _09304_ (.A(_04027_),
     .X(_04028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09408_ (.A(_04028_),
-    .B(\u_m1_cmd_fifo.mem[0][27] ),
+ sky130_fd_sc_hd__buf_2 _09305_ (.A(_04028_),
     .X(_04029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09409_ (.A(_03289_),
+ sky130_fd_sc_hd__and2_4 _09306_ (.A(_04026_),
+    .B(_04029_),
     .X(_04030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09410_ (.A(_04030_),
-    .B(\u_m1_cmd_fifo.mem[1][27] ),
+ sky130_fd_sc_hd__a211o_4 _09307_ (.A1(_04010_),
+    .A2(_04013_),
+    .B1(_04014_),
+    .C1(_04030_),
     .X(_04031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09411_ (.A(_03289_),
+ sky130_fd_sc_hd__a32o_4 _09308_ (.A1(_03914_),
+    .A2(_03928_),
+    .A3(_03931_),
+    .B1(_03941_),
+    .B2(_04031_),
     .X(_04032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09412_ (.A(_04032_),
-    .B(\u_m1_cmd_fifo.mem[3][27] ),
+ sky130_fd_sc_hd__or3_4 _09309_ (.A(_03868_),
+    .B(_03847_),
+    .C(_03870_),
     .X(_04033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09413_ (.A(_04006_),
-    .X(_04034_),
+ sky130_fd_sc_hd__nand2_4 _09310_ (.A(_04033_),
+    .B(_03687_),
+    .Y(_04034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09414_ (.A(_04034_),
+ sky130_fd_sc_hd__buf_2 _09311_ (.A(_04034_),
     .X(_04035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09415_ (.A(_03284_),
+ sky130_fd_sc_hd__buf_2 _09312_ (.A(_04035_),
     .X(_04036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09416_ (.A(_04036_),
-    .X(_04037_),
+ sky130_fd_sc_hd__nand2_4 _09313_ (.A(_03703_),
+    .B(_03936_),
+    .Y(_04037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09417_ (.A1(_04035_),
-    .A2(\u_m1_cmd_fifo.mem[2][27] ),
-    .B1(_04037_),
+ sky130_fd_sc_hd__o21a_4 _09314_ (.A1(_03688_),
+    .A2(_04037_),
+    .B1(_04034_),
     .X(_04038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09418_ (.A1(_04027_),
-    .A2(_04029_),
-    .A3(_04031_),
-    .B1(_04033_),
-    .B2(_04038_),
-    .X(_04039_),
+ sky130_fd_sc_hd__inv_2 _09315_ (.A(_04038_),
+    .Y(_04039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09419_ (.A1(_03989_),
-    .A2(_04024_),
-    .B1(_04026_),
-    .B2(_04039_),
+ sky130_fd_sc_hd__buf_2 _09316_ (.A(_04039_),
     .X(_04040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09420_ (.A(_04017_),
+ sky130_fd_sc_hd__a32o_4 _09317_ (.A1(_03911_),
+    .A2(_04032_),
+    .A3(_04036_),
+    .B1(_04040_),
+    .B2(\u_spictrl.u_txreg.data_int[27] ),
+    .X(_00997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09318_ (.A1(\u_spictrl.u_txreg.data_int[25] ),
+    .A2(_03921_),
+    .B1(\u_spictrl.u_txreg.data_int[24] ),
+    .B2(_03923_),
     .X(_04041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09421_ (.A(_04041_),
+ sky130_fd_sc_hd__o22a_4 _09319_ (.A1(_03917_),
+    .A2(_04041_),
+    .B1(\u_spictrl.u_txreg.data_int[22] ),
+    .B2(_03927_),
     .X(_04042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09422_ (.A(_01524_),
+ sky130_fd_sc_hd__buf_2 _09320_ (.A(_03785_),
     .X(_04043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09423_ (.A(_04021_),
+ sky130_fd_sc_hd__buf_2 _09321_ (.A(_03789_),
     .X(_04044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09424_ (.A(_03514_),
+ sky130_fd_sc_hd__buf_2 _09322_ (.A(_03501_),
     .X(_04045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09425_ (.A(_04045_),
+ sky130_fd_sc_hd__buf_2 _09323_ (.A(_04045_),
     .X(_04046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09426_ (.A1(_04044_),
-    .A2(\u_m0_cmd_fifo.mem[0][19] ),
-    .B1(_04046_),
-    .B2(\u_m0_cmd_fifo.mem[1][19] ),
+ sky130_fd_sc_hd__buf_2 _09324_ (.A(_03947_),
     .X(_04047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09427_ (.A(_03378_),
+ sky130_fd_sc_hd__o22a_4 _09325_ (.A1(_04046_),
+    .A2(\u_m0_cmd_fifo.mem[0][26] ),
+    .B1(_04047_),
+    .B2(\u_m0_cmd_fifo.mem[1][26] ),
     .X(_04048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09428_ (.A(_04007_),
+ sky130_fd_sc_hd__buf_2 _09326_ (.A(_04023_),
     .X(_04049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09429_ (.A(_04049_),
-    .B(\u_m1_cmd_fifo.mem[0][19] ),
+ sky130_fd_sc_hd__buf_2 _09327_ (.A(_03957_),
     .X(_04050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09430_ (.A(_04032_),
-    .B(\u_m1_cmd_fifo.mem[1][19] ),
+ sky130_fd_sc_hd__or2_4 _09328_ (.A(_03971_),
+    .B(\u_m1_cmd_fifo.mem[0][26] ),
     .X(_04051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09431_ (.A(_01833_),
+ sky130_fd_sc_hd__buf_2 _09329_ (.A(_03289_),
     .X(_04052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09432_ (.A(_04052_),
+ sky130_fd_sc_hd__buf_2 _09330_ (.A(_04052_),
     .X(_04053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09433_ (.A(_04053_),
+ sky130_fd_sc_hd__or2_4 _09331_ (.A(_04053_),
+    .B(\u_m1_cmd_fifo.mem[1][26] ),
     .X(_04054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09434_ (.A(_04054_),
-    .B(\u_m1_cmd_fifo.mem[3][19] ),
+ sky130_fd_sc_hd__buf_2 _09332_ (.A(_03983_),
     .X(_04055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09435_ (.A(_04036_),
+ sky130_fd_sc_hd__or2_4 _09333_ (.A(_04055_),
+    .B(\u_m1_cmd_fifo.mem[3][26] ),
     .X(_04056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09436_ (.A1(_04035_),
-    .A2(\u_m1_cmd_fifo.mem[2][19] ),
-    .B1(_04056_),
+ sky130_fd_sc_hd__buf_2 _09334_ (.A(_03283_),
     .X(_04057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09437_ (.A1(_04048_),
-    .A2(_04050_),
-    .A3(_04051_),
-    .B1(_04055_),
-    .B2(_04057_),
+ sky130_fd_sc_hd__o21a_4 _09335_ (.A1(_03965_),
+    .A2(\u_m1_cmd_fifo.mem[2][26] ),
+    .B1(_04057_),
     .X(_04058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09438_ (.A1(_04043_),
-    .A2(_04047_),
-    .B1(_04026_),
+ sky130_fd_sc_hd__a32o_4 _09336_ (.A1(_04050_),
+    .A2(_04051_),
+    .A3(_04054_),
+    .B1(_04056_),
     .B2(_04058_),
     .X(_04059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09439_ (.A1(_04020_),
-    .A2(_04040_),
-    .B1(_04042_),
+ sky130_fd_sc_hd__o22a_4 _09337_ (.A1(psn_net_159),
+    .A2(_04048_),
+    .B1(_04049_),
     .B2(_04059_),
     .X(_04060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09440_ (.A(_04016_),
+ sky130_fd_sc_hd__or2_4 _09338_ (.A(_04044_),
     .B(_04060_),
     .X(_04061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09441_ (.A(_03983_),
-    .B(_04013_),
-    .C(_04061_),
+ sky130_fd_sc_hd__buf_2 _09339_ (.A(_03976_),
     .X(_04062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09442_ (.A1(\u_spictrl.spi_mode_cmd[3] ),
-    .A2(_03957_),
-    .B1(_03982_),
-    .C1(_04062_),
+ sky130_fd_sc_hd__o22a_4 _09340_ (.A1(_03503_),
+    .A2(\u_m0_cmd_fifo.mem[0][18] ),
+    .B1(_03510_),
+    .B2(\u_m0_cmd_fifo.mem[1][18] ),
     .X(_04063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09443_ (.A(_03954_),
-    .B(_04063_),
+ sky130_fd_sc_hd__or2_4 _09341_ (.A(_03981_),
+    .B(\u_m1_cmd_fifo.mem[0][18] ),
     .X(_04064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09444_ (.A1(_03923_),
-    .A2(_03941_),
-    .B1(_03949_),
-    .C1(_04064_),
+ sky130_fd_sc_hd__or2_4 _09342_ (.A(_04055_),
+    .B(\u_m1_cmd_fifo.mem[1][18] ),
     .X(_04065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09445_ (.A(_03718_),
+ sky130_fd_sc_hd__buf_2 _09343_ (.A(_03983_),
     .X(_04066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09446_ (.A(_04066_),
-    .B(_03914_),
+ sky130_fd_sc_hd__or2_4 _09344_ (.A(_04066_),
+    .B(\u_m1_cmd_fifo.mem[3][18] ),
     .X(_04067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09447_ (.A(_04067_),
+ sky130_fd_sc_hd__buf_2 _09345_ (.A(_03999_),
     .X(_04068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09448_ (.A(_04068_),
+ sky130_fd_sc_hd__o21a_4 _09346_ (.A1(_04068_),
+    .A2(\u_m1_cmd_fifo.mem[2][18] ),
+    .B1(_03989_),
     .X(_04069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09449_ (.A1(_03911_),
-    .A2(_03917_),
+ sky130_fd_sc_hd__a32o_4 _09347_ (.A1(_03384_),
+    .A2(_04064_),
     .A3(_04065_),
-    .B1(_03942_),
+    .B1(_04067_),
     .B2(_04069_),
-    .X(_00995_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09450_ (.A(_03914_),
-    .B(_03948_),
     .X(_04070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09451_ (.A(\u_spictrl.u_txreg.data_int[22] ),
+ sky130_fd_sc_hd__o22a_4 _09348_ (.A1(_03978_),
+    .A2(_04063_),
+    .B1(_04024_),
+    .B2(_04070_),
     .X(_04071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09452_ (.A(_04071_),
-    .B(_03926_),
+ sky130_fd_sc_hd__or2_4 _09349_ (.A(_04062_),
+    .B(_04071_),
     .X(_04072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09453_ (.A(\u_spictrl.u_txreg.data_int[24] ),
+ sky130_fd_sc_hd__o22a_4 _09350_ (.A1(_03946_),
+    .A2(\u_m0_cmd_fifo.mem[0][10] ),
+    .B1(_03996_),
+    .B2(\u_m0_cmd_fifo.mem[1][10] ),
     .X(_04073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09454_ (.A(_03938_),
+ sky130_fd_sc_hd__or2_4 _09351_ (.A(_04000_),
+    .B(\u_m1_cmd_fifo.mem[0][10] ),
     .X(_04074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09455_ (.A1(_03936_),
-    .A2(_03934_),
-    .B1(_04073_),
-    .B2(_04074_),
+ sky130_fd_sc_hd__or2_4 _09352_ (.A(_03292_),
+    .B(\u_m1_cmd_fifo.mem[1][10] ),
     .X(_04075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09456_ (.A(_03930_),
-    .B(_04075_),
+ sky130_fd_sc_hd__or2_4 _09353_ (.A(_03968_),
+    .B(\u_m1_cmd_fifo.mem[3][10] ),
     .X(_04076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09457_ (.A(_03921_),
+ sky130_fd_sc_hd__o21a_4 _09354_ (.A1(_03972_),
+    .A2(\u_m1_cmd_fifo.mem[2][10] ),
+    .B1(_03285_),
     .X(_04077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09458_ (.A(_04077_),
+ sky130_fd_sc_hd__a32o_4 _09355_ (.A1(_03998_),
+    .A2(_04074_),
+    .A3(_04075_),
+    .B1(_04076_),
+    .B2(_04077_),
     .X(_04078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09459_ (.A(_03953_),
+ sky130_fd_sc_hd__o22a_4 _09356_ (.A1(_03995_),
+    .A2(_04073_),
+    .B1(_03956_),
+    .B2(_04078_),
     .X(_04079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09460_ (.A(_03292_),
-    .B(\u_m1_cmd_fifo.mem[2][2] ),
+ sky130_fd_sc_hd__a32o_4 _09357_ (.A1(_04043_),
+    .A2(_04061_),
+    .A3(_04072_),
+    .B1(_03942_),
+    .B2(_04079_),
     .X(_04080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09461_ (.A1(_03967_),
-    .A2(\u_m1_cmd_fifo.mem[3][2] ),
-    .B1(_03380_),
-    .C1(_04080_),
+ sky130_fd_sc_hd__and2_4 _09358_ (.A(_04016_),
+    .B(\u_m1_cmd_fifo.mem[2][2] ),
     .X(_04081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09462_ (.A(_03972_),
-    .B(\u_m1_cmd_fifo.mem[0][2] ),
+ sky130_fd_sc_hd__a211o_4 _09359_ (.A1(_04015_),
+    .A2(\u_m1_cmd_fifo.mem[3][2] ),
+    .B1(_03385_),
+    .C1(_04081_),
     .X(_04082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09463_ (.A1(_03970_),
-    .A2(\u_m1_cmd_fifo.mem[1][2] ),
-    .B1(_03287_),
-    .C1(_04082_),
+ sky130_fd_sc_hd__buf_2 _09360_ (.A(_03283_),
     .X(_04083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09464_ (.A(_03518_),
-    .B(\u_m0_cmd_fifo.mem[1][2] ),
+ sky130_fd_sc_hd__buf_2 _09361_ (.A(_04083_),
     .X(_04084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09465_ (.A1(_03511_),
-    .A2(\u_m0_cmd_fifo.mem[0][2] ),
-    .B1(_03979_),
+ sky130_fd_sc_hd__buf_2 _09362_ (.A(_04084_),
     .X(_04085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09466_ (.A1(_04081_),
-    .A2(_04083_),
-    .A3(_01526_),
-    .B1(_04084_),
-    .B2(_04085_),
+ sky130_fd_sc_hd__buf_2 _09363_ (.A(_04003_),
     .X(_04086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09467_ (.A(_03961_),
-    .B(_04086_),
+ sky130_fd_sc_hd__and2_4 _09364_ (.A(_04086_),
+    .B(\u_m1_cmd_fifo.mem[0][2] ),
     .X(_04087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09468_ (.A1(_03510_),
-    .A2(\u_m0_cmd_fifo.mem[0][10] ),
-    .B1(_03991_),
-    .B2(\u_m0_cmd_fifo.mem[1][10] ),
+ sky130_fd_sc_hd__a211o_4 _09365_ (.A1(_04019_),
+    .A2(\u_m1_cmd_fifo.mem[1][2] ),
+    .B1(_04085_),
+    .C1(_04087_),
     .X(_04088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09469_ (.A(_04028_),
+ sky130_fd_sc_hd__or2_4 _09366_ (.A(_03511_),
+    .B(\u_m0_cmd_fifo.mem[1][2] ),
     .X(_04089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09470_ (.A(_04089_),
-    .B(\u_m1_cmd_fifo.mem[0][10] ),
+ sky130_fd_sc_hd__buf_2 _09367_ (.A(_03945_),
     .X(_04090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09471_ (.A(_04003_),
-    .B(\u_m1_cmd_fifo.mem[1][10] ),
+ sky130_fd_sc_hd__o21a_4 _09368_ (.A1(_04090_),
+    .A2(\u_m0_cmd_fifo.mem[0][2] ),
+    .B1(_04024_),
     .X(_04091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09472_ (.A(_03971_),
-    .B(\u_m1_cmd_fifo.mem[3][10] ),
+ sky130_fd_sc_hd__a32o_4 _09369_ (.A1(_04082_),
+    .A2(_04088_),
+    .A3(_03944_),
+    .B1(_04089_),
+    .B2(_04091_),
     .X(_04092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09473_ (.A1(_04009_),
-    .A2(\u_m1_cmd_fifo.mem[2][10] ),
-    .B1(_03286_),
+ sky130_fd_sc_hd__and2_4 _09370_ (.A(_04029_),
+    .B(_04092_),
     .X(_04093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09474_ (.A1(_03999_),
-    .A2(_04090_),
-    .A3(_04091_),
-    .B1(_04092_),
-    .B2(_04093_),
+ sky130_fd_sc_hd__buf_2 _09371_ (.A(_03805_),
     .X(_04094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09475_ (.A1(_03990_),
-    .A2(_04088_),
-    .B1(_03996_),
-    .B2(_04094_),
+ sky130_fd_sc_hd__and2_4 _09372_ (.A(\u_spictrl.spi_mode_cmd[2] ),
+    .B(_04094_),
     .X(_04095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09476_ (.A(_03987_),
-    .B(_04095_),
+ sky130_fd_sc_hd__a211o_4 _09373_ (.A1(_04013_),
+    .A2(_04080_),
+    .B1(_04093_),
+    .C1(_04095_),
     .X(_04096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09477_ (.A(_03509_),
+ sky130_fd_sc_hd__a32o_4 _09374_ (.A1(_03914_),
+    .A2(_04042_),
+    .A3(_03931_),
+    .B1(_03941_),
+    .B2(_04096_),
     .X(_04097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09478_ (.A1(_04097_),
-    .A2(\u_m0_cmd_fifo.mem[0][26] ),
-    .B1(_04046_),
-    .B2(\u_m0_cmd_fifo.mem[1][26] ),
+ sky130_fd_sc_hd__a32o_4 _09375_ (.A1(_03911_),
+    .A2(_04097_),
+    .A3(_04036_),
+    .B1(_04040_),
+    .B2(\u_spictrl.u_txreg.data_int[26] ),
+    .X(_00996_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09376_ (.A1(\u_spictrl.u_txreg.data_int[24] ),
+    .A2(_03921_),
+    .B1(\u_spictrl.u_txreg.data_int[23] ),
+    .B2(_03923_),
     .X(_04098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09479_ (.A(_04025_),
+ sky130_fd_sc_hd__o22a_4 _09377_ (.A1(_03917_),
+    .A2(_04098_),
+    .B1(\u_spictrl.u_txreg.data_int[21] ),
+    .B2(_03927_),
     .X(_04099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09480_ (.A(_03965_),
-    .B(\u_m1_cmd_fifo.mem[0][26] ),
+ sky130_fd_sc_hd__buf_2 _09378_ (.A(psn_net_161),
     .X(_04100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09481_ (.A(_04053_),
+ sky130_fd_sc_hd__o22a_4 _09379_ (.A1(_03945_),
+    .A2(\u_m0_cmd_fifo.mem[0][25] ),
+    .B1(_04047_),
+    .B2(\u_m0_cmd_fifo.mem[1][25] ),
     .X(_04101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09482_ (.A(_04101_),
-    .B(\u_m1_cmd_fifo.mem[1][26] ),
+ sky130_fd_sc_hd__buf_2 _09380_ (.A(_03954_),
     .X(_04102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09483_ (.A(_04101_),
-    .B(\u_m1_cmd_fifo.mem[3][26] ),
+ sky130_fd_sc_hd__or2_4 _09381_ (.A(_03971_),
+    .B(\u_m1_cmd_fifo.mem[0][25] ),
     .X(_04103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09484_ (.A(_03285_),
+ sky130_fd_sc_hd__or2_4 _09382_ (.A(_04053_),
+    .B(\u_m1_cmd_fifo.mem[1][25] ),
     .X(_04104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09485_ (.A1(_04089_),
-    .A2(\u_m1_cmd_fifo.mem[2][26] ),
-    .B1(_04104_),
+ sky130_fd_sc_hd__or2_4 _09383_ (.A(_04055_),
+    .B(\u_m1_cmd_fifo.mem[3][25] ),
     .X(_04105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09486_ (.A1(_04048_),
-    .A2(_04100_),
-    .A3(_04102_),
-    .B1(_04103_),
-    .B2(_04105_),
+ sky130_fd_sc_hd__o21a_4 _09384_ (.A1(_03965_),
+    .A2(\u_m1_cmd_fifo.mem[2][25] ),
+    .B1(_04057_),
     .X(_04106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09487_ (.A1(_01525_),
-    .A2(_04098_),
-    .B1(_04099_),
+ sky130_fd_sc_hd__a32o_4 _09385_ (.A1(_04050_),
+    .A2(_04103_),
+    .A3(_04104_),
+    .B1(_04105_),
     .B2(_04106_),
     .X(_04107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09488_ (.A1(_04097_),
-    .A2(\u_m0_cmd_fifo.mem[0][18] ),
-    .B1(_04046_),
-    .B2(\u_m0_cmd_fifo.mem[1][18] ),
+ sky130_fd_sc_hd__o22a_4 _09386_ (.A1(_04100_),
+    .A2(_04101_),
+    .B1(_04102_),
+    .B2(_04107_),
     .X(_04108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09489_ (.A(_03965_),
-    .B(\u_m1_cmd_fifo.mem[0][18] ),
+ sky130_fd_sc_hd__or2_4 _09387_ (.A(_03790_),
+    .B(_04108_),
     .X(_04109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09490_ (.A(_04101_),
-    .B(\u_m1_cmd_fifo.mem[1][18] ),
+ sky130_fd_sc_hd__o22a_4 _09388_ (.A1(_04046_),
+    .A2(\u_m0_cmd_fifo.mem[0][17] ),
+    .B1(_03510_),
+    .B2(\u_m0_cmd_fifo.mem[1][17] ),
     .X(_04110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09491_ (.A(_04101_),
-    .B(\u_m1_cmd_fifo.mem[3][18] ),
+ sky130_fd_sc_hd__or2_4 _09389_ (.A(_03981_),
+    .B(\u_m1_cmd_fifo.mem[0][17] ),
     .X(_04111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09492_ (.A1(_04000_),
-    .A2(\u_m1_cmd_fifo.mem[2][18] ),
-    .B1(_04104_),
+ sky130_fd_sc_hd__or2_4 _09390_ (.A(_04055_),
+    .B(\u_m1_cmd_fifo.mem[1][17] ),
     .X(_04112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09493_ (.A1(_04048_),
-    .A2(_04109_),
-    .A3(_04110_),
-    .B1(_04111_),
-    .B2(_04112_),
+ sky130_fd_sc_hd__or2_4 _09391_ (.A(_04066_),
+    .B(\u_m1_cmd_fifo.mem[3][17] ),
     .X(_04113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09494_ (.A1(_01525_),
-    .A2(_04108_),
-    .B1(_04099_),
-    .B2(_04113_),
+ sky130_fd_sc_hd__o21a_4 _09392_ (.A1(_04068_),
+    .A2(\u_m1_cmd_fifo.mem[2][17] ),
+    .B1(_04084_),
     .X(_04114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09495_ (.A1(_04020_),
-    .A2(_04107_),
-    .B1(_04042_),
+ sky130_fd_sc_hd__a32o_4 _09393_ (.A1(_03384_),
+    .A2(_04111_),
+    .A3(_04112_),
+    .B1(_04113_),
     .B2(_04114_),
     .X(_04115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09496_ (.A(_04016_),
-    .B(_04115_),
+ sky130_fd_sc_hd__o22a_4 _09394_ (.A1(_03978_),
+    .A2(_04110_),
+    .B1(_04049_),
+    .B2(_04115_),
     .X(_04116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09497_ (.A(_03983_),
-    .B(_04096_),
-    .C(_04116_),
+ sky130_fd_sc_hd__or2_4 _09395_ (.A(_04062_),
+    .B(_04116_),
     .X(_04117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09498_ (.A1(\u_spictrl.spi_mode_cmd[2] ),
-    .A2(_03957_),
-    .B1(_04087_),
-    .C1(_04117_),
+ sky130_fd_sc_hd__o22a_4 _09396_ (.A1(_03946_),
+    .A2(\u_m0_cmd_fifo.mem[0][9] ),
+    .B1(_03996_),
+    .B2(\u_m0_cmd_fifo.mem[1][9] ),
     .X(_04118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09499_ (.A1(_04072_),
-    .A2(_04076_),
-    .A3(_04078_),
-    .B1(_04079_),
-    .B2(_04118_),
+ sky130_fd_sc_hd__or2_4 _09397_ (.A(_04000_),
+    .B(\u_m1_cmd_fifo.mem[0][9] ),
     .X(_04119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _09500_ (.A1(\u_spictrl.u_txreg.data_int[26] ),
-    .A2(_04070_),
-    .B1(_03917_),
-    .B2(_04119_),
-    .Y(_04120_),
+ sky130_fd_sc_hd__or2_4 _09398_ (.A(_03984_),
+    .B(\u_m1_cmd_fifo.mem[1][9] ),
+    .X(_04120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _09501_ (.A(_03720_),
-    .B(_04120_),
-    .Y(_00994_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09502_ (.A(\u_spictrl.u_txreg.data_int[21] ),
+ sky130_fd_sc_hd__or2_4 _09399_ (.A(_04004_),
+    .B(\u_m1_cmd_fifo.mem[3][9] ),
     .X(_04121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09503_ (.A1(_04073_),
-    .A2(_03935_),
-    .B1(_03924_),
-    .B2(_03939_),
+ sky130_fd_sc_hd__o21a_4 _09400_ (.A1(_04006_),
+    .A2(\u_m1_cmd_fifo.mem[2][9] ),
+    .B1(_03285_),
     .X(_04122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09504_ (.A1(_04121_),
-    .A2(_03927_),
-    .B1(_03931_),
+ sky130_fd_sc_hd__a32o_4 _09401_ (.A1(_03998_),
+    .A2(_04119_),
+    .A3(_04120_),
+    .B1(_04121_),
     .B2(_04122_),
     .X(_04123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09505_ (.A(_03946_),
+ sky130_fd_sc_hd__o22a_4 _09402_ (.A1(_03995_),
+    .A2(_04118_),
+    .B1(_03956_),
+    .B2(_04123_),
     .X(_04124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09506_ (.A(_04124_),
+ sky130_fd_sc_hd__a32o_4 _09403_ (.A1(_04043_),
+    .A2(_04109_),
+    .A3(_04117_),
+    .B1(_03942_),
+    .B2(_04124_),
     .X(_04125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09507_ (.A(_03936_),
-    .B(_04125_),
+ sky130_fd_sc_hd__and2_4 _09404_ (.A(_04016_),
+    .B(\u_m1_cmd_fifo.mem[2][1] ),
     .X(_04126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09508_ (.A(_03972_),
-    .B(\u_m1_cmd_fifo.mem[2][1] ),
+ sky130_fd_sc_hd__a211o_4 _09405_ (.A1(_04019_),
+    .A2(\u_m1_cmd_fifo.mem[3][1] ),
+    .B1(_03959_),
+    .C1(_04126_),
     .X(_04127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09509_ (.A1(_03967_),
-    .A2(\u_m1_cmd_fifo.mem[3][1] ),
-    .B1(_03380_),
-    .C1(_04127_),
+ sky130_fd_sc_hd__and2_4 _09406_ (.A(_04086_),
+    .B(\u_m1_cmd_fifo.mem[0][1] ),
     .X(_04128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09510_ (.A(_04056_),
+ sky130_fd_sc_hd__a211o_4 _09407_ (.A1(_04019_),
+    .A2(\u_m1_cmd_fifo.mem[1][1] ),
+    .B1(_04085_),
+    .C1(_04128_),
     .X(_04129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09511_ (.A(_04054_),
+ sky130_fd_sc_hd__or2_4 _09408_ (.A(_03949_),
+    .B(\u_m0_cmd_fifo.mem[1][1] ),
     .X(_04130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09512_ (.A(_04130_),
-    .B(\u_m1_cmd_fifo.mem[0][1] ),
+ sky130_fd_sc_hd__o21a_4 _09409_ (.A1(_04090_),
+    .A2(\u_m0_cmd_fifo.mem[0][1] ),
+    .B1(_04024_),
     .X(_04131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09513_ (.A1(_03970_),
-    .A2(\u_m1_cmd_fifo.mem[1][1] ),
-    .B1(_04129_),
-    .C1(_04131_),
+ sky130_fd_sc_hd__a32o_4 _09410_ (.A1(_04127_),
+    .A2(_04129_),
+    .A3(_03944_),
+    .B1(_04130_),
+    .B2(_04131_),
     .X(_04132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09514_ (.A(_03518_),
-    .B(\u_m0_cmd_fifo.mem[1][1] ),
+ sky130_fd_sc_hd__and2_4 _09411_ (.A(_04029_),
+    .B(_04132_),
     .X(_04133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09515_ (.A(_04044_),
+ sky130_fd_sc_hd__and2_4 _09412_ (.A(\u_spictrl.spi_mode_cmd[1] ),
+    .B(_04094_),
     .X(_04134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09516_ (.A1(_04134_),
-    .A2(\u_m0_cmd_fifo.mem[0][1] ),
-    .B1(_03979_),
+ sky130_fd_sc_hd__a211o_4 _09413_ (.A1(_04013_),
+    .A2(_04125_),
+    .B1(_04133_),
+    .C1(_04134_),
     .X(_04135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09517_ (.A1(_04128_),
-    .A2(_04132_),
-    .A3(_03990_),
-    .B1(_04133_),
+ sky130_fd_sc_hd__a32o_4 _09414_ (.A1(_03914_),
+    .A2(_04099_),
+    .A3(_03931_),
+    .B1(_03941_),
     .B2(_04135_),
     .X(_04136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09518_ (.A(_03961_),
-    .B(_04136_),
+ sky130_fd_sc_hd__a32o_4 _09415_ (.A1(_03911_),
+    .A2(_04136_),
+    .A3(_04036_),
+    .B1(_04040_),
+    .B2(\u_spictrl.u_txreg.data_int[25] ),
+    .X(_00995_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09416_ (.A(_03922_),
     .X(_04137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09519_ (.A(_01522_),
+ sky130_fd_sc_hd__o22a_4 _09417_ (.A1(\u_spictrl.u_txreg.data_int[23] ),
+    .A2(_03921_),
+    .B1(\u_spictrl.u_txreg.data_int[22] ),
+    .B2(_04137_),
     .X(_04138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09520_ (.A(_04138_),
+ sky130_fd_sc_hd__buf_2 _09418_ (.A(_03926_),
     .X(_04139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09521_ (.A(_04139_),
+ sky130_fd_sc_hd__o22a_4 _09419_ (.A1(_03917_),
+    .A2(_04138_),
+    .B1(\u_spictrl.u_txreg.data_int[20] ),
+    .B2(_04139_),
     .X(_04140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09522_ (.A1(_03510_),
-    .A2(\u_m0_cmd_fifo.mem[0][9] ),
-    .B1(_03517_),
-    .B2(\u_m0_cmd_fifo.mem[1][9] ),
+ sky130_fd_sc_hd__buf_2 _09420_ (.A(_03940_),
     .X(_04141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09523_ (.A(_04089_),
-    .B(\u_m1_cmd_fifo.mem[0][9] ),
+ sky130_fd_sc_hd__o22a_4 _09421_ (.A1(_04046_),
+    .A2(\u_m0_cmd_fifo.mem[0][24] ),
+    .B1(_04047_),
+    .B2(\u_m0_cmd_fifo.mem[1][24] ),
     .X(_04142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09524_ (.A(_04003_),
-    .B(\u_m1_cmd_fifo.mem[1][9] ),
+ sky130_fd_sc_hd__or2_4 _09422_ (.A(_03971_),
+    .B(\u_m1_cmd_fifo.mem[0][24] ),
     .X(_04143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09525_ (.A(_03971_),
-    .B(\u_m1_cmd_fifo.mem[3][9] ),
+ sky130_fd_sc_hd__or2_4 _09423_ (.A(_04053_),
+    .B(\u_m1_cmd_fifo.mem[1][24] ),
     .X(_04144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09526_ (.A1(_04009_),
-    .A2(\u_m1_cmd_fifo.mem[2][9] ),
-    .B1(_04104_),
+ sky130_fd_sc_hd__or2_4 _09424_ (.A(_04066_),
+    .B(\u_m1_cmd_fifo.mem[3][24] ),
     .X(_04145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09527_ (.A1(_03379_),
-    .A2(_04142_),
-    .A3(_04143_),
-    .B1(_04144_),
-    .B2(_04145_),
+ sky130_fd_sc_hd__o21a_4 _09425_ (.A1(_03965_),
+    .A2(\u_m1_cmd_fifo.mem[2][24] ),
+    .B1(_04084_),
     .X(_04146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09528_ (.A1(_04140_),
-    .A2(_04141_),
-    .B1(_03996_),
+ sky130_fd_sc_hd__a32o_4 _09426_ (.A1(_04050_),
+    .A2(_04143_),
+    .A3(_04144_),
+    .B1(_04145_),
     .B2(_04146_),
     .X(_04147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09529_ (.A(_03987_),
-    .B(_04147_),
+ sky130_fd_sc_hd__o22a_4 _09427_ (.A1(psn_net_159),
+    .A2(_04142_),
+    .B1(_04049_),
+    .B2(_04147_),
     .X(_04148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09530_ (.A(_04019_),
+ sky130_fd_sc_hd__or2_4 _09428_ (.A(_03790_),
+    .B(_04148_),
     .X(_04149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09531_ (.A1(_04022_),
-    .A2(\u_m0_cmd_fifo.mem[0][25] ),
-    .B1(_04023_),
-    .B2(\u_m0_cmd_fifo.mem[1][25] ),
+ sky130_fd_sc_hd__o22a_4 _09429_ (.A1(_04046_),
+    .A2(\u_m0_cmd_fifo.mem[0][16] ),
+    .B1(_04047_),
+    .B2(\u_m0_cmd_fifo.mem[1][16] ),
     .X(_04150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09532_ (.A(_04028_),
-    .B(\u_m1_cmd_fifo.mem[0][25] ),
+ sky130_fd_sc_hd__or2_4 _09430_ (.A(_03981_),
+    .B(\u_m1_cmd_fifo.mem[0][16] ),
     .X(_04151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09533_ (.A(_04030_),
-    .B(\u_m1_cmd_fifo.mem[1][25] ),
+ sky130_fd_sc_hd__or2_4 _09431_ (.A(_04053_),
+    .B(\u_m1_cmd_fifo.mem[1][16] ),
     .X(_04152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09534_ (.A(_04032_),
-    .B(\u_m1_cmd_fifo.mem[3][25] ),
+ sky130_fd_sc_hd__or2_4 _09432_ (.A(_04066_),
+    .B(\u_m1_cmd_fifo.mem[3][16] ),
     .X(_04153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09535_ (.A1(_04008_),
-    .A2(\u_m1_cmd_fifo.mem[2][25] ),
-    .B1(_04037_),
+ sky130_fd_sc_hd__o21a_4 _09433_ (.A1(_04068_),
+    .A2(\u_m1_cmd_fifo.mem[2][16] ),
+    .B1(_04084_),
     .X(_04154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09536_ (.A1(_04027_),
+ sky130_fd_sc_hd__a32o_4 _09434_ (.A1(_04050_),
     .A2(_04151_),
     .A3(_04152_),
     .B1(_04153_),
@@ -22904,60 +22982,61 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09537_ (.A1(_03989_),
+ sky130_fd_sc_hd__o22a_4 _09435_ (.A1(psn_net_159),
     .A2(_04150_),
-    .B1(_03995_),
+    .B1(_04049_),
     .B2(_04155_),
     .X(_04156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09538_ (.A(_04041_),
+ sky130_fd_sc_hd__or2_4 _09436_ (.A(_03976_),
+    .B(_04156_),
     .X(_04157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09539_ (.A1(_04044_),
-    .A2(\u_m0_cmd_fifo.mem[0][17] ),
-    .B1(_04023_),
-    .B2(\u_m0_cmd_fifo.mem[1][17] ),
+ sky130_fd_sc_hd__o22a_4 _09437_ (.A1(_03946_),
+    .A2(\u_m0_cmd_fifo.mem[0][8] ),
+    .B1(_03996_),
+    .B2(\u_m0_cmd_fifo.mem[1][8] ),
     .X(_04158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09540_ (.A(_04049_),
-    .B(\u_m1_cmd_fifo.mem[0][17] ),
+ sky130_fd_sc_hd__or2_4 _09438_ (.A(_04000_),
+    .B(\u_m1_cmd_fifo.mem[0][8] ),
     .X(_04159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09541_ (.A(_04002_),
-    .B(\u_m1_cmd_fifo.mem[1][17] ),
+ sky130_fd_sc_hd__or2_4 _09439_ (.A(_03984_),
+    .B(\u_m1_cmd_fifo.mem[1][8] ),
     .X(_04160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09542_ (.A(_03290_),
-    .B(\u_m1_cmd_fifo.mem[3][17] ),
+ sky130_fd_sc_hd__or2_4 _09440_ (.A(_04004_),
+    .B(\u_m1_cmd_fifo.mem[3][8] ),
     .X(_04161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09543_ (.A1(_04035_),
-    .A2(\u_m1_cmd_fifo.mem[2][17] ),
-    .B1(_04056_),
+ sky130_fd_sc_hd__o21a_4 _09441_ (.A1(_04006_),
+    .A2(\u_m1_cmd_fifo.mem[2][8] ),
+    .B1(_03989_),
     .X(_04162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09544_ (.A1(_04027_),
+ sky130_fd_sc_hd__a32o_4 _09442_ (.A1(_03998_),
     .A2(_04159_),
     .A3(_04160_),
     .B1(_04161_),
@@ -22967,29736 +23046,28813 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09545_ (.A1(_04043_),
+ sky130_fd_sc_hd__o22a_4 _09443_ (.A1(_03995_),
     .A2(_04158_),
-    .B1(_04026_),
+    .B1(_03956_),
     .B2(_04163_),
     .X(_04164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09546_ (.A1(_04149_),
-    .A2(_04156_),
-    .B1(_04157_),
+ sky130_fd_sc_hd__a32o_4 _09444_ (.A1(_04043_),
+    .A2(_04149_),
+    .A3(_04157_),
+    .B1(_03942_),
     .B2(_04164_),
     .X(_04165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09547_ (.A(_04016_),
-    .B(_04165_),
+ sky130_fd_sc_hd__and2_4 _09445_ (.A(_03293_),
+    .B(\u_m1_cmd_fifo.mem[2][0] ),
     .X(_04166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09548_ (.A(_03983_),
-    .B(_04148_),
-    .C(_04166_),
+ sky130_fd_sc_hd__a211o_4 _09446_ (.A1(_04015_),
+    .A2(\u_m1_cmd_fifo.mem[3][0] ),
+    .B1(_03385_),
+    .C1(_04166_),
     .X(_04167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09549_ (.A1(\u_spictrl.spi_mode_cmd[1] ),
-    .A2(_03957_),
-    .B1(_04137_),
-    .C1(_04167_),
+ sky130_fd_sc_hd__and2_4 _09447_ (.A(_03293_),
+    .B(\u_m1_cmd_fifo.mem[0][0] ),
     .X(_04168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09550_ (.A(_03954_),
-    .B(_04168_),
+ sky130_fd_sc_hd__a211o_4 _09448_ (.A1(_04015_),
+    .A2(\u_m1_cmd_fifo.mem[1][0] ),
+    .B1(_03286_),
+    .C1(_04168_),
     .X(_04169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09551_ (.A1(_03923_),
-    .A2(_04123_),
-    .B1(_04126_),
-    .C1(_04169_),
+ sky130_fd_sc_hd__or2_4 _09449_ (.A(_03511_),
+    .B(\u_m0_cmd_fifo.mem[1][0] ),
     .X(_04170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09552_ (.A1(_03911_),
-    .A2(_03917_),
-    .A3(_04170_),
-    .B1(_03936_),
-    .B2(_04069_),
-    .X(_00993_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09553_ (.A(\u_spictrl.u_txreg.data_int[20] ),
+ sky130_fd_sc_hd__o21a_4 _09450_ (.A1(_03504_),
+    .A2(\u_m0_cmd_fifo.mem[0][0] ),
+    .B1(_03980_),
     .X(_04171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09554_ (.A(_03937_),
+ sky130_fd_sc_hd__a32o_4 _09451_ (.A1(_04167_),
+    .A2(_04169_),
+    .A3(psn_net_157),
+    .B1(_04170_),
+    .B2(_04171_),
     .X(_04172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09555_ (.A(_04172_),
+ sky130_fd_sc_hd__and2_4 _09452_ (.A(_04029_),
+    .B(_04172_),
     .X(_04173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09556_ (.A1(\u_spictrl.u_txreg.data_int[23] ),
-    .A2(_03935_),
-    .B1(_04071_),
-    .B2(_04173_),
+ sky130_fd_sc_hd__and2_4 _09453_ (.A(\u_spictrl.spi_mode_cmd[0] ),
+    .B(_04094_),
     .X(_04174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09557_ (.A1(_04171_),
-    .A2(_03927_),
-    .B1(_03931_),
-    .B2(_04174_),
+ sky130_fd_sc_hd__a211o_4 _09454_ (.A1(_04013_),
+    .A2(_04165_),
+    .B1(_04173_),
+    .C1(_04174_),
     .X(_04175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09558_ (.A(_04073_),
-    .B(_04125_),
+ sky130_fd_sc_hd__a32o_4 _09455_ (.A1(_03914_),
+    .A2(_04140_),
+    .A3(_03931_),
+    .B1(_04141_),
+    .B2(_04175_),
     .X(_04176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09559_ (.A(_03972_),
-    .B(\u_m1_cmd_fifo.mem[2][0] ),
+ sky130_fd_sc_hd__a32o_4 _09456_ (.A1(_03911_),
+    .A2(_04176_),
+    .A3(_04036_),
+    .B1(_04040_),
+    .B2(\u_spictrl.u_txreg.data_int[24] ),
+    .X(_00994_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09457_ (.A(_03910_),
     .X(_04177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09560_ (.A1(_03967_),
-    .A2(\u_m1_cmd_fifo.mem[3][0] ),
-    .B1(_03999_),
-    .C1(_04177_),
+ sky130_fd_sc_hd__buf_2 _09458_ (.A(_03913_),
     .X(_04178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09561_ (.A(_04130_),
-    .B(\u_m1_cmd_fifo.mem[0][0] ),
+ sky130_fd_sc_hd__buf_2 _09459_ (.A(_03861_),
     .X(_04179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09562_ (.A1(_03970_),
-    .A2(\u_m1_cmd_fifo.mem[1][0] ),
-    .B1(_04129_),
-    .C1(_04179_),
+ sky130_fd_sc_hd__buf_2 _09460_ (.A(_04179_),
     .X(_04180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09563_ (.A(_03991_),
-    .B(\u_m0_cmd_fifo.mem[1][0] ),
+ sky130_fd_sc_hd__buf_2 _09461_ (.A(_04180_),
     .X(_04181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09564_ (.A1(_04134_),
-    .A2(\u_m0_cmd_fifo.mem[0][0] ),
-    .B1(_04099_),
+ sky130_fd_sc_hd__buf_2 _09462_ (.A(_03920_),
     .X(_04182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09565_ (.A1(_04178_),
-    .A2(_04180_),
-    .A3(_03990_),
-    .B1(_04181_),
-    .B2(_04182_),
+ sky130_fd_sc_hd__o22a_4 _09463_ (.A1(\u_spictrl.u_txreg.data_int[22] ),
+    .A2(_04182_),
+    .B1(\u_spictrl.u_txreg.data_int[21] ),
+    .B2(_04137_),
     .X(_04183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09566_ (.A(_03961_),
-    .B(_04183_),
+ sky130_fd_sc_hd__o22a_4 _09464_ (.A1(_04181_),
+    .A2(_04183_),
+    .B1(\u_spictrl.u_txreg.data_int[19] ),
+    .B2(_04139_),
     .X(_04184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09567_ (.A1(_04097_),
-    .A2(\u_m0_cmd_fifo.mem[0][8] ),
-    .B1(_03517_),
-    .B2(\u_m0_cmd_fifo.mem[1][8] ),
+ sky130_fd_sc_hd__buf_2 _09465_ (.A(_03930_),
     .X(_04185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09568_ (.A(_04089_),
-    .B(\u_m1_cmd_fifo.mem[0][8] ),
+ sky130_fd_sc_hd__buf_2 _09466_ (.A(_04012_),
     .X(_04186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09569_ (.A(_04003_),
-    .B(\u_m1_cmd_fifo.mem[1][8] ),
+ sky130_fd_sc_hd__buf_2 _09467_ (.A(_03962_),
     .X(_04187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09570_ (.A(_03291_),
-    .B(\u_m1_cmd_fifo.mem[3][8] ),
+ sky130_fd_sc_hd__and2_4 _09468_ (.A(_03287_),
+    .B(\u_m1_cmd_fifo.mem[2][7] ),
     .X(_04188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09571_ (.A1(_04009_),
-    .A2(\u_m1_cmd_fifo.mem[2][8] ),
-    .B1(_04104_),
+ sky130_fd_sc_hd__a211o_4 _09469_ (.A1(_04187_),
+    .A2(\u_m1_cmd_fifo.mem[3][7] ),
+    .B1(_03381_),
+    .C1(_04188_),
     .X(_04189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09572_ (.A1(_03379_),
-    .A2(_04186_),
-    .A3(_04187_),
-    .B1(_04188_),
-    .B2(_04189_),
+ sky130_fd_sc_hd__and2_4 _09470_ (.A(_03287_),
+    .B(\u_m1_cmd_fifo.mem[0][7] ),
     .X(_04190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09573_ (.A1(_04140_),
-    .A2(_04185_),
-    .B1(_03979_),
-    .B2(_04190_),
+ sky130_fd_sc_hd__a211o_4 _09471_ (.A1(_04187_),
+    .A2(\u_m1_cmd_fifo.mem[1][7] ),
+    .B1(_03282_),
+    .C1(_04190_),
     .X(_04191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09574_ (.A(_03987_),
-    .B(_04191_),
+ sky130_fd_sc_hd__or2_4 _09472_ (.A(_03507_),
+    .B(\u_m0_cmd_fifo.mem[1][7] ),
     .X(_04192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09575_ (.A1(_04022_),
-    .A2(\u_m0_cmd_fifo.mem[0][24] ),
-    .B1(_03516_),
-    .B2(\u_m0_cmd_fifo.mem[1][24] ),
+ sky130_fd_sc_hd__o21a_4 _09473_ (.A1(_03500_),
+    .A2(\u_m0_cmd_fifo.mem[0][7] ),
+    .B1(_03951_),
     .X(_04193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09576_ (.A(_04028_),
-    .B(\u_m1_cmd_fifo.mem[0][24] ),
+ sky130_fd_sc_hd__a32o_4 _09474_ (.A1(_04189_),
+    .A2(_04191_),
+    .A3(_01522_),
+    .B1(_04192_),
+    .B2(_04193_),
     .X(_04194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09577_ (.A(_03288_),
+ sky130_fd_sc_hd__or2_4 _09475_ (.A(_03994_),
+    .B(_04194_),
     .X(_04195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09578_ (.A(_04195_),
+ sky130_fd_sc_hd__buf_2 _09476_ (.A(_03786_),
     .X(_04196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09579_ (.A(_04196_),
-    .B(\u_m1_cmd_fifo.mem[1][24] ),
+ sky130_fd_sc_hd__o22a_4 _09477_ (.A1(_03499_),
+    .A2(\u_m0_cmd_fifo.mem[0][23] ),
+    .B1(_01289_),
+    .B2(\u_m0_cmd_fifo.mem[1][23] ),
     .X(_04197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09580_ (.A(_04032_),
-    .B(\u_m1_cmd_fifo.mem[3][24] ),
+ sky130_fd_sc_hd__or2_4 _09478_ (.A(_03960_),
+    .B(\u_m1_cmd_fifo.mem[0][23] ),
     .X(_04198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09581_ (.A1(_04008_),
-    .A2(\u_m1_cmd_fifo.mem[2][24] ),
-    .B1(_04037_),
+ sky130_fd_sc_hd__or2_4 _09479_ (.A(_01832_),
+    .B(\u_m1_cmd_fifo.mem[1][23] ),
     .X(_04199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09582_ (.A1(_03998_),
-    .A2(_04194_),
-    .A3(_04197_),
-    .B1(_04198_),
-    .B2(_04199_),
+ sky130_fd_sc_hd__buf_2 _09480_ (.A(_01832_),
     .X(_04200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09583_ (.A1(_03989_),
-    .A2(_04193_),
-    .B1(_03995_),
-    .B2(_04200_),
+ sky130_fd_sc_hd__or2_4 _09481_ (.A(_04200_),
+    .B(\u_m1_cmd_fifo.mem[3][23] ),
     .X(_04201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09584_ (.A1(_04044_),
-    .A2(\u_m0_cmd_fifo.mem[0][16] ),
-    .B1(_04023_),
-    .B2(\u_m0_cmd_fifo.mem[1][16] ),
+ sky130_fd_sc_hd__o21a_4 _09482_ (.A1(_03960_),
+    .A2(\u_m1_cmd_fifo.mem[2][23] ),
+    .B1(_03281_),
     .X(_04202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09585_ (.A(_04049_),
-    .B(\u_m1_cmd_fifo.mem[0][16] ),
+ sky130_fd_sc_hd__a32o_4 _09483_ (.A1(_01836_),
+    .A2(_04198_),
+    .A3(_04199_),
+    .B1(_04201_),
+    .B2(_04202_),
     .X(_04203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09586_ (.A(_04002_),
-    .B(\u_m1_cmd_fifo.mem[1][16] ),
+ sky130_fd_sc_hd__o22a_4 _09484_ (.A1(_01521_),
+    .A2(_04197_),
+    .B1(_03951_),
+    .B2(_04203_),
     .X(_04204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09587_ (.A(_03290_),
-    .B(\u_m1_cmd_fifo.mem[3][16] ),
+ sky130_fd_sc_hd__o22a_4 _09485_ (.A1(_03499_),
+    .A2(\u_m0_cmd_fifo.mem[0][15] ),
+    .B1(_01289_),
+    .B2(\u_m0_cmd_fifo.mem[1][15] ),
     .X(_04205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09588_ (.A1(_04035_),
-    .A2(\u_m1_cmd_fifo.mem[2][16] ),
-    .B1(_04037_),
+ sky130_fd_sc_hd__or2_4 _09486_ (.A(_03960_),
+    .B(\u_m1_cmd_fifo.mem[0][15] ),
     .X(_04206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09589_ (.A1(_04027_),
-    .A2(_04203_),
-    .A3(_04204_),
-    .B1(_04205_),
-    .B2(_04206_),
+ sky130_fd_sc_hd__or2_4 _09487_ (.A(_04200_),
+    .B(\u_m1_cmd_fifo.mem[1][15] ),
     .X(_04207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09590_ (.A1(_04043_),
-    .A2(_04202_),
-    .B1(_04026_),
-    .B2(_04207_),
+ sky130_fd_sc_hd__or2_4 _09488_ (.A(_04200_),
+    .B(\u_m1_cmd_fifo.mem[3][15] ),
     .X(_04208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09591_ (.A1(_04149_),
-    .A2(_04201_),
-    .B1(_04157_),
-    .B2(_04208_),
+ sky130_fd_sc_hd__o21a_4 _09489_ (.A1(_03961_),
+    .A2(\u_m1_cmd_fifo.mem[2][15] ),
+    .B1(_03281_),
     .X(_04209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09592_ (.A(_04016_),
-    .B(_04209_),
+ sky130_fd_sc_hd__a32o_4 _09490_ (.A1(_01836_),
+    .A2(_04206_),
+    .A3(_04207_),
+    .B1(_04208_),
+    .B2(_04209_),
     .X(_04210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _09593_ (.A(_03789_),
-    .B(_04192_),
-    .C(_04210_),
+ sky130_fd_sc_hd__o22a_4 _09491_ (.A1(_01521_),
+    .A2(_04205_),
+    .B1(_03951_),
+    .B2(_04210_),
     .X(_04211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09594_ (.A1(\u_spictrl.spi_mode_cmd[0] ),
-    .A2(_03956_),
-    .B1(_04184_),
-    .C1(_04211_),
+ sky130_fd_sc_hd__o22a_4 _09492_ (.A1(_03791_),
+    .A2(_04204_),
+    .B1(_03977_),
+    .B2(_04211_),
     .X(_04212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09595_ (.A(_03954_),
+ sky130_fd_sc_hd__or2_4 _09493_ (.A(_04196_),
     .B(_04212_),
     .X(_04213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09596_ (.A1(_03923_),
-    .A2(_04175_),
-    .B1(_04176_),
-    .C1(_04213_),
+ sky130_fd_sc_hd__buf_2 _09494_ (.A(_03689_),
     .X(_04214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09597_ (.A(_04067_),
+ sky130_fd_sc_hd__buf_2 _09495_ (.A(_04214_),
     .X(_04215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09598_ (.A(_04215_),
+ sky130_fd_sc_hd__a32o_4 _09496_ (.A1(_04186_),
+    .A2(_04195_),
+    .A3(_04213_),
+    .B1(_04215_),
+    .B2(_04211_),
     .X(_04216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09599_ (.A1(_03911_),
-    .A2(_03917_),
-    .A3(_04214_),
-    .B1(_04073_),
+ sky130_fd_sc_hd__a32o_4 _09497_ (.A1(_04178_),
+    .A2(_04184_),
+    .A3(_04185_),
+    .B1(_04141_),
     .B2(_04216_),
-    .X(_00992_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09600_ (.A(_03915_),
     .X(_04217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09601_ (.A(_04217_),
+ sky130_fd_sc_hd__buf_2 _09498_ (.A(_04035_),
     .X(_04218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09602_ (.A(\u_spictrl.u_txreg.data_int[19] ),
+ sky130_fd_sc_hd__buf_2 _09499_ (.A(_04039_),
     .X(_04219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09603_ (.A1(\u_spictrl.u_txreg.data_int[22] ),
-    .A2(_03935_),
-    .B1(_04121_),
-    .B2(_04173_),
+ sky130_fd_sc_hd__a32o_4 _09500_ (.A1(_04177_),
+    .A2(_04217_),
+    .A3(_04218_),
+    .B1(_04219_),
+    .B2(\u_spictrl.u_txreg.data_int[23] ),
+    .X(_00993_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09501_ (.A1(\u_spictrl.u_txreg.data_int[21] ),
+    .A2(_04182_),
+    .B1(\u_spictrl.u_txreg.data_int[20] ),
+    .B2(_04137_),
     .X(_04220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09604_ (.A1(_04219_),
-    .A2(_03927_),
-    .B1(_03931_),
-    .B2(_04220_),
+ sky130_fd_sc_hd__o22a_4 _09502_ (.A1(_04181_),
+    .A2(_04220_),
+    .B1(\u_spictrl.u_txreg.data_int[18] ),
+    .B2(_04139_),
     .X(_04221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09605_ (.A(_03924_),
-    .B(_04125_),
+ sky130_fd_sc_hd__and2_4 _09503_ (.A(_03290_),
+    .B(\u_m1_cmd_fifo.mem[2][6] ),
     .X(_04222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09606_ (.A(_03986_),
+ sky130_fd_sc_hd__a211o_4 _09504_ (.A1(_03987_),
+    .A2(\u_m1_cmd_fifo.mem[3][6] ),
+    .B1(_03957_),
+    .C1(_04222_),
     .X(_04223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09607_ (.A(_04054_),
-    .B(\u_m1_cmd_fifo.mem[2][7] ),
+ sky130_fd_sc_hd__and2_4 _09505_ (.A(_03290_),
+    .B(\u_m1_cmd_fifo.mem[0][6] ),
     .X(_04224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09608_ (.A1(_03966_),
-    .A2(\u_m1_cmd_fifo.mem[3][7] ),
-    .B1(_04048_),
+ sky130_fd_sc_hd__a211o_4 _09506_ (.A1(_03987_),
+    .A2(\u_m1_cmd_fifo.mem[1][6] ),
+    .B1(_03284_),
     .C1(_04224_),
     .X(_04225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09609_ (.A(_04054_),
-    .B(\u_m1_cmd_fifo.mem[0][7] ),
+ sky130_fd_sc_hd__or2_4 _09507_ (.A(_03947_),
+    .B(\u_m0_cmd_fifo.mem[1][6] ),
     .X(_04226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09610_ (.A1(_03966_),
-    .A2(\u_m1_cmd_fifo.mem[1][7] ),
-    .B1(_03286_),
-    .C1(_04226_),
+ sky130_fd_sc_hd__o21a_4 _09508_ (.A1(_04045_),
+    .A2(\u_m0_cmd_fifo.mem[0][6] ),
+    .B1(_03953_),
     .X(_04227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09611_ (.A(_04046_),
-    .B(\u_m0_cmd_fifo.mem[1][7] ),
+ sky130_fd_sc_hd__a32o_4 _09509_ (.A1(_04223_),
+    .A2(_04225_),
+    .A3(psn_net_162),
+    .B1(_04226_),
+    .B2(_04227_),
     .X(_04228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09612_ (.A1(_04097_),
-    .A2(\u_m0_cmd_fifo.mem[0][7] ),
-    .B1(_03978_),
+ sky130_fd_sc_hd__or2_4 _09510_ (.A(_03994_),
+    .B(_04228_),
     .X(_04229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09613_ (.A1(_04225_),
-    .A2(_04227_),
-    .A3(_04043_),
-    .B1(_04228_),
-    .B2(_04229_),
+ sky130_fd_sc_hd__buf_2 _09511_ (.A(_03790_),
     .X(_04230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09614_ (.A(_04223_),
-    .B(_04230_),
+ sky130_fd_sc_hd__o22a_4 _09512_ (.A1(_03500_),
+    .A2(\u_m0_cmd_fifo.mem[0][22] ),
+    .B1(_03507_),
+    .B2(\u_m0_cmd_fifo.mem[1][22] ),
     .X(_04231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09615_ (.A(_04015_),
+ sky130_fd_sc_hd__or2_4 _09513_ (.A(_03962_),
+    .B(\u_m1_cmd_fifo.mem[0][22] ),
     .X(_04232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09616_ (.A(_04149_),
+ sky130_fd_sc_hd__or2_4 _09514_ (.A(_03288_),
+    .B(\u_m1_cmd_fifo.mem[1][22] ),
     .X(_04233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09617_ (.A(_01287_),
+ sky130_fd_sc_hd__buf_2 _09515_ (.A(_03288_),
     .X(_04234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09618_ (.A1(_03508_),
-    .A2(\u_m0_cmd_fifo.mem[0][23] ),
-    .B1(_04234_),
-    .B2(\u_m0_cmd_fifo.mem[1][23] ),
+ sky130_fd_sc_hd__or2_4 _09516_ (.A(_04234_),
+    .B(\u_m1_cmd_fifo.mem[3][22] ),
     .X(_04235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09619_ (.A(_01837_),
+ sky130_fd_sc_hd__o21a_4 _09517_ (.A1(_04187_),
+    .A2(\u_m1_cmd_fifo.mem[2][22] ),
+    .B1(_03282_),
     .X(_04236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09620_ (.A(_03963_),
-    .B(\u_m1_cmd_fifo.mem[0][23] ),
+ sky130_fd_sc_hd__a32o_4 _09518_ (.A1(_03381_),
+    .A2(_04232_),
+    .A3(_04233_),
+    .B1(_04235_),
+    .B2(_04236_),
     .X(_04237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09621_ (.A(_04052_),
-    .B(\u_m1_cmd_fifo.mem[1][23] ),
+ sky130_fd_sc_hd__o22a_4 _09519_ (.A1(psn_net_165),
+    .A2(_04231_),
+    .B1(_03952_),
+    .B2(_04237_),
     .X(_04238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09622_ (.A(_01833_),
+ sky130_fd_sc_hd__o22a_4 _09520_ (.A1(_03500_),
+    .A2(\u_m0_cmd_fifo.mem[0][14] ),
+    .B1(_03508_),
+    .B2(\u_m0_cmd_fifo.mem[1][14] ),
     .X(_04239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09623_ (.A(_04239_),
+ sky130_fd_sc_hd__or2_4 _09521_ (.A(_03962_),
+    .B(\u_m1_cmd_fifo.mem[0][14] ),
     .X(_04240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09624_ (.A(_04240_),
-    .B(\u_m1_cmd_fifo.mem[3][23] ),
+ sky130_fd_sc_hd__or2_4 _09522_ (.A(_03288_),
+    .B(\u_m1_cmd_fifo.mem[1][14] ),
     .X(_04241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09625_ (.A1(_03963_),
-    .A2(\u_m1_cmd_fifo.mem[2][23] ),
-    .B1(_03284_),
+ sky130_fd_sc_hd__or2_4 _09523_ (.A(_04234_),
+    .B(\u_m1_cmd_fifo.mem[3][14] ),
     .X(_04242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09626_ (.A1(_04236_),
-    .A2(_04237_),
-    .A3(_04238_),
-    .B1(_04241_),
-    .B2(_04242_),
+ sky130_fd_sc_hd__o21a_4 _09524_ (.A1(_04187_),
+    .A2(\u_m1_cmd_fifo.mem[2][14] ),
+    .B1(_03282_),
     .X(_04243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09627_ (.A1(_04138_),
-    .A2(_04235_),
-    .B1(_03993_),
+ sky130_fd_sc_hd__a32o_4 _09525_ (.A1(_03382_),
+    .A2(_04240_),
+    .A3(_04241_),
+    .B1(_04242_),
     .B2(_04243_),
     .X(_04244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09628_ (.A(_04157_),
+ sky130_fd_sc_hd__o22a_4 _09526_ (.A1(psn_net_164),
+    .A2(_04239_),
+    .B1(_03953_),
+    .B2(_04244_),
     .X(_04245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09629_ (.A1(_04021_),
-    .A2(\u_m0_cmd_fifo.mem[0][15] ),
-    .B1(_04045_),
-    .B2(\u_m0_cmd_fifo.mem[1][15] ),
+ sky130_fd_sc_hd__o22a_4 _09527_ (.A1(_04230_),
+    .A2(_04238_),
+    .B1(_03977_),
+    .B2(_04245_),
     .X(_04246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09630_ (.A(_04034_),
-    .B(\u_m1_cmd_fifo.mem[0][15] ),
+ sky130_fd_sc_hd__or2_4 _09528_ (.A(_04196_),
+    .B(_04246_),
     .X(_04247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09631_ (.A(_04053_),
-    .B(\u_m1_cmd_fifo.mem[1][15] ),
+ sky130_fd_sc_hd__a32o_4 _09529_ (.A1(_04186_),
+    .A2(_04229_),
+    .A3(_04247_),
+    .B1(_04215_),
+    .B2(_04245_),
     .X(_04248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09632_ (.A(_04053_),
-    .B(\u_m1_cmd_fifo.mem[3][15] ),
+ sky130_fd_sc_hd__a32o_4 _09530_ (.A1(_04178_),
+    .A2(_04221_),
+    .A3(_04185_),
+    .B1(_04141_),
+    .B2(_04248_),
     .X(_04249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09633_ (.A1(_04034_),
-    .A2(\u_m1_cmd_fifo.mem[2][15] ),
-    .B1(_04036_),
+ sky130_fd_sc_hd__a32o_4 _09531_ (.A1(_04177_),
+    .A2(_04249_),
+    .A3(_04218_),
+    .B1(_04219_),
+    .B2(\u_spictrl.u_txreg.data_int[22] ),
+    .X(_00992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09532_ (.A1(\u_spictrl.u_txreg.data_int[20] ),
+    .A2(_04182_),
+    .B1(\u_spictrl.u_txreg.data_int[19] ),
+    .B2(_04137_),
     .X(_04250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09634_ (.A1(_03378_),
-    .A2(_04247_),
-    .A3(_04248_),
-    .B1(_04249_),
-    .B2(_04250_),
+ sky130_fd_sc_hd__o22a_4 _09533_ (.A1(_04181_),
+    .A2(_04250_),
+    .B1(\u_spictrl.u_txreg.data_int[17] ),
+    .B2(_04139_),
     .X(_04251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09635_ (.A1(_01524_),
-    .A2(_04246_),
-    .B1(_04025_),
-    .B2(_04251_),
+ sky130_fd_sc_hd__buf_2 _09534_ (.A(_03988_),
     .X(_04252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09636_ (.A1(_04233_),
-    .A2(_04244_),
-    .B1(_04245_),
-    .B2(_04252_),
+ sky130_fd_sc_hd__and2_4 _09535_ (.A(_03968_),
+    .B(\u_m1_cmd_fifo.mem[2][5] ),
     .X(_04253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09637_ (.A(_04232_),
-    .B(_04253_),
+ sky130_fd_sc_hd__a211o_4 _09536_ (.A1(_04252_),
+    .A2(\u_m1_cmd_fifo.mem[3][5] ),
+    .B1(_03959_),
+    .C1(_04253_),
     .X(_04254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09638_ (.A(_03958_),
+ sky130_fd_sc_hd__and2_4 _09537_ (.A(_03968_),
+    .B(\u_m1_cmd_fifo.mem[0][5] ),
     .X(_04255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09639_ (.A(_04255_),
+ sky130_fd_sc_hd__a211o_4 _09538_ (.A1(_04252_),
+    .A2(\u_m1_cmd_fifo.mem[1][5] ),
+    .B1(_04085_),
+    .C1(_04255_),
     .X(_04256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09640_ (.A1(_03790_),
-    .A2(_04231_),
-    .A3(_04254_),
-    .B1(_04256_),
-    .B2(_04252_),
+ sky130_fd_sc_hd__or2_4 _09539_ (.A(_03949_),
+    .B(\u_m0_cmd_fifo.mem[1][5] ),
     .X(_04257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09641_ (.A(_03954_),
-    .B(_04257_),
+ sky130_fd_sc_hd__o21a_4 _09540_ (.A1(_04090_),
+    .A2(\u_m0_cmd_fifo.mem[0][5] ),
+    .B1(_03955_),
     .X(_04258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09642_ (.A1(_03923_),
-    .A2(_04221_),
-    .B1(_04222_),
-    .C1(_04258_),
+ sky130_fd_sc_hd__a32o_4 _09541_ (.A1(_04254_),
+    .A2(_04256_),
+    .A3(_03978_),
+    .B1(_04257_),
+    .B2(_04258_),
     .X(_04259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09643_ (.A1(_03911_),
-    .A2(_04218_),
-    .A3(_04259_),
-    .B1(_03924_),
-    .B2(_04216_),
-    .X(_00991_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09644_ (.A(_03909_),
+ sky130_fd_sc_hd__or2_4 _09542_ (.A(_03994_),
+    .B(_04259_),
     .X(_04260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09645_ (.A(_04260_),
+ sky130_fd_sc_hd__o22a_4 _09543_ (.A1(_04045_),
+    .A2(\u_m0_cmd_fifo.mem[0][21] ),
+    .B1(_03947_),
+    .B2(\u_m0_cmd_fifo.mem[1][21] ),
     .X(_04261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09646_ (.A(_03922_),
+ sky130_fd_sc_hd__buf_2 _09544_ (.A(_03963_),
     .X(_04262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09647_ (.A(\u_spictrl.u_txreg.data_int[18] ),
+ sky130_fd_sc_hd__or2_4 _09545_ (.A(_04262_),
+    .B(\u_m1_cmd_fifo.mem[0][21] ),
     .X(_04263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09648_ (.A(_03926_),
+ sky130_fd_sc_hd__buf_2 _09546_ (.A(_03289_),
     .X(_04264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09649_ (.A(_03930_),
+ sky130_fd_sc_hd__or2_4 _09547_ (.A(_04264_),
+    .B(\u_m1_cmd_fifo.mem[1][21] ),
     .X(_04265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09650_ (.A(_03934_),
+ sky130_fd_sc_hd__or2_4 _09548_ (.A(_04264_),
+    .B(\u_m1_cmd_fifo.mem[3][21] ),
     .X(_04266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09651_ (.A1(\u_spictrl.u_txreg.data_int[21] ),
-    .A2(_04266_),
-    .B1(_04171_),
-    .B2(_04173_),
+ sky130_fd_sc_hd__o21a_4 _09549_ (.A1(_03964_),
+    .A2(\u_m1_cmd_fifo.mem[2][21] ),
+    .B1(_04083_),
     .X(_04267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09652_ (.A1(_04263_),
-    .A2(_04264_),
-    .B1(_04265_),
+ sky130_fd_sc_hd__a32o_4 _09550_ (.A1(_03957_),
+    .A2(_04263_),
+    .A3(_04265_),
+    .B1(_04266_),
     .B2(_04267_),
     .X(_04268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09653_ (.A(_04071_),
-    .B(_04125_),
+ sky130_fd_sc_hd__o22a_4 _09551_ (.A1(psn_net_160),
+    .A2(_04261_),
+    .B1(_03954_),
+    .B2(_04268_),
     .X(_04269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09654_ (.A(_03953_),
+ sky130_fd_sc_hd__buf_2 _09552_ (.A(_03976_),
     .X(_04270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09655_ (.A(_04030_),
-    .B(\u_m1_cmd_fifo.mem[2][6] ),
+ sky130_fd_sc_hd__o22a_4 _09553_ (.A1(_03502_),
+    .A2(\u_m0_cmd_fifo.mem[0][13] ),
+    .B1(_03509_),
+    .B2(\u_m0_cmd_fifo.mem[1][13] ),
     .X(_04271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09656_ (.A1(_04000_),
-    .A2(\u_m1_cmd_fifo.mem[3][6] ),
-    .B1(_03998_),
-    .C1(_04271_),
+ sky130_fd_sc_hd__or2_4 _09554_ (.A(_04262_),
+    .B(\u_m1_cmd_fifo.mem[0][13] ),
     .X(_04272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09657_ (.A(_04030_),
-    .B(\u_m1_cmd_fifo.mem[0][6] ),
+ sky130_fd_sc_hd__or2_4 _09555_ (.A(_04264_),
+    .B(\u_m1_cmd_fifo.mem[1][13] ),
     .X(_04273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09658_ (.A1(_03965_),
-    .A2(\u_m1_cmd_fifo.mem[1][6] ),
-    .B1(_04056_),
-    .C1(_04273_),
+ sky130_fd_sc_hd__or2_4 _09556_ (.A(_04052_),
+    .B(\u_m1_cmd_fifo.mem[3][13] ),
     .X(_04274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09659_ (.A(_04045_),
-    .B(\u_m0_cmd_fifo.mem[1][6] ),
+ sky130_fd_sc_hd__o21a_4 _09557_ (.A1(_03999_),
+    .A2(\u_m1_cmd_fifo.mem[2][13] ),
+    .B1(_04083_),
     .X(_04275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09660_ (.A1(_04022_),
-    .A2(\u_m0_cmd_fifo.mem[0][6] ),
-    .B1(_03994_),
+ sky130_fd_sc_hd__a32o_4 _09558_ (.A1(_03383_),
+    .A2(_04272_),
+    .A3(_04273_),
+    .B1(_04274_),
+    .B2(_04275_),
     .X(_04276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09661_ (.A1(_04272_),
-    .A2(_04274_),
-    .A3(_04139_),
-    .B1(_04275_),
+ sky130_fd_sc_hd__o22a_4 _09559_ (.A1(psn_net_160),
+    .A2(_04271_),
+    .B1(_04023_),
     .B2(_04276_),
     .X(_04277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09662_ (.A(_04223_),
-    .B(_04277_),
+ sky130_fd_sc_hd__o22a_4 _09560_ (.A1(_04230_),
+    .A2(_04269_),
+    .B1(_04270_),
+    .B2(_04277_),
     .X(_04278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09663_ (.A1(_03507_),
-    .A2(\u_m0_cmd_fifo.mem[0][22] ),
-    .B1(_03514_),
-    .B2(\u_m0_cmd_fifo.mem[1][22] ),
+ sky130_fd_sc_hd__or2_4 _09561_ (.A(_04196_),
+    .B(_04278_),
     .X(_04279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09664_ (.A(_03962_),
+ sky130_fd_sc_hd__a32o_4 _09562_ (.A1(_04186_),
+    .A2(_04260_),
+    .A3(_04279_),
+    .B1(_04215_),
+    .B2(_04277_),
     .X(_04280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09665_ (.A(_04280_),
-    .B(\u_m1_cmd_fifo.mem[0][22] ),
+ sky130_fd_sc_hd__a32o_4 _09563_ (.A1(_04178_),
+    .A2(_04251_),
+    .A3(_04185_),
+    .B1(_04141_),
+    .B2(_04280_),
     .X(_04281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09666_ (.A(_04239_),
-    .B(\u_m1_cmd_fifo.mem[1][22] ),
+ sky130_fd_sc_hd__a32o_4 _09564_ (.A1(_04177_),
+    .A2(_04281_),
+    .A3(_04218_),
+    .B1(_04219_),
+    .B2(\u_spictrl.u_txreg.data_int[21] ),
+    .X(_00991_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09565_ (.A(_03922_),
     .X(_04282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09667_ (.A(_03288_),
-    .B(\u_m1_cmd_fifo.mem[3][22] ),
+ sky130_fd_sc_hd__o22a_4 _09566_ (.A1(\u_spictrl.u_txreg.data_int[19] ),
+    .A2(_04182_),
+    .B1(\u_spictrl.u_txreg.data_int[18] ),
+    .B2(_04282_),
     .X(_04283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09668_ (.A1(_04006_),
-    .A2(\u_m1_cmd_fifo.mem[2][22] ),
-    .B1(_03283_),
+ sky130_fd_sc_hd__buf_2 _09567_ (.A(_03925_),
     .X(_04284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09669_ (.A1(_03377_),
-    .A2(_04281_),
-    .A3(_04282_),
-    .B1(_04283_),
+ sky130_fd_sc_hd__o22a_4 _09568_ (.A1(_04181_),
+    .A2(_04283_),
+    .B1(\u_spictrl.u_txreg.data_int[16] ),
     .B2(_04284_),
     .X(_04285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09670_ (.A1(_01523_),
-    .A2(_04279_),
-    .B1(_03976_),
-    .B2(_04285_),
+ sky130_fd_sc_hd__buf_2 _09569_ (.A(psn_net_81),
     .X(_04286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09671_ (.A(_03507_),
+ sky130_fd_sc_hd__buf_2 _09570_ (.A(_03785_),
     .X(_04287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09672_ (.A1(_04287_),
-    .A2(\u_m0_cmd_fifo.mem[0][14] ),
-    .B1(_04234_),
-    .B2(\u_m0_cmd_fifo.mem[1][14] ),
+ sky130_fd_sc_hd__and2_4 _09571_ (.A(_04086_),
+    .B(\u_m1_cmd_fifo.mem[2][4] ),
     .X(_04288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09673_ (.A(_04280_),
+ sky130_fd_sc_hd__a211o_4 _09572_ (.A1(_04252_),
+    .A2(\u_m1_cmd_fifo.mem[3][4] ),
+    .B1(_03959_),
+    .C1(_04288_),
     .X(_04289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09674_ (.A(_04289_),
-    .B(\u_m1_cmd_fifo.mem[0][14] ),
+ sky130_fd_sc_hd__and2_4 _09573_ (.A(_04086_),
+    .B(\u_m1_cmd_fifo.mem[0][4] ),
     .X(_04290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09675_ (.A(_04240_),
-    .B(\u_m1_cmd_fifo.mem[1][14] ),
+ sky130_fd_sc_hd__a211o_4 _09574_ (.A1(_04252_),
+    .A2(\u_m1_cmd_fifo.mem[1][4] ),
+    .B1(_04085_),
+    .C1(_04290_),
     .X(_04291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09676_ (.A(_04195_),
-    .B(\u_m1_cmd_fifo.mem[3][14] ),
+ sky130_fd_sc_hd__or2_4 _09575_ (.A(_03949_),
+    .B(\u_m0_cmd_fifo.mem[1][4] ),
     .X(_04292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09677_ (.A(_04280_),
+ sky130_fd_sc_hd__o21a_4 _09576_ (.A1(_04090_),
+    .A2(\u_m0_cmd_fifo.mem[0][4] ),
+    .B1(_04102_),
     .X(_04293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09678_ (.A(_03283_),
+ sky130_fd_sc_hd__a32o_4 _09577_ (.A1(_04289_),
+    .A2(_04291_),
+    .A3(_03944_),
+    .B1(_04292_),
+    .B2(_04293_),
     .X(_04294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09679_ (.A1(_04293_),
-    .A2(\u_m1_cmd_fifo.mem[2][14] ),
-    .B1(_04294_),
+ sky130_fd_sc_hd__or2_4 _09578_ (.A(_04287_),
+    .B(_04294_),
     .X(_04295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09680_ (.A1(_04236_),
-    .A2(_04290_),
-    .A3(_04291_),
-    .B1(_04292_),
-    .B2(_04295_),
+ sky130_fd_sc_hd__o22a_4 _09579_ (.A1(_04045_),
+    .A2(\u_m0_cmd_fifo.mem[0][20] ),
+    .B1(_03509_),
+    .B2(\u_m0_cmd_fifo.mem[1][20] ),
     .X(_04296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09681_ (.A1(_04138_),
-    .A2(_04288_),
-    .B1(_03977_),
-    .B2(_04296_),
+ sky130_fd_sc_hd__or2_4 _09580_ (.A(_04262_),
+    .B(\u_m1_cmd_fifo.mem[0][20] ),
     .X(_04297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09682_ (.A1(_04233_),
-    .A2(_04286_),
-    .B1(_04245_),
-    .B2(_04297_),
+ sky130_fd_sc_hd__or2_4 _09581_ (.A(_04264_),
+    .B(\u_m1_cmd_fifo.mem[1][20] ),
     .X(_04298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09683_ (.A(_04232_),
-    .B(_04298_),
+ sky130_fd_sc_hd__or2_4 _09582_ (.A(_04052_),
+    .B(\u_m1_cmd_fifo.mem[3][20] ),
     .X(_04299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09684_ (.A(_04255_),
+ sky130_fd_sc_hd__o21a_4 _09583_ (.A1(_03964_),
+    .A2(\u_m1_cmd_fifo.mem[2][20] ),
+    .B1(_04083_),
     .X(_04300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09685_ (.A1(_03790_),
-    .A2(_04278_),
-    .A3(_04299_),
-    .B1(_04300_),
-    .B2(_04297_),
+ sky130_fd_sc_hd__a32o_4 _09584_ (.A1(_03383_),
+    .A2(_04297_),
+    .A3(_04298_),
+    .B1(_04299_),
+    .B2(_04300_),
     .X(_04301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09686_ (.A(_04270_),
-    .B(_04301_),
+ sky130_fd_sc_hd__o22a_4 _09585_ (.A1(psn_net_160),
+    .A2(_04296_),
+    .B1(_04023_),
+    .B2(_04301_),
     .X(_04302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09687_ (.A1(_04262_),
-    .A2(_04268_),
-    .B1(_04269_),
-    .C1(_04302_),
+ sky130_fd_sc_hd__o22a_4 _09586_ (.A1(_03502_),
+    .A2(\u_m0_cmd_fifo.mem[0][12] ),
+    .B1(_03509_),
+    .B2(\u_m0_cmd_fifo.mem[1][12] ),
     .X(_04303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09688_ (.A1(_04261_),
-    .A2(_04218_),
-    .A3(_04303_),
-    .B1(_04071_),
-    .B2(_04216_),
-    .X(_00990_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09689_ (.A(\u_spictrl.u_txreg.data_int[17] ),
+ sky130_fd_sc_hd__or2_4 _09587_ (.A(_04262_),
+    .B(\u_m1_cmd_fifo.mem[0][12] ),
     .X(_04304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09690_ (.A1(\u_spictrl.u_txreg.data_int[20] ),
-    .A2(_04266_),
-    .B1(_04219_),
-    .B2(_04173_),
+ sky130_fd_sc_hd__or2_4 _09588_ (.A(_04052_),
+    .B(\u_m1_cmd_fifo.mem[1][12] ),
     .X(_04305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09691_ (.A1(_04304_),
-    .A2(_04264_),
-    .B1(_04265_),
-    .B2(_04305_),
+ sky130_fd_sc_hd__or2_4 _09589_ (.A(_03983_),
+    .B(\u_m1_cmd_fifo.mem[3][12] ),
     .X(_04306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09692_ (.A(_04124_),
+ sky130_fd_sc_hd__o21a_4 _09590_ (.A1(_03999_),
+    .A2(\u_m1_cmd_fifo.mem[2][12] ),
+    .B1(_03284_),
     .X(_04307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09693_ (.A(_04121_),
-    .B(_04307_),
+ sky130_fd_sc_hd__a32o_4 _09591_ (.A1(_03383_),
+    .A2(_04304_),
+    .A3(_04305_),
+    .B1(_04306_),
+    .B2(_04307_),
     .X(_04308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09694_ (.A(_04000_),
+ sky130_fd_sc_hd__o22a_4 _09592_ (.A1(_03943_),
+    .A2(_04303_),
+    .B1(_03955_),
+    .B2(_04308_),
     .X(_04309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09695_ (.A(_04130_),
-    .B(\u_m1_cmd_fifo.mem[2][5] ),
+ sky130_fd_sc_hd__o22a_4 _09593_ (.A1(_04230_),
+    .A2(_04302_),
+    .B1(_04270_),
+    .B2(_04309_),
     .X(_04310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09696_ (.A1(_04309_),
-    .A2(\u_m1_cmd_fifo.mem[3][5] ),
-    .B1(_03999_),
-    .C1(_04310_),
+ sky130_fd_sc_hd__or2_4 _09594_ (.A(_04196_),
+    .B(_04310_),
     .X(_04311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09697_ (.A(_04130_),
-    .B(\u_m1_cmd_fifo.mem[0][5] ),
+ sky130_fd_sc_hd__a32o_4 _09595_ (.A1(_04186_),
+    .A2(_04295_),
+    .A3(_04311_),
+    .B1(_04215_),
+    .B2(_04309_),
     .X(_04312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09698_ (.A1(_04309_),
-    .A2(\u_m1_cmd_fifo.mem[1][5] ),
-    .B1(_04129_),
-    .C1(_04312_),
+ sky130_fd_sc_hd__a32o_4 _09596_ (.A1(_04178_),
+    .A2(_04285_),
+    .A3(_04185_),
+    .B1(_04286_),
+    .B2(_04312_),
     .X(_04313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09699_ (.A(_03991_),
-    .B(\u_m0_cmd_fifo.mem[1][5] ),
+ sky130_fd_sc_hd__a32o_4 _09597_ (.A1(_04177_),
+    .A2(_04313_),
+    .A3(_04218_),
+    .B1(_04219_),
+    .B2(\u_spictrl.u_txreg.data_int[20] ),
+    .X(_00990_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09598_ (.A(_03910_),
     .X(_04314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09700_ (.A1(_04134_),
-    .A2(\u_m0_cmd_fifo.mem[0][5] ),
-    .B1(_04099_),
+ sky130_fd_sc_hd__buf_2 _09599_ (.A(_03913_),
     .X(_04315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09701_ (.A1(_04311_),
-    .A2(_04313_),
-    .A3(_04140_),
-    .B1(_04314_),
-    .B2(_04315_),
+ sky130_fd_sc_hd__buf_2 _09600_ (.A(_04180_),
     .X(_04316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09702_ (.A(_04223_),
-    .B(_04316_),
+ sky130_fd_sc_hd__buf_2 _09601_ (.A(_03920_),
     .X(_04317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09703_ (.A(_04149_),
+ sky130_fd_sc_hd__o22a_4 _09602_ (.A1(\u_spictrl.u_txreg.data_int[18] ),
+    .A2(_04317_),
+    .B1(\u_spictrl.u_txreg.data_int[17] ),
+    .B2(_04282_),
     .X(_04318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09704_ (.A1(_04021_),
-    .A2(\u_m0_cmd_fifo.mem[0][21] ),
-    .B1(_03515_),
-    .B2(\u_m0_cmd_fifo.mem[1][21] ),
+ sky130_fd_sc_hd__o22a_4 _09603_ (.A1(_04316_),
+    .A2(_04318_),
+    .B1(\u_spictrl.u_txreg.data_int[15] ),
+    .B2(_04284_),
     .X(_04319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09705_ (.A(_04293_),
-    .B(\u_m1_cmd_fifo.mem[0][21] ),
+ sky130_fd_sc_hd__buf_2 _09604_ (.A(_03930_),
     .X(_04320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09706_ (.A(_03288_),
+ sky130_fd_sc_hd__buf_2 _09605_ (.A(_04012_),
     .X(_04321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09707_ (.A(_04321_),
-    .B(\u_m1_cmd_fifo.mem[1][21] ),
+ sky130_fd_sc_hd__or2_4 _09606_ (.A(_04287_),
+    .B(_04026_),
     .X(_04322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09708_ (.A(_04321_),
-    .B(\u_m1_cmd_fifo.mem[3][21] ),
+ sky130_fd_sc_hd__buf_2 _09607_ (.A(_03786_),
     .X(_04323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09709_ (.A1(_04034_),
-    .A2(\u_m1_cmd_fifo.mem[2][21] ),
-    .B1(_04036_),
+ sky130_fd_sc_hd__o22a_4 _09608_ (.A1(_04230_),
+    .A2(_03992_),
+    .B1(_04270_),
+    .B2(_04009_),
     .X(_04324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09710_ (.A1(_03997_),
-    .A2(_04320_),
-    .A3(_04322_),
-    .B1(_04323_),
-    .B2(_04324_),
+ sky130_fd_sc_hd__or2_4 _09609_ (.A(_04323_),
+    .B(_04324_),
     .X(_04325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09711_ (.A1(_03988_),
-    .A2(_04319_),
-    .B1(_04025_),
-    .B2(_04325_),
+ sky130_fd_sc_hd__buf_2 _09610_ (.A(_04214_),
     .X(_04326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09712_ (.A(_04157_),
+ sky130_fd_sc_hd__a32o_4 _09611_ (.A1(_04321_),
+    .A2(_04322_),
+    .A3(_04325_),
+    .B1(_04326_),
+    .B2(_04009_),
     .X(_04327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09713_ (.A1(_03509_),
-    .A2(\u_m0_cmd_fifo.mem[0][13] ),
-    .B1(_03516_),
-    .B2(\u_m0_cmd_fifo.mem[1][13] ),
+ sky130_fd_sc_hd__a32o_4 _09612_ (.A1(_04315_),
+    .A2(_04319_),
+    .A3(_04320_),
+    .B1(_04286_),
+    .B2(_04327_),
     .X(_04328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09714_ (.A(_03964_),
-    .B(\u_m1_cmd_fifo.mem[0][13] ),
+ sky130_fd_sc_hd__buf_2 _09613_ (.A(_04035_),
     .X(_04329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09715_ (.A(_04196_),
-    .B(\u_m1_cmd_fifo.mem[1][13] ),
+ sky130_fd_sc_hd__buf_2 _09614_ (.A(_04039_),
     .X(_04330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09716_ (.A(_04002_),
-    .B(\u_m1_cmd_fifo.mem[3][13] ),
-    .X(_04331_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09717_ (.A1(_04008_),
-    .A2(\u_m1_cmd_fifo.mem[2][13] ),
-    .B1(_03285_),
-    .X(_04332_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09718_ (.A1(_03998_),
-    .A2(_04329_),
-    .A3(_04330_),
-    .B1(_04331_),
-    .B2(_04332_),
-    .X(_04333_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09719_ (.A1(_04139_),
+ sky130_fd_sc_hd__a32o_4 _09615_ (.A1(_04314_),
     .A2(_04328_),
-    .B1(_03995_),
-    .B2(_04333_),
-    .X(_04334_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09720_ (.A1(_04318_),
-    .A2(_04326_),
-    .B1(_04327_),
-    .B2(_04334_),
-    .X(_04335_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09721_ (.A(_04232_),
-    .B(_04335_),
-    .X(_04336_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09722_ (.A1(_03790_),
-    .A2(_04317_),
-    .A3(_04336_),
-    .B1(_04300_),
-    .B2(_04334_),
-    .X(_04337_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09723_ (.A(_04270_),
-    .B(_04337_),
-    .X(_04338_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09724_ (.A1(_04262_),
-    .A2(_04306_),
-    .B1(_04308_),
-    .C1(_04338_),
-    .X(_04339_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09725_ (.A1(_04261_),
-    .A2(_04218_),
-    .A3(_04339_),
-    .B1(_04121_),
-    .B2(_04216_),
+    .A3(_04329_),
+    .B1(_04330_),
+    .B2(\u_spictrl.u_txreg.data_int[19] ),
     .X(_00989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09726_ (.A(\u_spictrl.u_txreg.data_int[16] ),
+ sky130_fd_sc_hd__o22a_4 _09616_ (.A1(\u_spictrl.u_txreg.data_int[17] ),
+    .A2(_04317_),
+    .B1(\u_spictrl.u_txreg.data_int[16] ),
+    .B2(_04282_),
+    .X(_04331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09617_ (.A1(_04316_),
+    .A2(_04331_),
+    .B1(\u_spictrl.u_txreg.data_int[14] ),
+    .B2(_04284_),
+    .X(_04332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09618_ (.A(_04287_),
+    .B(_04092_),
+    .X(_04333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09619_ (.A1(_04044_),
+    .A2(_04071_),
+    .B1(_04270_),
+    .B2(_04079_),
+    .X(_04334_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09620_ (.A(_04323_),
+    .B(_04334_),
+    .X(_04335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09621_ (.A1(_04321_),
+    .A2(_04333_),
+    .A3(_04335_),
+    .B1(_04326_),
+    .B2(_04079_),
+    .X(_04336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09622_ (.A1(_04315_),
+    .A2(_04332_),
+    .A3(_04320_),
+    .B1(_04286_),
+    .B2(_04336_),
+    .X(_04337_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09623_ (.A1(_04314_),
+    .A2(_04337_),
+    .A3(_04329_),
+    .B1(\u_spictrl.u_txreg.data_int[18] ),
+    .B2(_04330_),
+    .X(_00988_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09624_ (.A1(\u_spictrl.u_txreg.data_int[16] ),
+    .A2(_04317_),
+    .B1(\u_spictrl.u_txreg.data_int[15] ),
+    .B2(_04282_),
+    .X(_04338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09625_ (.A1(_04316_),
+    .A2(_04338_),
+    .B1(\u_spictrl.u_txreg.data_int[13] ),
+    .B2(_04284_),
+    .X(_04339_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09626_ (.A(_04287_),
+    .B(_04132_),
     .X(_04340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09727_ (.A(_04172_),
+ sky130_fd_sc_hd__o22a_4 _09627_ (.A1(_04044_),
+    .A2(_04116_),
+    .B1(_04062_),
+    .B2(_04124_),
     .X(_04341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09728_ (.A1(\u_spictrl.u_txreg.data_int[19] ),
-    .A2(_04266_),
-    .B1(_04263_),
-    .B2(_04341_),
+ sky130_fd_sc_hd__or2_4 _09628_ (.A(_04323_),
+    .B(_04341_),
     .X(_04342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09729_ (.A1(_04340_),
-    .A2(_04264_),
-    .B1(_04265_),
-    .B2(_04342_),
+ sky130_fd_sc_hd__a32o_4 _09629_ (.A1(_04321_),
+    .A2(_04340_),
+    .A3(_04342_),
+    .B1(_04326_),
+    .B2(_04124_),
     .X(_04343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09730_ (.A(_04171_),
-    .B(_04307_),
+ sky130_fd_sc_hd__a32o_4 _09630_ (.A1(_04315_),
+    .A2(_04339_),
+    .A3(_04320_),
+    .B1(_04286_),
+    .B2(_04343_),
     .X(_04344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09731_ (.A(_03789_),
+ sky130_fd_sc_hd__a32o_4 _09631_ (.A1(_04314_),
+    .A2(_04344_),
+    .A3(_04329_),
+    .B1(\u_spictrl.u_txreg.data_int[17] ),
+    .B2(_04330_),
+    .X(_00987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09632_ (.A(_03922_),
     .X(_04345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09732_ (.A(_03291_),
-    .B(\u_m1_cmd_fifo.mem[2][4] ),
+ sky130_fd_sc_hd__o22a_4 _09633_ (.A1(\u_spictrl.u_txreg.data_int[15] ),
+    .A2(_04317_),
+    .B1(\u_spictrl.u_txreg.data_int[14] ),
+    .B2(_04345_),
     .X(_04346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09733_ (.A1(_04309_),
-    .A2(\u_m1_cmd_fifo.mem[3][4] ),
-    .B1(_03379_),
-    .C1(_04346_),
+ sky130_fd_sc_hd__o22a_4 _09634_ (.A1(_04316_),
+    .A2(_04346_),
+    .B1(\u_spictrl.u_txreg.data_int[12] ),
+    .B2(_03926_),
     .X(_04347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09734_ (.A(_03291_),
-    .B(\u_m1_cmd_fifo.mem[0][4] ),
+ sky130_fd_sc_hd__or2_4 _09635_ (.A(_04043_),
+    .B(_04172_),
     .X(_04348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09735_ (.A1(_04309_),
-    .A2(\u_m1_cmd_fifo.mem[1][4] ),
-    .B1(_04129_),
-    .C1(_04348_),
+ sky130_fd_sc_hd__o22a_4 _09636_ (.A1(_04044_),
+    .A2(_04156_),
+    .B1(_04062_),
+    .B2(_04164_),
     .X(_04349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09736_ (.A(_03517_),
-    .B(\u_m0_cmd_fifo.mem[1][4] ),
+ sky130_fd_sc_hd__or2_4 _09637_ (.A(_04323_),
+    .B(_04349_),
     .X(_04350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09737_ (.A1(_04134_),
-    .A2(\u_m0_cmd_fifo.mem[0][4] ),
-    .B1(_03978_),
+ sky130_fd_sc_hd__a32o_4 _09638_ (.A1(_04321_),
+    .A2(_04348_),
+    .A3(_04350_),
+    .B1(_04326_),
+    .B2(_04164_),
     .X(_04351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09738_ (.A1(_04347_),
-    .A2(_04349_),
-    .A3(_01525_),
-    .B1(_04350_),
+ sky130_fd_sc_hd__a32o_4 _09639_ (.A1(_04315_),
+    .A2(_04347_),
+    .A3(_04320_),
+    .B1(_03940_),
     .B2(_04351_),
     .X(_04352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09739_ (.A(_04223_),
-    .B(_04352_),
-    .X(_04353_),
+ sky130_fd_sc_hd__a32o_4 _09640_ (.A1(_04314_),
+    .A2(_04352_),
+    .A3(_04329_),
+    .B1(\u_spictrl.u_txreg.data_int[16] ),
+    .B2(_04330_),
+    .X(_00986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09740_ (.A1(_04287_),
-    .A2(\u_m0_cmd_fifo.mem[0][20] ),
-    .B1(_04234_),
-    .B2(\u_m0_cmd_fifo.mem[1][20] ),
+ sky130_fd_sc_hd__inv_2 _09641_ (.A(\u_spictrl.u_txreg.data_int[15] ),
+    .Y(_04353_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09642_ (.A(_04038_),
     .X(_04354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09741_ (.A(_04289_),
-    .B(\u_m1_cmd_fifo.mem[0][20] ),
+ sky130_fd_sc_hd__buf_2 _09643_ (.A(_04354_),
     .X(_04355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09742_ (.A(_04240_),
-    .B(\u_m1_cmd_fifo.mem[1][20] ),
+ sky130_fd_sc_hd__buf_2 _09644_ (.A(_03843_),
     .X(_04356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09743_ (.A(_04195_),
-    .B(\u_m1_cmd_fifo.mem[3][20] ),
+ sky130_fd_sc_hd__buf_2 _09645_ (.A(_04356_),
     .X(_04357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09744_ (.A1(_04293_),
-    .A2(\u_m1_cmd_fifo.mem[2][20] ),
-    .B1(_04294_),
+ sky130_fd_sc_hd__buf_2 _09646_ (.A(_04357_),
     .X(_04358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09745_ (.A1(_04236_),
-    .A2(_04355_),
-    .A3(_04356_),
-    .B1(_04357_),
-    .B2(_04358_),
+ sky130_fd_sc_hd__buf_2 _09647_ (.A(_03915_),
     .X(_04359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09746_ (.A1(_03988_),
-    .A2(_04354_),
-    .B1(_03977_),
-    .B2(_04359_),
+ sky130_fd_sc_hd__buf_2 _09648_ (.A(_03919_),
     .X(_04360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09747_ (.A1(_03509_),
-    .A2(\u_m0_cmd_fifo.mem[0][12] ),
-    .B1(_04045_),
-    .B2(\u_m0_cmd_fifo.mem[1][12] ),
+ sky130_fd_sc_hd__buf_2 _09649_ (.A(_03858_),
     .X(_04361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09748_ (.A(_03964_),
-    .B(\u_m1_cmd_fifo.mem[0][12] ),
+ sky130_fd_sc_hd__buf_2 _09650_ (.A(_04361_),
     .X(_04362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09749_ (.A(_04196_),
-    .B(\u_m1_cmd_fifo.mem[1][12] ),
+ sky130_fd_sc_hd__o22a_4 _09651_ (.A1(\u_spictrl.u_txreg.data_int[14] ),
+    .A2(_04360_),
+    .B1(\u_spictrl.u_txreg.data_int[13] ),
+    .B2(_04362_),
     .X(_04363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09750_ (.A(_04196_),
-    .B(\u_m1_cmd_fifo.mem[3][12] ),
-    .X(_04364_),
+ sky130_fd_sc_hd__inv_2 _09652_ (.A(\u_spictrl.u_txreg.data_int[11] ),
+    .Y(_04364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09751_ (.A1(_04049_),
-    .A2(\u_m1_cmd_fifo.mem[2][12] ),
-    .B1(_03285_),
+ sky130_fd_sc_hd__a2bb2o_4 _09653_ (.A1_N(_04359_),
+    .A2_N(_04363_),
+    .B1(_04364_),
+    .B2(_04359_),
     .X(_04365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09752_ (.A1(_03378_),
-    .A2(_04362_),
-    .A3(_04363_),
-    .B1(_04364_),
-    .B2(_04365_),
+ sky130_fd_sc_hd__buf_2 _09654_ (.A(psn_net_88),
     .X(_04366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09753_ (.A1(_04139_),
-    .A2(_04361_),
-    .B1(_03978_),
-    .B2(_04366_),
-    .X(_04367_),
+ sky130_fd_sc_hd__inv_2 _09655_ (.A(psn_net_82),
+    .Y(_04367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09754_ (.A1(_04318_),
-    .A2(_04360_),
-    .B1(_04327_),
-    .B2(_04367_),
+ sky130_fd_sc_hd__buf_2 _09656_ (.A(_04367_),
     .X(_04368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09755_ (.A(_04232_),
-    .B(_04368_),
+ sky130_fd_sc_hd__buf_2 _09657_ (.A(_03373_),
     .X(_04369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09756_ (.A1(_04345_),
-    .A2(_04353_),
-    .A3(_04369_),
-    .B1(_04300_),
-    .B2(_04367_),
-    .X(_04370_),
+ sky130_fd_sc_hd__inv_2 _09658_ (.A(_04204_),
+    .Y(_04370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09757_ (.A(_04270_),
-    .B(_04370_),
+ sky130_fd_sc_hd__buf_2 _09659_ (.A(_03777_),
     .X(_04371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09758_ (.A1(_04262_),
-    .A2(_04343_),
-    .B1(_04344_),
-    .C1(_04371_),
+ sky130_fd_sc_hd__buf_2 _09660_ (.A(_03773_),
     .X(_04372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09759_ (.A(_04215_),
+ sky130_fd_sc_hd__and2_4 _09661_ (.A(\u_spictrl.cfg_addr_cnt[0] ),
+    .B(_04211_),
     .X(_04373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09760_ (.A1(_04261_),
-    .A2(_04218_),
-    .A3(_04372_),
-    .B1(_04171_),
-    .B2(_04373_),
-    .X(_00988_),
+ sky130_fd_sc_hd__a21oi_4 _09662_ (.A1(_04372_),
+    .A2(_04194_),
+    .B1(_04373_),
+    .Y(_04374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09761_ (.A(_04217_),
-    .X(_04374_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09762_ (.A(\u_spictrl.u_txreg.data_int[15] ),
+ sky130_fd_sc_hd__o22a_4 _09663_ (.A1(_04369_),
+    .A2(_04370_),
+    .B1(_04371_),
+    .B2(_04374_),
     .X(_04375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09763_ (.A1(\u_spictrl.u_txreg.data_int[18] ),
-    .A2(_04266_),
-    .B1(_04304_),
-    .B2(_04341_),
+ sky130_fd_sc_hd__o32a_4 _09664_ (.A1(_04358_),
+    .A2(_04365_),
+    .A3(_04366_),
+    .B1(_04368_),
+    .B2(_04375_),
     .X(_04376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09764_ (.A1(_04375_),
-    .A2(_04264_),
-    .B1(_04265_),
-    .B2(_04376_),
+ sky130_fd_sc_hd__or2_4 _09665_ (.A(_03688_),
+    .B(_04033_),
     .X(_04377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09765_ (.A(_04219_),
-    .B(_04307_),
+ sky130_fd_sc_hd__buf_2 _09666_ (.A(psn_net_25),
     .X(_04378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09766_ (.A(_03986_),
+ sky130_fd_sc_hd__o22a_4 _09667_ (.A1(_04353_),
+    .A2(_04355_),
+    .B1(_04376_),
+    .B2(_04378_),
     .X(_04379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09767_ (.A(_04379_),
-    .B(_03981_),
-    .X(_04380_),
+ sky130_fd_sc_hd__inv_2 _09668_ (.A(_04379_),
+    .Y(_00985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09768_ (.A(_04015_),
+ sky130_fd_sc_hd__inv_2 _09669_ (.A(\u_spictrl.u_txreg.data_int[14] ),
+    .Y(_04380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09670_ (.A(_04357_),
     .X(_04381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09769_ (.A1(_04318_),
-    .A2(_04059_),
-    .B1(_04327_),
-    .B2(_04012_),
+ sky130_fd_sc_hd__buf_2 _09671_ (.A(_04180_),
     .X(_04382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09770_ (.A(_04381_),
-    .B(_04382_),
+ sky130_fd_sc_hd__buf_2 _09672_ (.A(_03920_),
     .X(_04383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09771_ (.A1(_04345_),
-    .A2(_04380_),
-    .A3(_04383_),
-    .B1(_04300_),
-    .B2(_04012_),
+ sky130_fd_sc_hd__o22a_4 _09673_ (.A1(\u_spictrl.u_txreg.data_int[13] ),
+    .A2(_04383_),
+    .B1(\u_spictrl.u_txreg.data_int[12] ),
+    .B2(_04345_),
     .X(_04384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09772_ (.A(_04270_),
-    .B(_04384_),
-    .X(_04385_),
+ sky130_fd_sc_hd__inv_2 _09674_ (.A(\u_spictrl.u_txreg.data_int[10] ),
+    .Y(_04385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09773_ (.A1(_04262_),
-    .A2(_04377_),
-    .B1(_04378_),
-    .C1(_04385_),
+ sky130_fd_sc_hd__a2bb2o_4 _09675_ (.A1_N(_04382_),
+    .A2_N(_04384_),
+    .B1(_04385_),
+    .B2(_04382_),
     .X(_04386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09774_ (.A1(_04261_),
-    .A2(_04374_),
-    .A3(_04386_),
-    .B1(_04219_),
-    .B2(_04373_),
-    .X(_00987_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09775_ (.A(_04260_),
+ sky130_fd_sc_hd__buf_2 _09676_ (.A(psn_net_89),
     .X(_04387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09776_ (.A(_03922_),
+ sky130_fd_sc_hd__buf_2 _09677_ (.A(_04367_),
     .X(_04388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09777_ (.A(\u_spictrl.u_txreg.data_int[14] ),
+ sky130_fd_sc_hd__buf_2 _09678_ (.A(_03373_),
     .X(_04389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09778_ (.A(_03926_),
-    .X(_04390_),
+ sky130_fd_sc_hd__inv_2 _09679_ (.A(_04238_),
+    .Y(_04390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09779_ (.A(_03929_),
+ sky130_fd_sc_hd__buf_2 _09680_ (.A(_03787_),
     .X(_04391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09780_ (.A(_04391_),
+ sky130_fd_sc_hd__and2_4 _09681_ (.A(_04391_),
+    .B(_04245_),
     .X(_04392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09781_ (.A(_03934_),
-    .X(_04393_),
+ sky130_fd_sc_hd__a21oi_4 _09682_ (.A1(_04372_),
+    .A2(_04228_),
+    .B1(_04392_),
+    .Y(_04393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09782_ (.A1(\u_spictrl.u_txreg.data_int[17] ),
-    .A2(_04393_),
-    .B1(_04340_),
-    .B2(_04341_),
+ sky130_fd_sc_hd__o22a_4 _09683_ (.A1(_04389_),
+    .A2(_04390_),
+    .B1(_04371_),
+    .B2(_04393_),
     .X(_04394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09783_ (.A1(_04389_),
-    .A2(_04390_),
-    .B1(_04392_),
+ sky130_fd_sc_hd__o32a_4 _09684_ (.A1(_04381_),
+    .A2(_04386_),
+    .A3(_04387_),
+    .B1(_04388_),
     .B2(_04394_),
     .X(_04395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09784_ (.A(_04263_),
-    .B(_04307_),
+ sky130_fd_sc_hd__o22a_4 _09685_ (.A1(_04380_),
+    .A2(_04355_),
+    .B1(_04395_),
+    .B2(_04378_),
     .X(_04396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09785_ (.A(_03953_),
-    .X(_04397_),
+ sky130_fd_sc_hd__inv_2 _09686_ (.A(_04396_),
+    .Y(_00984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09786_ (.A(_04379_),
-    .B(_04086_),
+ sky130_fd_sc_hd__inv_2 _09687_ (.A(\u_spictrl.u_txreg.data_int[13] ),
+    .Y(_04397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09688_ (.A1(\u_spictrl.u_txreg.data_int[12] ),
+    .A2(_04383_),
+    .B1(\u_spictrl.u_txreg.data_int[11] ),
+    .B2(_04345_),
     .X(_04398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09787_ (.A1(_04318_),
-    .A2(_04114_),
-    .B1(_04327_),
-    .B2(_04095_),
-    .X(_04399_),
+ sky130_fd_sc_hd__inv_2 _09689_ (.A(\u_spictrl.u_txreg.data_int[9] ),
+    .Y(_04399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09788_ (.A(_04381_),
-    .B(_04399_),
+ sky130_fd_sc_hd__a2bb2o_4 _09690_ (.A1_N(_04382_),
+    .A2_N(_04398_),
+    .B1(_04399_),
+    .B2(_04382_),
     .X(_04400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09789_ (.A(_04255_),
-    .X(_04401_),
+ sky130_fd_sc_hd__inv_2 _09691_ (.A(_04269_),
+    .Y(_04401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09790_ (.A1(_04345_),
-    .A2(_04398_),
-    .A3(_04400_),
-    .B1(_04401_),
-    .B2(_04095_),
+ sky130_fd_sc_hd__buf_2 _09692_ (.A(_04391_),
     .X(_04402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09791_ (.A(_04397_),
-    .B(_04402_),
+ sky130_fd_sc_hd__and2_4 _09693_ (.A(_04402_),
+    .B(_04277_),
     .X(_04403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09792_ (.A1(_04388_),
-    .A2(_04395_),
-    .B1(_04396_),
-    .C1(_04403_),
-    .X(_04404_),
+ sky130_fd_sc_hd__a21oi_4 _09694_ (.A1(_04372_),
+    .A2(_04259_),
+    .B1(_04403_),
+    .Y(_04404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09793_ (.A1(_04387_),
-    .A2(_04374_),
-    .A3(_04404_),
-    .B1(_04263_),
-    .B2(_04373_),
-    .X(_00986_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09794_ (.A(\u_spictrl.u_txreg.data_int[13] ),
+ sky130_fd_sc_hd__o22a_4 _09695_ (.A1(_04389_),
+    .A2(_04401_),
+    .B1(_04371_),
+    .B2(_04404_),
     .X(_04405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09795_ (.A1(\u_spictrl.u_txreg.data_int[16] ),
-    .A2(_04393_),
-    .B1(_04375_),
-    .B2(_04341_),
+ sky130_fd_sc_hd__o32a_4 _09696_ (.A1(_04381_),
+    .A2(_04400_),
+    .A3(_04387_),
+    .B1(_04388_),
+    .B2(_04405_),
     .X(_04406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09796_ (.A1(_04405_),
-    .A2(_04390_),
-    .B1(_04392_),
-    .B2(_04406_),
+ sky130_fd_sc_hd__o22a_4 _09697_ (.A1(_04397_),
+    .A2(_04355_),
+    .B1(_04406_),
+    .B2(_04378_),
     .X(_04407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09797_ (.A(_03947_),
-    .X(_04408_),
+ sky130_fd_sc_hd__inv_2 _09698_ (.A(_04407_),
+    .Y(_00983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09798_ (.A(_04304_),
-    .B(_04408_),
+ sky130_fd_sc_hd__inv_2 _09699_ (.A(\u_spictrl.u_txreg.data_int[12] ),
+    .Y(_04408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09700_ (.A(_04180_),
     .X(_04409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09799_ (.A(_04379_),
-    .B(_04136_),
+ sky130_fd_sc_hd__o22a_4 _09701_ (.A1(\u_spictrl.u_txreg.data_int[11] ),
+    .A2(_04383_),
+    .B1(\u_spictrl.u_txreg.data_int[10] ),
+    .B2(_04345_),
     .X(_04410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09800_ (.A1(_04020_),
-    .A2(_04164_),
-    .B1(_04042_),
-    .B2(_04147_),
-    .X(_04411_),
+ sky130_fd_sc_hd__inv_2 _09702_ (.A(\u_spictrl.u_txreg.data_int[8] ),
+    .Y(_04411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09801_ (.A(_04381_),
-    .B(_04411_),
+ sky130_fd_sc_hd__a2bb2o_4 _09703_ (.A1_N(_04409_),
+    .A2_N(_04410_),
+    .B1(_04411_),
+    .B2(_04409_),
     .X(_04412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09802_ (.A1(_04345_),
-    .A2(_04410_),
-    .A3(_04412_),
-    .B1(_04401_),
-    .B2(_04147_),
+ sky130_fd_sc_hd__buf_2 _09704_ (.A(_04366_),
     .X(_04413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09803_ (.A(_04397_),
-    .B(_04413_),
-    .X(_04414_),
+ sky130_fd_sc_hd__inv_2 _09705_ (.A(_04302_),
+    .Y(_04414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09804_ (.A1(_04388_),
-    .A2(_04407_),
-    .B1(_04409_),
-    .C1(_04414_),
+ sky130_fd_sc_hd__and2_4 _09706_ (.A(_04402_),
+    .B(_04309_),
     .X(_04415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09805_ (.A1(_04387_),
-    .A2(_04374_),
-    .A3(_04415_),
-    .B1(_04304_),
-    .B2(_04373_),
-    .X(_00985_),
+ sky130_fd_sc_hd__a21oi_4 _09707_ (.A1(_04372_),
+    .A2(_04294_),
+    .B1(_04415_),
+    .Y(_04416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09806_ (.A(\u_spictrl.u_txreg.data_int[12] ),
-    .X(_04416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09807_ (.A(_04172_),
+ sky130_fd_sc_hd__o22a_4 _09708_ (.A1(_04389_),
+    .A2(_04414_),
+    .B1(_04371_),
+    .B2(_04416_),
     .X(_04417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09808_ (.A1(\u_spictrl.u_txreg.data_int[15] ),
-    .A2(_04393_),
-    .B1(_04389_),
+ sky130_fd_sc_hd__o32a_4 _09709_ (.A1(_04381_),
+    .A2(_04412_),
+    .A3(_04413_),
+    .B1(_04388_),
     .B2(_04417_),
     .X(_04418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09809_ (.A1(_04416_),
-    .A2(_04390_),
-    .B1(_04392_),
-    .B2(_04418_),
+ sky130_fd_sc_hd__o22a_4 _09710_ (.A1(_04408_),
+    .A2(_04355_),
+    .B1(_04418_),
+    .B2(_04378_),
     .X(_04419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09810_ (.A(_04340_),
-    .B(_04408_),
+ sky130_fd_sc_hd__inv_2 _09711_ (.A(_04419_),
+    .Y(_00982_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09712_ (.A(_04354_),
     .X(_04420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09811_ (.A(_04379_),
-    .B(_04183_),
+ sky130_fd_sc_hd__buf_2 _09713_ (.A(_04377_),
     .X(_04421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09812_ (.A1(_04020_),
-    .A2(_04208_),
-    .B1(_04042_),
-    .B2(_04191_),
-    .X(_04422_),
+ sky130_fd_sc_hd__inv_2 _09714_ (.A(_04421_),
+    .Y(_04422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09813_ (.A(_04381_),
-    .B(_04422_),
+ sky130_fd_sc_hd__buf_2 _09715_ (.A(_04422_),
     .X(_04423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09814_ (.A1(_03983_),
-    .A2(_04421_),
-    .A3(_04423_),
-    .B1(_04401_),
-    .B2(_04191_),
+ sky130_fd_sc_hd__buf_2 _09716_ (.A(_03913_),
     .X(_04424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09815_ (.A(_04397_),
-    .B(_04424_),
+ sky130_fd_sc_hd__buf_2 _09717_ (.A(_03916_),
     .X(_04425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09816_ (.A1(_04388_),
-    .A2(_04419_),
-    .B1(_04420_),
-    .C1(_04425_),
+ sky130_fd_sc_hd__buf_2 _09718_ (.A(_04360_),
     .X(_04426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09817_ (.A(_04068_),
+ sky130_fd_sc_hd__buf_2 _09719_ (.A(_04362_),
     .X(_04427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09818_ (.A1(_04387_),
-    .A2(_04374_),
-    .A3(_04426_),
-    .B1(_04340_),
+ sky130_fd_sc_hd__o22a_4 _09720_ (.A1(\u_spictrl.u_txreg.data_int[10] ),
+    .A2(_04426_),
+    .B1(\u_spictrl.u_txreg.data_int[9] ),
     .B2(_04427_),
-    .X(_00984_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09819_ (.A(_03916_),
     .X(_04428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09820_ (.A(\u_spictrl.u_txreg.data_int[11] ),
+ sky130_fd_sc_hd__buf_2 _09721_ (.A(_03926_),
     .X(_04429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09821_ (.A1(\u_spictrl.u_txreg.data_int[14] ),
-    .A2(_04393_),
-    .B1(_04405_),
-    .B2(_04417_),
+ sky130_fd_sc_hd__o22a_4 _09722_ (.A1(_04425_),
+    .A2(_04428_),
+    .B1(\u_spictrl.u_txreg.data_int[7] ),
+    .B2(_04429_),
     .X(_04430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09822_ (.A1(_04429_),
-    .A2(_04390_),
-    .B1(_04392_),
-    .B2(_04430_),
+ sky130_fd_sc_hd__buf_2 _09723_ (.A(_03930_),
     .X(_04431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09823_ (.A(_04375_),
-    .B(_04408_),
+ sky130_fd_sc_hd__buf_2 _09724_ (.A(_03940_),
     .X(_04432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09824_ (.A(_03805_),
-    .X(_04433_),
+ sky130_fd_sc_hd__inv_2 _09725_ (.A(_03777_),
+    .Y(_04433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09825_ (.A(_04245_),
+ sky130_fd_sc_hd__buf_2 _09726_ (.A(_04433_),
     .X(_04434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09826_ (.A(_04434_),
-    .B(_04230_),
+ sky130_fd_sc_hd__buf_2 _09727_ (.A(_03977_),
     .X(_04435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09827_ (.A(_04233_),
+ sky130_fd_sc_hd__or2_4 _09728_ (.A(_04435_),
+    .B(_04026_),
     .X(_04436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09828_ (.A(_04436_),
-    .B(_04252_),
+ sky130_fd_sc_hd__buf_2 _09729_ (.A(_03791_),
     .X(_04437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09829_ (.A1(_04433_),
-    .A2(_04435_),
-    .A3(_04437_),
-    .B1(_04401_),
-    .B2(_04244_),
+ sky130_fd_sc_hd__or2_4 _09730_ (.A(_04437_),
+    .B(_04009_),
     .X(_04438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09830_ (.A(_04397_),
-    .B(_04438_),
+ sky130_fd_sc_hd__buf_2 _09731_ (.A(_04214_),
     .X(_04439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09831_ (.A1(_04388_),
-    .A2(_04431_),
-    .B1(_04432_),
-    .C1(_04439_),
+ sky130_fd_sc_hd__a32o_4 _09732_ (.A1(_04434_),
+    .A2(_04436_),
+    .A3(_04438_),
+    .B1(_04439_),
+    .B2(_03992_),
     .X(_04440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09832_ (.A1(_04387_),
-    .A2(_04428_),
-    .A3(_04440_),
-    .B1(_04375_),
-    .B2(_04427_),
-    .X(_00983_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09833_ (.A(_04260_),
+ sky130_fd_sc_hd__a32o_4 _09733_ (.A1(_04424_),
+    .A2(_04430_),
+    .A3(_04431_),
+    .B1(_04432_),
+    .B2(_04440_),
     .X(_04441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09834_ (.A(_03922_),
-    .X(_04442_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09835_ (.A(\u_spictrl.u_txreg.data_int[10] ),
-    .X(_04443_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09836_ (.A(_03925_),
-    .X(_04444_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09837_ (.A(_04444_),
-    .X(_04445_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09838_ (.A(_04391_),
-    .X(_04446_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09839_ (.A(_03933_),
-    .X(_04447_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09840_ (.A(_04447_),
-    .X(_04448_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09841_ (.A1(\u_spictrl.u_txreg.data_int[13] ),
-    .A2(_04448_),
-    .B1(_04416_),
-    .B2(_04417_),
-    .X(_04449_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09842_ (.A1(_04443_),
-    .A2(_04445_),
-    .B1(_04446_),
-    .B2(_04449_),
-    .X(_04450_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09843_ (.A(_04389_),
-    .B(_04408_),
-    .X(_04451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09844_ (.A(_03952_),
-    .X(_04452_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09845_ (.A(_04452_),
-    .X(_04453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09846_ (.A(_04434_),
-    .B(_04277_),
-    .X(_04454_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09847_ (.A(_04436_),
-    .B(_04297_),
-    .X(_04455_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09848_ (.A(_04255_),
-    .X(_04456_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09849_ (.A1(_04433_),
-    .A2(_04454_),
-    .A3(_04455_),
-    .B1(_04456_),
-    .B2(_04286_),
-    .X(_04457_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09850_ (.A(_04453_),
-    .B(_04457_),
-    .X(_04458_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09851_ (.A1(_04442_),
-    .A2(_04450_),
-    .B1(_04451_),
-    .C1(_04458_),
-    .X(_04459_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09852_ (.A1(_04459_),
-    .A2(_04441_),
-    .A3(_04428_),
-    .B1(_04389_),
-    .B2(_04427_),
-    .X(_00982_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09853_ (.A(\u_spictrl.u_txreg.data_int[9] ),
-    .X(_04460_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09854_ (.A1(\u_spictrl.u_txreg.data_int[12] ),
-    .A2(_04448_),
-    .B1(_04429_),
-    .B2(_04417_),
-    .X(_04461_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09855_ (.A1(_04460_),
-    .A2(_04445_),
-    .B1(_04446_),
-    .B2(_04461_),
-    .X(_04462_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09856_ (.A(_03947_),
-    .X(_04463_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09857_ (.A(_04405_),
-    .B(_04463_),
-    .X(_04464_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09858_ (.A(_04434_),
-    .B(_04316_),
-    .X(_04465_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09859_ (.A(_04436_),
-    .B(_04334_),
-    .X(_04466_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09860_ (.A1(_04433_),
-    .A2(_04465_),
-    .A3(_04466_),
-    .B1(_04456_),
-    .B2(_04326_),
-    .X(_04467_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09861_ (.A(_04453_),
-    .B(_04467_),
-    .X(_04468_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09862_ (.A1(_04442_),
-    .A2(_04462_),
-    .B1(_04464_),
-    .C1(_04468_),
-    .X(_04469_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09863_ (.A1(_04441_),
-    .A2(_04428_),
-    .A3(_04469_),
-    .B1(_04405_),
-    .B2(_04427_),
+ sky130_fd_sc_hd__a2bb2o_4 _09734_ (.A1_N(_04364_),
+    .A2_N(_04420_),
+    .B1(_04423_),
+    .B2(_04441_),
     .X(_00981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09864_ (.A(\u_spictrl.u_txreg.data_int[8] ),
-    .X(_04470_),
+ sky130_fd_sc_hd__o22a_4 _09735_ (.A1(\u_spictrl.u_txreg.data_int[9] ),
+    .A2(_04426_),
+    .B1(\u_spictrl.u_txreg.data_int[8] ),
+    .B2(_04427_),
+    .X(_04442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09865_ (.A(_04172_),
-    .X(_04471_),
+ sky130_fd_sc_hd__o22a_4 _09736_ (.A1(_04425_),
+    .A2(_04442_),
+    .B1(\u_spictrl.u_txreg.data_int[6] ),
+    .B2(_04429_),
+    .X(_04443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09866_ (.A1(\u_spictrl.u_txreg.data_int[11] ),
-    .A2(_04448_),
-    .B1(_04443_),
-    .B2(_04471_),
-    .X(_04472_),
+ sky130_fd_sc_hd__or2_4 _09737_ (.A(_04435_),
+    .B(_04092_),
+    .X(_04444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09867_ (.A1(_04470_),
-    .A2(_04445_),
-    .B1(_04446_),
-    .B2(_04472_),
-    .X(_04473_),
+ sky130_fd_sc_hd__or2_4 _09738_ (.A(_04437_),
+    .B(_04079_),
+    .X(_04445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09868_ (.A(_04416_),
-    .B(_04463_),
-    .X(_04474_),
+ sky130_fd_sc_hd__a32o_4 _09739_ (.A1(_04434_),
+    .A2(_04444_),
+    .A3(_04445_),
+    .B1(_04439_),
+    .B2(_04071_),
+    .X(_04446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09869_ (.A(_04434_),
-    .B(_04352_),
-    .X(_04475_),
+ sky130_fd_sc_hd__a32o_4 _09740_ (.A1(_04424_),
+    .A2(_04443_),
+    .A3(_04431_),
+    .B1(_04432_),
+    .B2(_04446_),
+    .X(_04447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09870_ (.A(_04436_),
-    .B(_04367_),
-    .X(_04476_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09871_ (.A1(_04433_),
-    .A2(_04475_),
-    .A3(_04476_),
-    .B1(_04456_),
-    .B2(_04360_),
-    .X(_04477_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09872_ (.A(_04453_),
-    .B(_04477_),
-    .X(_04478_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09873_ (.A1(_04442_),
-    .A2(_04473_),
-    .B1(_04474_),
-    .C1(_04478_),
-    .X(_04479_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09874_ (.A(_04068_),
-    .X(_04480_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09875_ (.A1(_04441_),
-    .A2(_04428_),
-    .A3(_04479_),
-    .B1(_04416_),
-    .B2(_04480_),
+ sky130_fd_sc_hd__a2bb2o_4 _09741_ (.A1_N(_04385_),
+    .A2_N(_04420_),
+    .B1(_04423_),
+    .B2(_04447_),
     .X(_00980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09876_ (.A(_03916_),
+ sky130_fd_sc_hd__o22a_4 _09742_ (.A1(\u_spictrl.u_txreg.data_int[8] ),
+    .A2(_04426_),
+    .B1(\u_spictrl.u_txreg.data_int[7] ),
+    .B2(_04427_),
+    .X(_04448_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09743_ (.A1(_04425_),
+    .A2(_04448_),
+    .B1(\u_spictrl.u_txreg.data_int[5] ),
+    .B2(_04429_),
+    .X(_04449_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09744_ (.A(_04435_),
+    .B(_04132_),
+    .X(_04450_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09745_ (.A(_04437_),
+    .B(_04124_),
+    .X(_04451_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09746_ (.A1(_04434_),
+    .A2(_04450_),
+    .A3(_04451_),
+    .B1(_04439_),
+    .B2(_04116_),
+    .X(_04452_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09747_ (.A1(_04424_),
+    .A2(_04449_),
+    .A3(_04431_),
+    .B1(_04432_),
+    .B2(_04452_),
+    .X(_04453_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _09748_ (.A1_N(_04399_),
+    .A2_N(_04420_),
+    .B1(_04423_),
+    .B2(_04453_),
+    .X(_00979_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09749_ (.A1(\u_spictrl.u_txreg.data_int[7] ),
+    .A2(_04426_),
+    .B1(\u_spictrl.u_txreg.data_int[6] ),
+    .B2(_03923_),
+    .X(_04454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09750_ (.A1(_04425_),
+    .A2(_04454_),
+    .B1(\u_spictrl.u_txreg.data_int[4] ),
+    .B2(_03927_),
+    .X(_04455_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09751_ (.A(_04435_),
+    .B(_04172_),
+    .X(_04456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09752_ (.A(_04437_),
+    .B(_04164_),
+    .X(_04457_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09753_ (.A(_04214_),
+    .X(_04458_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09754_ (.A1(_04433_),
+    .A2(_04456_),
+    .A3(_04457_),
+    .B1(_04458_),
+    .B2(_04156_),
+    .X(_04459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09755_ (.A1(_04424_),
+    .A2(_04455_),
+    .A3(_04431_),
+    .B1(_03941_),
+    .B2(_04459_),
+    .X(_04460_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _09756_ (.A1_N(_04411_),
+    .A2_N(_04420_),
+    .B1(_04423_),
+    .B2(_04460_),
+    .X(_00978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09757_ (.A(\u_spictrl.u_txreg.data_int[7] ),
+    .Y(_04461_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09758_ (.A(psn_net_2),
+    .X(_04462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09759_ (.A(psn_net_25),
+    .X(_04463_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09760_ (.A(_03919_),
+    .X(_04464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09761_ (.A(_04361_),
+    .X(_04465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09762_ (.A1(\u_spictrl.u_txreg.data_int[6] ),
+    .A2(_04464_),
+    .B1(\u_spictrl.u_txreg.data_int[5] ),
+    .B2(_04465_),
+    .X(_04466_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09763_ (.A(\u_spictrl.u_txreg.data_int[3] ),
+    .Y(_04467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _09764_ (.A1_N(_04409_),
+    .A2_N(_04466_),
+    .B1(_04467_),
+    .B2(_04409_),
+    .X(_04468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09765_ (.A(_04368_),
+    .X(_04469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09766_ (.A1(_03499_),
+    .A2(\u_m0_cmd_fifo.mem[0][31] ),
+    .B1(_03507_),
+    .B2(\u_m0_cmd_fifo.mem[1][31] ),
+    .X(_04470_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09767_ (.A(_04470_),
+    .Y(_04471_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09768_ (.A(_03287_),
+    .B(\u_m1_cmd_fifo.mem[2][31] ),
+    .X(_04472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _09769_ (.A1(_03961_),
+    .A2(\u_m1_cmd_fifo.mem[3][31] ),
+    .B1(_03381_),
+    .C1(_04472_),
+    .X(_04473_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09770_ (.A(_04200_),
+    .B(\u_m1_cmd_fifo.mem[0][31] ),
+    .X(_04474_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _09771_ (.A1(_03961_),
+    .A2(\u_m1_cmd_fifo.mem[1][31] ),
+    .B1(_03281_),
+    .C1(_04474_),
+    .X(_04475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _09772_ (.A(_04473_),
+    .B(_04475_),
+    .Y(_04476_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09773_ (.A1(_01522_),
+    .A2(_04471_),
+    .B1(_03952_),
+    .B2(_04476_),
+    .X(_04477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09774_ (.A(_03778_),
+    .X(_04478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09775_ (.A(_04194_),
+    .Y(_04479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09776_ (.A1(_04389_),
+    .A2(_04477_),
+    .B1(_04478_),
+    .B2(_04479_),
+    .X(_04480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_4 _09777_ (.A1(_04381_),
+    .A2(_04468_),
+    .A3(_04413_),
+    .B1(_04469_),
+    .B2(_04480_),
     .X(_04481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09877_ (.A(\u_spictrl.u_txreg.data_int[7] ),
+ sky130_fd_sc_hd__o22a_4 _09778_ (.A1(_04461_),
+    .A2(_04462_),
+    .B1(_04481_),
+    .B2(_04463_),
     .X(_04482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09878_ (.A1(\u_spictrl.u_txreg.data_int[10] ),
-    .A2(_04448_),
-    .B1(_04460_),
-    .B2(_04471_),
-    .X(_04483_),
+ sky130_fd_sc_hd__inv_2 _09779_ (.A(_04482_),
+    .Y(_00977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09879_ (.A1(_04482_),
-    .A2(_04445_),
-    .B1(_04446_),
-    .B2(_04483_),
+ sky130_fd_sc_hd__inv_2 _09780_ (.A(\u_spictrl.u_txreg.data_int[6] ),
+    .Y(_04483_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09781_ (.A(_03915_),
     .X(_04484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09880_ (.A(_04429_),
-    .B(_04463_),
+ sky130_fd_sc_hd__o22a_4 _09782_ (.A1(\u_spictrl.u_txreg.data_int[5] ),
+    .A2(_04464_),
+    .B1(\u_spictrl.u_txreg.data_int[4] ),
+    .B2(_04465_),
     .X(_04485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09881_ (.A(_03805_),
-    .X(_04486_),
+ sky130_fd_sc_hd__inv_2 _09783_ (.A(\u_spictrl.u_txreg.data_int[2] ),
+    .Y(_04486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09882_ (.A(_04245_),
+ sky130_fd_sc_hd__a2bb2o_4 _09784_ (.A1_N(_04484_),
+    .A2_N(_04485_),
+    .B1(_04486_),
+    .B2(_04484_),
     .X(_04487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09883_ (.A(_04487_),
-    .B(_03981_),
+ sky130_fd_sc_hd__o22a_4 _09785_ (.A1(_03501_),
+    .A2(\u_m0_cmd_fifo.mem[0][30] ),
+    .B1(_03508_),
+    .B2(\u_m0_cmd_fifo.mem[1][30] ),
     .X(_04488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09884_ (.A(_04233_),
-    .X(_04489_),
+ sky130_fd_sc_hd__inv_2 _09786_ (.A(_04488_),
+    .Y(_04489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09885_ (.A(_04489_),
-    .B(_04012_),
+ sky130_fd_sc_hd__and2_4 _09787_ (.A(_04234_),
+    .B(\u_m1_cmd_fifo.mem[2][30] ),
     .X(_04490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09886_ (.A1(_04486_),
-    .A2(_04488_),
-    .A3(_04490_),
-    .B1(_04456_),
-    .B2(_04059_),
+ sky130_fd_sc_hd__a211o_4 _09788_ (.A1(_03970_),
+    .A2(\u_m1_cmd_fifo.mem[3][30] ),
+    .B1(_03382_),
+    .C1(_04490_),
     .X(_04491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09887_ (.A(_04453_),
-    .B(_04491_),
+ sky130_fd_sc_hd__and2_4 _09789_ (.A(_04234_),
+    .B(\u_m1_cmd_fifo.mem[0][30] ),
     .X(_04492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09888_ (.A1(_04442_),
-    .A2(_04484_),
-    .B1(_04485_),
+ sky130_fd_sc_hd__a211o_4 _09790_ (.A1(_03970_),
+    .A2(\u_m1_cmd_fifo.mem[1][30] ),
+    .B1(_03283_),
     .C1(_04492_),
     .X(_04493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09889_ (.A1(_04441_),
-    .A2(_04481_),
-    .A3(_04493_),
-    .B1(_04429_),
-    .B2(_04480_),
-    .X(_00979_),
+ sky130_fd_sc_hd__nand2_4 _09791_ (.A(_04491_),
+    .B(_04493_),
+    .Y(_04494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09890_ (.A(_04260_),
-    .X(_04494_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09891_ (.A(_04077_),
+ sky130_fd_sc_hd__o22a_4 _09792_ (.A1(psn_net_166),
+    .A2(_04489_),
+    .B1(_03953_),
+    .B2(_04494_),
     .X(_04495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09892_ (.A(\u_spictrl.u_txreg.data_int[6] ),
-    .X(_04496_),
+ sky130_fd_sc_hd__inv_2 _09793_ (.A(_04228_),
+    .Y(_04496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09893_ (.A(_04444_),
+ sky130_fd_sc_hd__o22a_4 _09794_ (.A1(_04369_),
+    .A2(_04495_),
+    .B1(_04478_),
+    .B2(_04496_),
     .X(_04497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09894_ (.A(_04391_),
+ sky130_fd_sc_hd__o32a_4 _09795_ (.A1(_04358_),
+    .A2(_04487_),
+    .A3(_04413_),
+    .B1(_04469_),
+    .B2(_04497_),
     .X(_04498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09895_ (.A(_04447_),
+ sky130_fd_sc_hd__o22a_4 _09796_ (.A1(_04483_),
+    .A2(_04462_),
+    .B1(_04498_),
+    .B2(_04463_),
     .X(_04499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09896_ (.A1(\u_spictrl.u_txreg.data_int[9] ),
-    .A2(_04499_),
-    .B1(_04470_),
-    .B2(_04471_),
-    .X(_04500_),
+ sky130_fd_sc_hd__inv_2 _09797_ (.A(_04499_),
+    .Y(_00976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09897_ (.A1(_04496_),
-    .A2(_04497_),
-    .B1(_04498_),
-    .B2(_04500_),
+ sky130_fd_sc_hd__inv_2 _09798_ (.A(\u_spictrl.u_txreg.data_int[5] ),
+    .Y(_04500_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09799_ (.A1(\u_spictrl.u_txreg.data_int[4] ),
+    .A2(_04464_),
+    .B1(\u_spictrl.u_txreg.data_int[3] ),
+    .B2(_04465_),
     .X(_04501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09898_ (.A(_04443_),
-    .B(_04463_),
-    .X(_04502_),
+ sky130_fd_sc_hd__inv_2 _09800_ (.A(\u_spictrl.u_txreg.data_int[1] ),
+    .Y(_04502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09899_ (.A(_04452_),
+ sky130_fd_sc_hd__buf_2 _09801_ (.A(_04502_),
     .X(_04503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09900_ (.A(_04487_),
-    .B(_04086_),
+ sky130_fd_sc_hd__a2bb2o_4 _09802_ (.A1_N(_04484_),
+    .A2_N(_04501_),
+    .B1(_04503_),
+    .B2(_04484_),
     .X(_04504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09901_ (.A(_04489_),
-    .B(_04095_),
+ sky130_fd_sc_hd__o22a_4 _09803_ (.A1(_03502_),
+    .A2(\u_m0_cmd_fifo.mem[0][29] ),
+    .B1(_03948_),
+    .B2(\u_m0_cmd_fifo.mem[1][29] ),
     .X(_04505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09902_ (.A(_03958_),
-    .X(_04506_),
+ sky130_fd_sc_hd__inv_2 _09804_ (.A(_04505_),
+    .Y(_04506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09903_ (.A1(_04486_),
-    .A2(_04504_),
-    .A3(_04505_),
-    .B1(_04506_),
-    .B2(_04114_),
+ sky130_fd_sc_hd__and2_4 _09805_ (.A(_04003_),
+    .B(\u_m1_cmd_fifo.mem[2][29] ),
     .X(_04507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09904_ (.A(_04503_),
-    .B(_04507_),
+ sky130_fd_sc_hd__a211o_4 _09806_ (.A1(_03988_),
+    .A2(\u_m1_cmd_fifo.mem[3][29] ),
+    .B1(_03958_),
+    .C1(_04507_),
     .X(_04508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09905_ (.A1(_04495_),
-    .A2(_04501_),
-    .B1(_04502_),
-    .C1(_04508_),
+ sky130_fd_sc_hd__and2_4 _09807_ (.A(_03291_),
+    .B(\u_m1_cmd_fifo.mem[0][29] ),
     .X(_04509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09906_ (.A1(_04494_),
-    .A2(_04481_),
-    .A3(_04509_),
-    .B1(_04443_),
-    .B2(_04480_),
-    .X(_00978_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09907_ (.A(\u_spictrl.u_txreg.data_int[5] ),
+ sky130_fd_sc_hd__a211o_4 _09808_ (.A1(_04068_),
+    .A2(\u_m1_cmd_fifo.mem[1][29] ),
+    .B1(_04057_),
+    .C1(_04509_),
     .X(_04510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09908_ (.A1(\u_spictrl.u_txreg.data_int[8] ),
-    .A2(_04499_),
-    .B1(_04482_),
-    .B2(_04471_),
-    .X(_04511_),
+ sky130_fd_sc_hd__nand2_4 _09809_ (.A(_04508_),
+    .B(_04510_),
+    .Y(_04511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09909_ (.A1(_04510_),
-    .A2(_04497_),
-    .B1(_04498_),
+ sky130_fd_sc_hd__o22a_4 _09810_ (.A1(_04100_),
+    .A2(_04506_),
+    .B1(_04102_),
     .B2(_04511_),
     .X(_04512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09910_ (.A(_03947_),
-    .X(_04513_),
+ sky130_fd_sc_hd__inv_2 _09811_ (.A(_04259_),
+    .Y(_04513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09911_ (.A(_04460_),
-    .B(_04513_),
+ sky130_fd_sc_hd__o22a_4 _09812_ (.A1(_04369_),
+    .A2(_04512_),
+    .B1(_04478_),
+    .B2(_04513_),
     .X(_04514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09912_ (.A(_04487_),
-    .B(_04136_),
+ sky130_fd_sc_hd__o32a_4 _09813_ (.A1(_04358_),
+    .A2(_04504_),
+    .A3(_04413_),
+    .B1(_04469_),
+    .B2(_04514_),
     .X(_04515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09913_ (.A(_04489_),
-    .B(_04147_),
+ sky130_fd_sc_hd__o22a_4 _09814_ (.A1(_04500_),
+    .A2(_04462_),
+    .B1(_04515_),
+    .B2(_04463_),
     .X(_04516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09914_ (.A1(_04486_),
-    .A2(_04515_),
-    .A3(_04516_),
-    .B1(_04506_),
-    .B2(_04164_),
-    .X(_04517_),
+ sky130_fd_sc_hd__inv_2 _09815_ (.A(_04516_),
+    .Y(_00975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09915_ (.A(_04503_),
-    .B(_04517_),
+ sky130_fd_sc_hd__inv_2 _09816_ (.A(\u_spictrl.u_txreg.data_int[4] ),
+    .Y(_04517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09817_ (.A1(\u_spictrl.u_txreg.data_int[3] ),
+    .A2(_04464_),
+    .B1(\u_spictrl.u_txreg.data_int[2] ),
+    .B2(_04465_),
     .X(_04518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09916_ (.A1(_04495_),
-    .A2(_04512_),
-    .B1(_04514_),
-    .C1(_04518_),
-    .X(_04519_),
+ sky130_fd_sc_hd__inv_2 _09818_ (.A(\u_spictrl.u_txreg.data_int[0] ),
+    .Y(_04519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09917_ (.A1(_04494_),
-    .A2(_04481_),
-    .A3(_04519_),
-    .B1(_04460_),
-    .B2(_04480_),
-    .X(_00977_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09918_ (.A(\u_spictrl.u_txreg.data_int[4] ),
+ sky130_fd_sc_hd__a2bb2o_4 _09819_ (.A1_N(_04359_),
+    .A2_N(_04518_),
+    .B1(_04519_),
+    .B2(_04359_),
     .X(_04520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09919_ (.A(_03938_),
+ sky130_fd_sc_hd__o22a_4 _09820_ (.A1(_03945_),
+    .A2(\u_m0_cmd_fifo.mem[0][28] ),
+    .B1(_03948_),
+    .B2(\u_m0_cmd_fifo.mem[1][28] ),
     .X(_04521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09920_ (.A1(\u_spictrl.u_txreg.data_int[7] ),
-    .A2(_04499_),
-    .B1(_04496_),
-    .B2(_04521_),
-    .X(_04522_),
+ sky130_fd_sc_hd__inv_2 _09821_ (.A(_04521_),
+    .Y(_04522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09921_ (.A1(_04520_),
-    .A2(_04497_),
-    .B1(_04498_),
-    .B2(_04522_),
+ sky130_fd_sc_hd__and2_4 _09822_ (.A(_04003_),
+    .B(\u_m1_cmd_fifo.mem[2][28] ),
     .X(_04523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09922_ (.A(_04470_),
-    .B(_04513_),
+ sky130_fd_sc_hd__a211o_4 _09823_ (.A1(_04006_),
+    .A2(\u_m1_cmd_fifo.mem[3][28] ),
+    .B1(_03958_),
+    .C1(_04523_),
     .X(_04524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09923_ (.A(_04487_),
-    .B(_04183_),
+ sky130_fd_sc_hd__and2_4 _09824_ (.A(_03291_),
+    .B(\u_m1_cmd_fifo.mem[0][28] ),
     .X(_04525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09924_ (.A(_04489_),
-    .B(_04191_),
+ sky130_fd_sc_hd__a211o_4 _09825_ (.A1(_03988_),
+    .A2(\u_m1_cmd_fifo.mem[1][28] ),
+    .B1(_04057_),
+    .C1(_04525_),
     .X(_04526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09925_ (.A1(_04486_),
-    .A2(_04525_),
-    .A3(_04526_),
-    .B1(_04506_),
-    .B2(_04208_),
-    .X(_04527_),
+ sky130_fd_sc_hd__nand2_4 _09826_ (.A(_04524_),
+    .B(_04526_),
+    .Y(_04527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09926_ (.A(_04503_),
-    .B(_04527_),
+ sky130_fd_sc_hd__o22a_4 _09827_ (.A1(_04100_),
+    .A2(_04522_),
+    .B1(_04102_),
+    .B2(_04527_),
     .X(_04528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09927_ (.A1(_04495_),
-    .A2(_04523_),
-    .B1(_04524_),
-    .C1(_04528_),
-    .X(_04529_),
+ sky130_fd_sc_hd__inv_2 _09828_ (.A(_04294_),
+    .Y(_04529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09928_ (.A(_04068_),
+ sky130_fd_sc_hd__o22a_4 _09829_ (.A1(_04369_),
+    .A2(_04528_),
+    .B1(_04478_),
+    .B2(_04529_),
     .X(_04530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09929_ (.A1(_04494_),
-    .A2(_04481_),
-    .A3(_04529_),
-    .B1(_04470_),
+ sky130_fd_sc_hd__o32a_4 _09830_ (.A1(_04358_),
+    .A2(_04520_),
+    .A3(_04366_),
+    .B1(_04469_),
     .B2(_04530_),
-    .X(_00976_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09930_ (.A(_03916_),
     .X(_04531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09931_ (.A(\u_spictrl.u_txreg.data_int[3] ),
+ sky130_fd_sc_hd__o22a_4 _09831_ (.A1(_04517_),
+    .A2(_04462_),
+    .B1(_04531_),
+    .B2(_04463_),
     .X(_04532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09932_ (.A1(\u_spictrl.u_txreg.data_int[6] ),
-    .A2(_04499_),
-    .B1(_04510_),
-    .B2(_04521_),
-    .X(_04533_),
+ sky130_fd_sc_hd__inv_2 _09832_ (.A(_04532_),
+    .Y(_00974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09933_ (.A1(_04532_),
-    .A2(_04497_),
-    .B1(_04498_),
-    .B2(_04533_),
+ sky130_fd_sc_hd__a22oi_4 _09833_ (.A1(_04458_),
+    .A2(_03975_),
+    .B1(_03779_),
+    .B2(_04026_),
+    .Y(_04533_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09834_ (.A(_04502_),
+    .B(_04360_),
     .X(_04534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09934_ (.A(_04482_),
-    .B(_04513_),
+ sky130_fd_sc_hd__and2_4 _09835_ (.A(_04486_),
+    .B(_04362_),
     .X(_04535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09935_ (.A(_03804_),
+ sky130_fd_sc_hd__or4_4 _09836_ (.A(_03916_),
+    .B(_04534_),
+    .C(_04535_),
+    .D(_04357_),
     .X(_04536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09936_ (.A1(_03508_),
-    .A2(\u_m0_cmd_fifo.mem[0][31] ),
-    .B1(_03514_),
-    .B2(\u_m0_cmd_fifo.mem[1][31] ),
+ sky130_fd_sc_hd__o22a_4 _09837_ (.A1(_04368_),
+    .A2(_04533_),
+    .B1(_04387_),
+    .B2(_04536_),
     .X(_04537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09937_ (.A(_04006_),
-    .B(\u_m1_cmd_fifo.mem[0][31] ),
+ sky130_fd_sc_hd__o22a_4 _09838_ (.A1(_04467_),
+    .A2(psn_net_1),
+    .B1(_04537_),
+    .B2(psn_net_24),
     .X(_04538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09938_ (.A(_04052_),
-    .B(\u_m1_cmd_fifo.mem[1][31] ),
-    .X(_04539_),
+ sky130_fd_sc_hd__inv_2 _09839_ (.A(_04538_),
+    .Y(_00973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09939_ (.A(_04052_),
-    .B(\u_m1_cmd_fifo.mem[3][31] ),
+ sky130_fd_sc_hd__a22oi_4 _09840_ (.A1(_04458_),
+    .A2(_04060_),
+    .B1(_03779_),
+    .B2(_04092_),
+    .Y(_04539_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09841_ (.A(_04519_),
+    .B(_04360_),
     .X(_04540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09940_ (.A1(_03963_),
-    .A2(\u_m1_cmd_fifo.mem[2][31] ),
-    .B1(_03284_),
+ sky130_fd_sc_hd__and2_4 _09842_ (.A(_04503_),
+    .B(_04362_),
     .X(_04541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09941_ (.A1(_03377_),
-    .A2(_04538_),
-    .A3(_04539_),
-    .B1(_04540_),
-    .B2(_04541_),
+ sky130_fd_sc_hd__or4_4 _09843_ (.A(_03916_),
+    .B(_04540_),
+    .C(_04541_),
+    .D(_04356_),
     .X(_04542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09942_ (.A1(_01523_),
-    .A2(_04537_),
-    .B1(_03993_),
+ sky130_fd_sc_hd__o22a_4 _09844_ (.A1(_04368_),
+    .A2(_04539_),
+    .B1(_04387_),
     .B2(_04542_),
     .X(_04543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09943_ (.A1(_03803_),
-    .A2(_04536_),
-    .A3(_04230_),
-    .B1(_04506_),
-    .B2(_04543_),
+ sky130_fd_sc_hd__o22a_4 _09845_ (.A1(_04486_),
+    .A2(psn_net_0),
+    .B1(_04543_),
+    .B2(psn_net_24),
     .X(_04544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09944_ (.A(_04503_),
-    .B(_04544_),
-    .X(_04545_),
+ sky130_fd_sc_hd__inv_2 _09846_ (.A(_04544_),
+    .Y(_00972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09945_ (.A1(_04495_),
-    .A2(_04534_),
-    .B1(_04535_),
-    .C1(_04545_),
+ sky130_fd_sc_hd__a22oi_4 _09847_ (.A1(_04458_),
+    .A2(_04108_),
+    .B1(_03779_),
+    .B2(_04132_),
+    .Y(_04545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _09848_ (.A(_04519_),
+    .B(_03915_),
+    .C(_04383_),
+    .D(_04356_),
     .X(_04546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09946_ (.A1(_04494_),
-    .A2(_04531_),
-    .A3(_04546_),
-    .B1(_04482_),
-    .B2(_04530_),
-    .X(_00975_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09947_ (.A(_03909_),
+ sky130_fd_sc_hd__o22a_4 _09849_ (.A1(_04503_),
+    .A2(_04037_),
+    .B1(_04366_),
+    .B2(_04546_),
     .X(_04547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09948_ (.A(_04444_),
+ sky130_fd_sc_hd__o21a_4 _09850_ (.A1(_04388_),
+    .A2(_04545_),
+    .B1(_04547_),
     .X(_04548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09949_ (.A(_04391_),
+ sky130_fd_sc_hd__o22a_4 _09851_ (.A1(_04503_),
+    .A2(_04035_),
+    .B1(_04548_),
+    .B2(psn_net_23),
     .X(_04549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09950_ (.A(_04447_),
+ sky130_fd_sc_hd__inv_2 _09852_ (.A(_04549_),
+    .Y(_00971_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09853_ (.A(_04391_),
     .X(_04550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09951_ (.A1(\u_spictrl.u_txreg.data_int[5] ),
-    .A2(_04550_),
-    .B1(_04520_),
-    .B2(_04521_),
+ sky130_fd_sc_hd__a32o_4 _09854_ (.A1(_04550_),
+    .A2(_04434_),
+    .A3(_04172_),
+    .B1(_04439_),
+    .B2(_04148_),
     .X(_04551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09952_ (.A1(\u_spictrl.u_txreg.data_int[2] ),
-    .A2(_04548_),
-    .B1(_04549_),
-    .B2(_04551_),
+ sky130_fd_sc_hd__a32o_4 _09855_ (.A1(_04432_),
+    .A2(_04551_),
+    .A3(_04422_),
+    .B1(psn_net_72),
+    .B2(\u_spictrl.u_txreg.data_int[0] ),
+    .X(_00970_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09856_ (.A(psn_net_26),
     .X(_04552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09953_ (.A(_04496_),
-    .B(_04513_),
-    .X(_04553_),
+ sky130_fd_sc_hd__inv_2 _09857_ (.A(_04552_),
+    .Y(_04553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09954_ (.A(_04452_),
+ sky130_fd_sc_hd__buf_2 _09858_ (.A(_04553_),
     .X(_04554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09955_ (.A(_03958_),
+ sky130_fd_sc_hd__or2_4 _09859_ (.A(\u_spictrl.u_txreg.data_int[31] ),
+    .B(_03840_),
     .X(_04555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09956_ (.A1(_03507_),
-    .A2(\u_m0_cmd_fifo.mem[0][30] ),
-    .B1(_01287_),
-    .B2(\u_m0_cmd_fifo.mem[1][30] ),
-    .X(_04556_),
+ sky130_fd_sc_hd__nand2_4 _09860_ (.A(_03787_),
+    .B(_04477_),
+    .Y(_04556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09957_ (.A(_03962_),
-    .B(\u_m1_cmd_fifo.mem[0][30] ),
+ sky130_fd_sc_hd__or2_4 _09861_ (.A(\u_spictrl.cfg_addr_cnt[0] ),
+    .B(_04204_),
     .X(_04557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09958_ (.A(_04239_),
-    .B(\u_m1_cmd_fifo.mem[1][30] ),
+ sky130_fd_sc_hd__a32o_4 _09862_ (.A1(\u_spictrl.cfg_addr_cnt[1] ),
+    .A2(_04556_),
+    .A3(_04557_),
+    .B1(_03774_),
+    .B2(_04373_),
     .X(_04558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09959_ (.A(_04239_),
-    .B(\u_m1_cmd_fifo.mem[3][30] ),
+ sky130_fd_sc_hd__and2_4 _09863_ (.A(\u_spictrl.spi_mode_cmd[7] ),
+    .B(_03804_),
     .X(_04559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09960_ (.A1(_04280_),
-    .A2(\u_m1_cmd_fifo.mem[2][30] ),
-    .B1(_03283_),
+ sky130_fd_sc_hd__and2_4 _09864_ (.A(_04027_),
+    .B(_04194_),
     .X(_04560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09961_ (.A1(_01837_),
-    .A2(_04557_),
-    .A3(_04558_),
+ sky130_fd_sc_hd__a211o_4 _09865_ (.A1(_03792_),
+    .A2(_04558_),
     .B1(_04559_),
-    .B2(_04560_),
+    .C1(_04560_),
     .X(_04561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09962_ (.A1(_01522_),
-    .A2(_04556_),
-    .B1(_03976_),
-    .B2(_04561_),
+ sky130_fd_sc_hd__or2_4 _09866_ (.A(_03839_),
+    .B(_04561_),
     .X(_04562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09963_ (.A1(_03803_),
-    .A2(_04536_),
-    .A3(_04277_),
-    .B1(_04555_),
-    .B2(_04562_),
+ sky130_fd_sc_hd__o22a_4 _09867_ (.A1(\u_spictrl.u_txreg.data_int[30] ),
+    .A2(_03918_),
+    .B1(\u_spictrl.u_txreg.data_int[29] ),
+    .B2(_03852_),
     .X(_04563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09964_ (.A(_04554_),
-    .B(_04563_),
+ sky130_fd_sc_hd__o22a_4 _09868_ (.A1(\u_spictrl.u_txreg.data_int[27] ),
+    .A2(_03867_),
+    .B1(_03861_),
+    .B2(_04563_),
     .X(_04564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09965_ (.A1(_04078_),
-    .A2(_04552_),
-    .B1(_04553_),
-    .C1(_04564_),
+ sky130_fd_sc_hd__a32o_4 _09869_ (.A1(_04356_),
+    .A2(_04555_),
+    .A3(_04562_),
+    .B1(_03844_),
+    .B2(_04564_),
     .X(_04565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09966_ (.A1(_04547_),
-    .A2(_04531_),
-    .A3(_04565_),
-    .B1(_04496_),
-    .B2(_04530_),
-    .X(_00974_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09967_ (.A1(\u_spictrl.u_txreg.data_int[4] ),
-    .A2(_04550_),
-    .B1(_04532_),
-    .B2(_04521_),
+ sky130_fd_sc_hd__and2_4 _09870_ (.A(psn_net_85),
+    .B(_04565_),
     .X(_04566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09968_ (.A1(\u_spictrl.u_txreg.data_int[1] ),
-    .A2(_04548_),
-    .B1(_04549_),
-    .B2(_04566_),
+ sky130_fd_sc_hd__o22a_4 _09871_ (.A1(_03702_),
+    .A2(_04561_),
+    .B1(\u_spictrl.u_txreg.data_int[31] ),
+    .B2(_03932_),
     .X(_04567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09969_ (.A(_04510_),
-    .B(_04124_),
+ sky130_fd_sc_hd__and2_4 _09872_ (.A(_03830_),
+    .B(_04561_),
     .X(_04568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09970_ (.A1(_04287_),
-    .A2(\u_m0_cmd_fifo.mem[0][29] ),
-    .B1(_03515_),
-    .B2(\u_m0_cmd_fifo.mem[1][29] ),
+ sky130_fd_sc_hd__a211o_4 _09873_ (.A1(_03936_),
+    .A2(_04567_),
+    .B1(_03868_),
+    .C1(_04568_),
     .X(_04569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09971_ (.A(_04289_),
-    .B(\u_m1_cmd_fifo.mem[0][29] ),
+ sky130_fd_sc_hd__o22a_4 _09874_ (.A1(\u_spictrl.u_txreg.data_int[31] ),
+    .A2(_03909_),
+    .B1(_04566_),
+    .B2(_04569_),
     .X(_04570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09972_ (.A(_04321_),
-    .B(\u_m1_cmd_fifo.mem[1][29] ),
+ sky130_fd_sc_hd__buf_2 _09875_ (.A(_04552_),
     .X(_04571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09973_ (.A(_04321_),
-    .B(\u_m1_cmd_fifo.mem[3][29] ),
+ sky130_fd_sc_hd__a32o_4 _09876_ (.A1(_03815_),
+    .A2(_04554_),
+    .A3(_04570_),
+    .B1(\u_spictrl.u_txreg.data_int[31] ),
+    .B2(_04571_),
+    .X(_00969_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09877_ (.A(_03814_),
     .X(_04572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09974_ (.A1(_04007_),
-    .A2(\u_m1_cmd_fifo.mem[2][29] ),
-    .B1(_04294_),
-    .X(_04573_),
+ sky130_fd_sc_hd__nand2_4 _09878_ (.A(_04391_),
+    .B(_04495_),
+    .Y(_04573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09975_ (.A1(_03997_),
-    .A2(_04570_),
-    .A3(_04571_),
-    .B1(_04572_),
-    .B2(_04573_),
+ sky130_fd_sc_hd__or2_4 _09879_ (.A(_03787_),
+    .B(_04238_),
     .X(_04574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09976_ (.A1(_03988_),
-    .A2(_04569_),
-    .B1(_03994_),
-    .B2(_04574_),
+ sky130_fd_sc_hd__a32o_4 _09880_ (.A1(\u_spictrl.cfg_addr_cnt[1] ),
+    .A2(_04573_),
+    .A3(_04574_),
+    .B1(_03775_),
+    .B2(_04392_),
     .X(_04575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09977_ (.A1(_03803_),
-    .A2(_04536_),
-    .A3(_04316_),
-    .B1(_04555_),
-    .B2(_04575_),
+ sky130_fd_sc_hd__and2_4 _09881_ (.A(\u_spictrl.spi_mode_cmd[6] ),
+    .B(_03804_),
     .X(_04576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09978_ (.A(_04554_),
-    .B(_04576_),
+ sky130_fd_sc_hd__and2_4 _09882_ (.A(_04028_),
+    .B(_04228_),
     .X(_04577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09979_ (.A1(_04078_),
-    .A2(_04567_),
-    .B1(_04568_),
+ sky130_fd_sc_hd__a211o_4 _09883_ (.A1(_03792_),
+    .A2(_04575_),
+    .B1(_04576_),
     .C1(_04577_),
     .X(_04578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09980_ (.A1(_04547_),
-    .A2(_04531_),
-    .A3(_04578_),
-    .B1(_04510_),
-    .B2(_04530_),
-    .X(_00973_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09981_ (.A1(\u_spictrl.u_txreg.data_int[3] ),
-    .A2(_04550_),
-    .B1(\u_spictrl.u_txreg.data_int[2] ),
-    .B2(_04074_),
+ sky130_fd_sc_hd__o22a_4 _09884_ (.A1(\u_spictrl.u_txreg.data_int[28] ),
+    .A2(_03858_),
+    .B1(\u_spictrl.u_txreg.data_int[29] ),
+    .B2(_03918_),
     .X(_04579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09982_ (.A1(\u_spictrl.u_txreg.data_int[0] ),
-    .A2(_04548_),
-    .B1(_04549_),
+ sky130_fd_sc_hd__o22a_4 _09885_ (.A1(\u_spictrl.u_txreg.data_int[26] ),
+    .A2(_03867_),
+    .B1(_04179_),
     .B2(_04579_),
     .X(_04580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09983_ (.A(_04520_),
-    .B(_04124_),
-    .X(_04581_),
+ sky130_fd_sc_hd__a22oi_4 _09886_ (.A1(_03851_),
+    .A2(_04578_),
+    .B1(_03912_),
+    .B2(_04580_),
+    .Y(_04581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09984_ (.A1(_04287_),
-    .A2(\u_m0_cmd_fifo.mem[0][28] ),
-    .B1(_04234_),
-    .B2(\u_m0_cmd_fifo.mem[1][28] ),
+ sky130_fd_sc_hd__a2bb2o_4 _09887_ (.A1_N(_03868_),
+    .A2_N(_04581_),
+    .B1(\u_spictrl.u_txreg.data_int[30] ),
+    .B2(_03846_),
     .X(_04582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09985_ (.A(_04289_),
-    .B(\u_m1_cmd_fifo.mem[0][28] ),
-    .X(_04583_),
+ sky130_fd_sc_hd__nand2_4 _09888_ (.A(_03909_),
+    .B(_04037_),
+    .Y(_04583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09986_ (.A(_04240_),
-    .B(\u_m1_cmd_fifo.mem[1][28] ),
+ sky130_fd_sc_hd__and2_4 _09889_ (.A(\u_spictrl.u_txreg.data_int[30] ),
+    .B(_04583_),
     .X(_04584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09987_ (.A(_04195_),
-    .B(\u_m1_cmd_fifo.mem[3][28] ),
+ sky130_fd_sc_hd__a21o_4 _09890_ (.A1(_03932_),
+    .A2(_03936_),
+    .B1(_03830_),
     .X(_04585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _09988_ (.A1(_04293_),
-    .A2(\u_m1_cmd_fifo.mem[2][28] ),
-    .B1(_04294_),
+ sky130_fd_sc_hd__and3_4 _09891_ (.A(_03909_),
+    .B(_04578_),
+    .C(_04585_),
     .X(_04586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09989_ (.A1(_04236_),
-    .A2(_04583_),
-    .A3(_04584_),
-    .B1(_04585_),
-    .B2(_04586_),
+ sky130_fd_sc_hd__a211o_4 _09892_ (.A1(psn_net_84),
+    .A2(_04582_),
+    .B1(_04584_),
+    .C1(_04586_),
     .X(_04587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _09990_ (.A1(_04138_),
-    .A2(_04582_),
-    .B1(_03977_),
-    .B2(_04587_),
-    .X(_04588_),
+ sky130_fd_sc_hd__a32o_4 _09893_ (.A1(_04572_),
+    .A2(_04554_),
+    .A3(_04587_),
+    .B1(\u_spictrl.u_txreg.data_int[30] ),
+    .B2(_04571_),
+    .X(_00968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09991_ (.A1(_03802_),
-    .A2(_04536_),
-    .A3(_04352_),
-    .B1(_04555_),
-    .B2(_04588_),
+ sky130_fd_sc_hd__nand2_4 _09894_ (.A(_04550_),
+    .B(_04512_),
+    .Y(_04588_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09895_ (.A(_04402_),
+    .B(_04269_),
     .X(_04589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09992_ (.A(_04554_),
-    .B(_04589_),
+ sky130_fd_sc_hd__a32o_4 _09896_ (.A1(_03784_),
+    .A2(_04588_),
+    .A3(_04589_),
+    .B1(_03775_),
+    .B2(_04403_),
     .X(_04590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _09993_ (.A1(_04078_),
-    .A2(_04580_),
-    .B1(_04581_),
-    .C1(_04590_),
+ sky130_fd_sc_hd__and2_4 _09897_ (.A(\u_spictrl.spi_mode_cmd[5] ),
+    .B(_03804_),
     .X(_04591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _09994_ (.A1(_04547_),
-    .A2(_04531_),
-    .A3(_04591_),
-    .B1(_04520_),
-    .B2(_04215_),
-    .X(_00972_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _09995_ (.A(_03906_),
+ sky130_fd_sc_hd__and2_4 _09898_ (.A(_04028_),
+    .B(_04259_),
     .X(_04592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _09996_ (.A(_04592_),
-    .B(_03914_),
+ sky130_fd_sc_hd__a211o_4 _09899_ (.A1(_03793_),
+    .A2(_04590_),
+    .B1(_04591_),
+    .C1(_04592_),
     .X(_04593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _09997_ (.A(_04532_),
-    .B(_03948_),
-    .Y(_04594_),
+ sky130_fd_sc_hd__buf_2 _09900_ (.A(psn_net_7),
+    .X(_04594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09998_ (.A(\u_spictrl.u_txreg.data_int[2] ),
-    .Y(_04595_),
+ sky130_fd_sc_hd__o22a_4 _09901_ (.A1(\u_spictrl.u_txreg.data_int[28] ),
+    .A2(_03918_),
+    .B1(\u_spictrl.u_txreg.data_int[27] ),
+    .B2(_04361_),
+    .X(_04595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _09999_ (.A(_04595_),
-    .B(_04074_),
+ sky130_fd_sc_hd__o22a_4 _09902_ (.A1(_04179_),
+    .A2(_04595_),
+    .B1(\u_spictrl.u_txreg.data_int[25] ),
+    .B2(_03925_),
     .X(_04596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10000_ (.A(\u_spictrl.u_txreg.data_int[1] ),
-    .Y(_04597_),
+ sky130_fd_sc_hd__and4_4 _09903_ (.A(_03828_),
+    .B(_04594_),
+    .C(_03912_),
+    .D(_04596_),
+    .X(_04597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10001_ (.A(_04597_),
-    .B(_04550_),
+ sky130_fd_sc_hd__o21a_4 _09904_ (.A1(_03848_),
+    .A2(_04583_),
+    .B1(\u_spictrl.u_txreg.data_int[29] ),
     .X(_04598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10002_ (.A(_04549_),
-    .B(_04596_),
-    .C(_04598_),
-    .D(psn_net_151),
+ sky130_fd_sc_hd__a211o_4 _09905_ (.A1(_03939_),
+    .A2(_04593_),
+    .B1(_04597_),
+    .C1(_04598_),
     .X(_04599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10003_ (.A(_03802_),
+ sky130_fd_sc_hd__a32o_4 _09906_ (.A1(_04572_),
+    .A2(_04554_),
+    .A3(psn_net_93),
+    .B1(_04571_),
+    .B2(\u_spictrl.u_txreg.data_int[29] ),
+    .X(_00967_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09907_ (.A(_03793_),
     .X(_04600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10004_ (.A1(_04600_),
-    .A2(_03806_),
-    .A3(_03981_),
-    .B1(_04256_),
-    .B2(_04040_),
-    .X(_04601_),
+ sky130_fd_sc_hd__nand2_4 _09908_ (.A(_04550_),
+    .B(_04528_),
+    .Y(_04601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _10005_ (.A(_04079_),
-    .B(_04601_),
-    .Y(_04602_),
+ sky130_fd_sc_hd__or2_4 _09909_ (.A(_04402_),
+    .B(_04302_),
+    .X(_04602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10006_ (.A(_04594_),
-    .B(_04599_),
-    .C(_04602_),
+ sky130_fd_sc_hd__a32o_4 _09910_ (.A1(_03784_),
+    .A2(_04601_),
+    .A3(_04602_),
+    .B1(_03775_),
+    .B2(_04415_),
     .X(_04603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10007_ (.A1_N(_04593_),
-    .A2_N(_04603_),
-    .B1(_04532_),
-    .B2(_04069_),
-    .X(_00971_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10008_ (.A(_04595_),
-    .B(_03945_),
+ sky130_fd_sc_hd__and2_4 _09911_ (.A(\u_spictrl.spi_mode_cmd[4] ),
+    .B(_03805_),
     .X(_04604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10009_ (.A(_04597_),
-    .B(_04074_),
+ sky130_fd_sc_hd__and2_4 _09912_ (.A(_04028_),
+    .B(_04294_),
     .X(_04605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10010_ (.A(\u_spictrl.u_txreg.data_int[0] ),
-    .B(_03939_),
-    .Y(_04606_),
+ sky130_fd_sc_hd__a211o_4 _09913_ (.A1(_04600_),
+    .A2(_04603_),
+    .B1(_04604_),
+    .C1(_04605_),
+    .X(_04606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10011_ (.A(_03930_),
-    .B(_04605_),
-    .C(_04606_),
-    .D(psn_net_151),
+ sky130_fd_sc_hd__o22a_4 _09914_ (.A1(\u_spictrl.u_txreg.data_int[27] ),
+    .A2(_03919_),
+    .B1(\u_spictrl.u_txreg.data_int[26] ),
+    .B2(_04361_),
     .X(_04607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10012_ (.A1(_04600_),
-    .A2(_03806_),
-    .A3(_04086_),
-    .B1(_04256_),
-    .B2(_04107_),
+ sky130_fd_sc_hd__o22a_4 _09915_ (.A1(_04179_),
+    .A2(_04607_),
+    .B1(\u_spictrl.u_txreg.data_int[24] ),
+    .B2(_03925_),
     .X(_04608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _10013_ (.A(_04079_),
-    .B(_04608_),
-    .Y(_04609_),
+ sky130_fd_sc_hd__and4_4 _09916_ (.A(_03828_),
+    .B(_04594_),
+    .C(_03912_),
+    .D(_04608_),
+    .X(_04609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10014_ (.A(_04604_),
-    .B(_04607_),
-    .C(_04609_),
+ sky130_fd_sc_hd__o21a_4 _09917_ (.A1(_03848_),
+    .A2(_04583_),
+    .B1(\u_spictrl.u_txreg.data_int[28] ),
     .X(_04610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10015_ (.A1_N(_04593_),
-    .A2_N(_04610_),
-    .B1(\u_spictrl.u_txreg.data_int[2] ),
-    .B2(_04069_),
-    .X(_00970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _10016_ (.A(\u_spictrl.u_txreg.data_int[0] ),
-    .B(_04548_),
-    .C(_03939_),
-    .D(_04077_),
+ sky130_fd_sc_hd__a211o_4 _09918_ (.A1(_03939_),
+    .A2(_04606_),
+    .B1(_04609_),
+    .C1(_04610_),
     .X(_04611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10017_ (.A1(_03802_),
-    .A2(_03805_),
-    .A3(_04136_),
-    .B1(_04555_),
-    .B2(_04156_),
+ sky130_fd_sc_hd__a32o_4 _09919_ (.A1(psn_net_80),
+    .A2(_04572_),
+    .A3(_04554_),
+    .B1(\u_spictrl.u_txreg.data_int[28] ),
+    .B2(_04571_),
+    .X(_00966_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09920_ (.A(psn_net_27),
     .X(_04612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10018_ (.A(_04554_),
+ sky130_fd_sc_hd__or2_4 _09921_ (.A(_03875_),
     .B(_04612_),
     .X(_04613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10019_ (.A1(\u_spictrl.u_txreg.data_int[1] ),
-    .A2(_03948_),
-    .B1(_04611_),
-    .C1(_04613_),
+ sky130_fd_sc_hd__a21o_4 _09922_ (.A1(psn_net_92),
+    .A2(_03702_),
+    .B1(_03846_),
     .X(_04614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10020_ (.A1(_04547_),
-    .A2(_04217_),
-    .A3(_04614_),
-    .B1(\u_spictrl.u_txreg.data_int[1] ),
-    .B2(_04215_),
-    .X(_00969_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10021_ (.A1(_04600_),
-    .A2(_03806_),
-    .A3(_04183_),
-    .B1(_04256_),
-    .B2(_04201_),
+ sky130_fd_sc_hd__o22a_4 _09923_ (.A1(_03829_),
+    .A2(_03933_),
+    .B1(_03702_),
+    .B2(_03705_),
     .X(_04615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10022_ (.A1(_04217_),
-    .A2(_04615_),
-    .A3(_04079_),
-    .B1(\u_spictrl.u_txreg.data_int[0] ),
-    .B2(_04070_),
+ sky130_fd_sc_hd__or2_4 _09924_ (.A(_03933_),
+    .B(_03843_),
     .X(_04616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10023_ (.A(_04066_),
-    .B(_04616_),
-    .X(_00968_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10024_ (.A(_03913_),
-    .B(_03946_),
+ sky130_fd_sc_hd__and4_4 _09925_ (.A(psn_net_6),
+    .B(_03828_),
+    .C(_03850_),
+    .D(_04616_),
     .X(_04617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10025_ (.A(_03960_),
-    .B(_04230_),
+ sky130_fd_sc_hd__a211o_4 _09926_ (.A1(_03705_),
+    .A2(_04614_),
+    .B1(_04615_),
+    .C1(_04617_),
     .X(_04618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10026_ (.A(_03985_),
-    .B(_04252_),
+ sky130_fd_sc_hd__or2_4 _09927_ (.A(psn_net_136),
+    .B(psn_net_3),
     .X(_04619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10027_ (.A1(_04018_),
-    .A2(_04543_),
-    .B1(_04017_),
-    .B2(_04244_),
+ sky130_fd_sc_hd__and2_4 _09928_ (.A(_04619_),
+    .B(_03813_),
     .X(_04620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10028_ (.A(_04014_),
-    .B(_04620_),
-    .X(_04621_),
+ sky130_fd_sc_hd__inv_2 _09929_ (.A(_04620_),
+    .Y(_04621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10029_ (.A(_03788_),
-    .B(_04619_),
-    .C(_04621_),
-    .X(_04622_),
+ sky130_fd_sc_hd__o32a_4 _09930_ (.A1(_04570_),
+    .A2(_04613_),
+    .A3(_04620_),
+    .B1(\u_spictrl.spi_sdo3 ),
+    .B2(_04621_),
+    .X(_00965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10030_ (.A1(\u_spictrl.spi_mode_cmd[7] ),
-    .A2(_03955_),
-    .B1(_04618_),
-    .C1(_04622_),
+ sky130_fd_sc_hd__o32a_4 _09931_ (.A1(_04587_),
+    .A2(_04613_),
+    .A3(_04620_),
+    .B1(\u_spictrl.spi_sdo2 ),
+    .B2(_04621_),
+    .X(_00964_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09932_ (.A(_04618_),
+    .Y(_04622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _09933_ (.A(_04553_),
+    .B(psn_net_135),
+    .C(_03813_),
     .X(_04623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10031_ (.A(_03952_),
-    .B(_04623_),
-    .X(_04624_),
+ sky130_fd_sc_hd__inv_2 _09934_ (.A(psn_net_4),
+    .Y(_04624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10032_ (.A1(\u_spictrl.u_txreg.data_int[30] ),
-    .A2(_03933_),
-    .B1(\u_spictrl.u_txreg.data_int[29] ),
-    .B2(_03937_),
-    .X(_04625_),
+ sky130_fd_sc_hd__inv_2 _09935_ (.A(_04612_),
+    .Y(_04625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10033_ (.A1(_03942_),
-    .A2(_03925_),
-    .B1(_03928_),
+ sky130_fd_sc_hd__a32o_4 _09936_ (.A1(_04624_),
+    .A2(_04612_),
+    .A3(_04570_),
+    .B1(_04599_),
     .B2(_04625_),
     .X(_04626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10034_ (.A(_03921_),
-    .B(_04626_),
+ sky130_fd_sc_hd__a32o_4 _09937_ (.A1(_04572_),
+    .A2(psn_net_130),
+    .A3(\u_spictrl.spi_sdo1 ),
+    .B1(_04626_),
+    .B2(_04623_),
+    .X(_00963_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _09938_ (.A(psn_net_4),
+    .B(_04570_),
     .X(_04627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10035_ (.A1(\u_spictrl.u_txreg.data_int[31] ),
-    .A2(_04617_),
-    .B1(_04624_),
+ sky130_fd_sc_hd__a211o_4 _09939_ (.A1(_04624_),
+    .A2(_04587_),
+    .B1(_04625_),
     .C1(_04627_),
     .X(_04628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10036_ (.A(_04628_),
-    .Y(_04629_),
+ sky130_fd_sc_hd__or2_4 _09940_ (.A(_04612_),
+    .B(_04611_),
+    .X(_04629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10037_ (.A(_03722_),
+ sky130_fd_sc_hd__a32o_4 _09941_ (.A1(_04629_),
+    .A2(_04623_),
+    .A3(_04628_),
+    .B1(\u_spictrl.spi_sdo0 ),
+    .B2(_04620_),
+    .X(_00962_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09942_ (.A(_03718_),
+    .B(_03838_),
     .X(_04630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10038_ (.A1_N(_03907_),
-    .A2_N(_04629_),
-    .B1(\u_spictrl.u_txreg.data_int[31] ),
+ sky130_fd_sc_hd__inv_2 _09943_ (.A(_04630_),
+    .Y(_04631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09944_ (.A1(_03806_),
+    .A2(_04631_),
+    .B1(\u_spictrl.spi_dummy ),
     .B2(_04630_),
-    .X(_00967_),
+    .X(_00961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10039_ (.A(_03959_),
-    .B(_04277_),
-    .X(_04631_),
+ sky130_fd_sc_hd__a2bb2o_4 _09945_ (.A1_N(_04429_),
+    .A2_N(_03711_),
+    .B1(_03817_),
+    .B2(psn_net_199),
+    .X(_00960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10040_ (.A(_03985_),
-    .B(_04297_),
-    .X(_04632_),
+ sky130_fd_sc_hd__a2bb2o_4 _09946_ (.A1_N(_04427_),
+    .A2_N(_03711_),
+    .B1(_03802_),
+    .B2(psn_net_199),
+    .X(_00959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10041_ (.A1(_04018_),
-    .A2(_04562_),
-    .B1(_04017_),
-    .B2(_04286_),
+ sky130_fd_sc_hd__inv_2 _09947_ (.A(\u_spictrl.rx_done ),
+    .Y(_04632_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09948_ (.A(_04632_),
     .X(_04633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10042_ (.A(_04014_),
-    .B(_04633_),
+ sky130_fd_sc_hd__buf_2 _09949_ (.A(_04633_),
     .X(_04634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10043_ (.A(_03787_),
-    .B(_04632_),
-    .C(_04634_),
+ sky130_fd_sc_hd__and2_4 _09950_ (.A(\u_spictrl.u_rxreg.qddr_rx_en ),
+    .B(_04634_),
     .X(_04635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10044_ (.A1(\u_spictrl.spi_mode_cmd[6] ),
-    .A2(_03955_),
-    .B1(_04631_),
-    .C1(_04635_),
+ sky130_fd_sc_hd__and4_4 _09951_ (.A(_03817_),
+    .B(_03802_),
+    .C(\u_spictrl.spi_rise ),
+    .D(\u_spictrl.u_rxreg.rx_CS[2] ),
     .X(_04636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10045_ (.A(_03951_),
-    .B(_04636_),
+ sky130_fd_sc_hd__or4_4 _09952_ (.A(psn_net_191),
+    .B(_01531_),
+    .C(_01931_),
+    .D(_01533_),
     .X(_04637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10046_ (.A1(\u_spictrl.u_txreg.data_int[29] ),
-    .A2(_03932_),
-    .B1(\u_spictrl.u_txreg.data_int[28] ),
-    .B2(_03825_),
-    .X(_04638_),
+ sky130_fd_sc_hd__inv_2 _09953_ (.A(_04637_),
+    .Y(_04638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10047_ (.A1(\u_spictrl.u_txreg.data_int[26] ),
-    .A2(_03827_),
-    .B1(_03928_),
-    .B2(_04638_),
+ sky130_fd_sc_hd__buf_2 _09954_ (.A(_04638_),
     .X(_04639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10048_ (.A(psn_net_149),
-    .B(_04639_),
+ sky130_fd_sc_hd__buf_2 _09955_ (.A(_04639_),
     .X(_04640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10049_ (.A1(\u_spictrl.u_txreg.data_int[30] ),
-    .A2(_04617_),
-    .B1(_04637_),
-    .C1(_04640_),
-    .X(_04641_),
+ sky130_fd_sc_hd__o21a_4 _09956_ (.A1(_04635_),
+    .A2(_04636_),
+    .B1(_04640_),
+    .X(_00958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10050_ (.A(_04641_),
-    .Y(_04642_),
+ sky130_fd_sc_hd__inv_2 _09957_ (.A(_03716_),
+    .Y(_04641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10051_ (.A1_N(_03907_),
-    .A2_N(_04642_),
-    .B1(\u_spictrl.u_txreg.data_int[30] ),
-    .B2(_04630_),
-    .X(_00966_),
+ sky130_fd_sc_hd__buf_2 _09958_ (.A(_04637_),
+    .X(_04642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10052_ (.A(_03835_),
+ sky130_fd_sc_hd__and2_4 _09959_ (.A(\u_spictrl.spi_rise ),
+    .B(psn_net_184),
     .X(_04643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10053_ (.A(_03960_),
-    .B(_04316_),
+ sky130_fd_sc_hd__buf_2 _09960_ (.A(_04643_),
     .X(_04644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10054_ (.A(_03986_),
-    .B(_04334_),
-    .X(_04645_),
+ sky130_fd_sc_hd__inv_2 _09961_ (.A(_04644_),
+    .Y(_04645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10055_ (.A1(_04019_),
-    .A2(_04575_),
-    .B1(_04041_),
-    .B2(_04326_),
+ sky130_fd_sc_hd__buf_2 _09962_ (.A(_04645_),
     .X(_04646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10056_ (.A(_04015_),
+ sky130_fd_sc_hd__and2_4 _09963_ (.A(_04642_),
     .B(_04646_),
     .X(_04647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10057_ (.A(_03788_),
-    .B(_04645_),
-    .C(_04647_),
+ sky130_fd_sc_hd__buf_2 _09964_ (.A(_04647_),
     .X(_04648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10058_ (.A1(\u_spictrl.spi_mode_cmd[5] ),
-    .A2(_03956_),
-    .B1(_04644_),
-    .C1(_04648_),
-    .X(_04649_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10059_ (.A(_04452_),
-    .B(_04649_),
-    .X(_04650_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10060_ (.A1(\u_spictrl.u_txreg.data_int[28] ),
-    .A2(_04447_),
-    .B1(_03942_),
-    .B2(_03938_),
-    .X(_04651_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10061_ (.A1(\u_spictrl.u_txreg.data_int[25] ),
-    .A2(_04444_),
-    .B1(_03929_),
-    .B2(_04651_),
-    .X(_04652_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10062_ (.A(_04077_),
-    .B(_04652_),
-    .X(_04653_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10063_ (.A1(\u_spictrl.u_txreg.data_int[29] ),
-    .A2(_04617_),
-    .B1(_04650_),
-    .C1(_04653_),
-    .X(_04654_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10064_ (.A1(psn_net_189),
-    .A2(_04643_),
-    .A3(_03910_),
-    .B1(\u_spictrl.u_txreg.data_int[29] ),
-    .B2(_04630_),
-    .X(_00965_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10065_ (.A(_03960_),
-    .B(_04352_),
-    .X(_04655_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10066_ (.A(_03985_),
-    .B(_04367_),
-    .X(_04656_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10067_ (.A1(_04019_),
-    .A2(_04588_),
-    .B1(_04041_),
-    .B2(_04360_),
-    .X(_04657_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10068_ (.A(_04014_),
-    .B(_04657_),
-    .X(_04658_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10069_ (.A(_03788_),
-    .B(_04656_),
-    .C(_04658_),
-    .X(_04659_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10070_ (.A1(\u_spictrl.spi_mode_cmd[4] ),
-    .A2(_03955_),
-    .B1(_04655_),
-    .C1(_04659_),
-    .X(_04660_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10071_ (.A(_03952_),
-    .B(_04660_),
-    .X(_04661_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10072_ (.A1(\u_spictrl.u_txreg.data_int[27] ),
-    .A2(_03933_),
-    .B1(\u_spictrl.u_txreg.data_int[26] ),
-    .B2(_03937_),
-    .X(_04662_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10073_ (.A1(\u_spictrl.u_txreg.data_int[24] ),
-    .A2(_03925_),
-    .B1(_03929_),
-    .B2(_04662_),
-    .X(_04663_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10074_ (.A(_03921_),
-    .B(_04663_),
-    .X(_04664_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10075_ (.A1(\u_spictrl.u_txreg.data_int[28] ),
-    .A2(_04617_),
-    .B1(_04661_),
-    .C1(_04664_),
-    .X(_04665_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10076_ (.A1(_04643_),
-    .A2(_03910_),
-    .A3(_04665_),
-    .B1(\u_spictrl.u_txreg.data_int[28] ),
-    .B2(_04630_),
-    .X(_00964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _10077_ (.A1(psn_net_108),
-    .A2(_03912_),
-    .B1(_03850_),
-    .X(_04666_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10078_ (.A(\u_spictrl.u_txreg.tx_CS[1] ),
-    .Y(_04667_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10079_ (.A1(_03919_),
-    .A2(_03733_),
-    .B1(_04667_),
-    .B2(_03832_),
-    .Y(_04668_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10080_ (.A1(_04666_),
-    .A2(_03734_),
-    .B1(_03913_),
-    .C1(_04668_),
-    .X(_04669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10081_ (.A(_04669_),
-    .Y(_04670_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _10082_ (.A1(_03815_),
-    .A2(psn_net_106),
-    .B1(_03835_),
-    .X(_04671_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10083_ (.A(_03734_),
-    .B(_03913_),
-    .C(_03920_),
-    .X(_04672_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10084_ (.A(_04672_),
-    .Y(_04673_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10085_ (.A(_03707_),
-    .X(_04674_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _10086_ (.A1(_03721_),
-    .A2(_03943_),
-    .B1(_03817_),
-    .X(_00062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10087_ (.A(_00062_),
-    .Y(_04675_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10088_ (.A1(_03824_),
-    .A2(_04673_),
-    .B1(_04674_),
-    .B2(_04675_),
-    .X(_04676_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10089_ (.A1_N(_03826_),
-    .A2_N(_04673_),
-    .B1(_03706_),
-    .B2(_00062_),
-    .X(_04677_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10090_ (.A(_04676_),
-    .B(_04677_),
-    .X(_04678_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10091_ (.A(_04678_),
-    .Y(_04679_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10092_ (.A(_04671_),
-    .Y(_04680_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10093_ (.A(_03720_),
-    .B(_04680_),
-    .X(_04681_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10094_ (.A(_04679_),
-    .B(_04681_),
-    .X(_04682_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10095_ (.A1(\u_spictrl.spi_sdo3 ),
-    .A2(psn_net_203),
-    .B1(_04628_),
-    .B2(_04682_),
-    .X(_00963_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10096_ (.A1(\u_spictrl.spi_sdo2 ),
-    .A2(psn_net_203),
-    .B1(_04641_),
-    .B2(_04682_),
-    .X(_00962_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10097_ (.A(_04676_),
-    .B(_04677_),
-    .X(_04683_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10098_ (.A1_N(_04629_),
-    .A2_N(_04683_),
-    .B1(_04678_),
-    .B2(_04654_),
-    .X(_04684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10099_ (.A1(_04684_),
-    .A2(_04066_),
-    .A3(psn_net_202),
-    .B1(\u_spictrl.spi_sdo1 ),
-    .B2(psn_net_200),
-    .X(_00961_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10100_ (.A(_04681_),
-    .Y(_04685_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10101_ (.A(_04665_),
-    .B(_04679_),
-    .X(_04686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10102_ (.A(_04642_),
-    .B(_04683_),
-    .Y(_04687_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10103_ (.A1(_04683_),
-    .A2(_04628_),
-    .B1(_04678_),
-    .C1(_04687_),
-    .X(_04688_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10104_ (.A1(_04688_),
-    .A2(_04685_),
-    .A3(_04686_),
-    .B1(\u_spictrl.spi_sdo0 ),
-    .B2(psn_net_201),
-    .X(_00960_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10105_ (.A1_N(_03826_),
-    .A2_N(_03756_),
-    .B1(_03706_),
-    .B2(_03737_),
-    .X(_00959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10106_ (.A1_N(_03824_),
-    .A2_N(_03756_),
-    .B1(\u_spictrl.s_spi_mode[0] ),
-    .B2(_03737_),
-    .X(_00958_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10107_ (.A(\u_spictrl.u_rxreg.counter_trgt[10] ),
-    .Y(_04689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10108_ (.A(_03294_),
-    .X(_04690_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10109_ (.A(_01934_),
-    .B(_04690_),
-    .C(_01537_),
-    .D(_02024_),
-    .X(_04691_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10110_ (.A(_04691_),
-    .Y(_04692_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10111_ (.A(\u_spictrl.spi_rise ),
-    .Y(_04693_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10112_ (.A(_04693_),
-    .B(psn_net_233),
-    .X(_04694_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10113_ (.A(_04694_),
-    .Y(_04695_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10114_ (.A(_04692_),
-    .B(_04695_),
-    .X(_04696_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10115_ (.A(_04692_),
-    .X(_04697_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10116_ (.A(_04697_),
-    .X(_04698_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10117_ (.A(\u_spictrl.cfg_data_cnt[7] ),
-    .B(_04698_),
-    .X(_04699_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10118_ (.A1_N(_04689_),
-    .A2_N(_04696_),
-    .B1(_03716_),
-    .B2(_04699_),
+ sky130_fd_sc_hd__a32o_4 _09965_ (.A1(\u_spictrl.cfg_data_cnt[7] ),
+    .A2(_04639_),
+    .A3(_04641_),
+    .B1(\u_spictrl.u_rxreg.counter_trgt[10] ),
+    .B2(_04648_),
     .X(_00957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10119_ (.A(_03765_),
-    .B(_04699_),
-    .X(_04700_),
+ sky130_fd_sc_hd__buf_2 _09966_ (.A(_04642_),
+    .X(_04649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10120_ (.A(_04697_),
-    .X(_04701_),
+ sky130_fd_sc_hd__buf_2 _09967_ (.A(_04649_),
+    .X(_04650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10121_ (.A(\u_spictrl.cfg_data_cnt[6] ),
-    .B(_04701_),
-    .X(_04702_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10122_ (.A(_03812_),
-    .B(_04702_),
-    .X(_04703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10123_ (.A(_03714_),
-    .X(_04704_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10124_ (.A(_04704_),
-    .X(_04705_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10125_ (.A(_04696_),
-    .Y(_04706_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10126_ (.A(_04705_),
-    .B(_04706_),
-    .X(_04707_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10127_ (.A(_04707_),
-    .Y(_04708_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10128_ (.A(_04708_),
-    .X(_04709_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10129_ (.A(_04706_),
-    .X(_04710_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10130_ (.A1(_04700_),
-    .A2(_04703_),
-    .A3(_04709_),
+ sky130_fd_sc_hd__a2bb2o_4 _09968_ (.A1_N(_03738_),
+    .A2_N(_04650_),
     .B1(\u_spictrl.u_rxreg.counter_trgt[9] ),
-    .B2(_04710_),
+    .B2(_04648_),
     .X(_00956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10131_ (.A(_04691_),
-    .X(_04711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10132_ (.A(_04711_),
-    .X(_04712_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10133_ (.A(_04694_),
-    .X(_04713_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10134_ (.A(_04713_),
-    .X(_04714_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10135_ (.A(_04699_),
-    .B(_04708_),
-    .X(_04715_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10136_ (.A(\u_spictrl.cfg_data_cnt[5] ),
-    .X(_04716_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10137_ (.A(_04716_),
-    .B(_04698_),
-    .C(_03753_),
-    .X(_04717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10138_ (.A1(_03812_),
-    .A2(_04702_),
-    .B1(_03810_),
-    .C1(_04717_),
-    .X(_04718_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10139_ (.A1(_04712_),
-    .A2(_04714_),
-    .A3(\u_spictrl.u_rxreg.counter_trgt[8] ),
-    .B1(_04715_),
-    .B2(_04718_),
+ sky130_fd_sc_hd__a2bb2o_4 _09969_ (.A1_N(_03750_),
+    .A2_N(_04650_),
+    .B1(\u_spictrl.u_rxreg.counter_trgt[8] ),
+    .B2(_04648_),
     .X(_00955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10140_ (.A(\u_spictrl.cfg_data_cnt[4] ),
-    .X(_04719_),
+ sky130_fd_sc_hd__buf_2 _09970_ (.A(_04645_),
+    .X(_04651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10141_ (.A(_04719_),
-    .Y(_04720_),
+ sky130_fd_sc_hd__buf_2 _09971_ (.A(_04651_),
+    .X(_04652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10142_ (.A(_04720_),
-    .B(_04711_),
-    .C(_03748_),
-    .X(_04721_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10143_ (.A(_04716_),
-    .Y(_04722_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10144_ (.A(_04722_),
-    .B(_04711_),
-    .C(_03774_),
-    .X(_04723_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10145_ (.A(_04702_),
-    .Y(_04724_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10146_ (.A1(_03745_),
-    .A2(_04721_),
-    .A3(_04723_),
-    .B1(_04707_),
-    .B2(_04724_),
-    .X(_04725_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _10147_ (.A1(\u_spictrl.u_rxreg.counter_trgt[7] ),
-    .A2(_04710_),
-    .B1_N(_04725_),
+ sky130_fd_sc_hd__a32o_4 _09972_ (.A1(_04649_),
+    .A2(_04652_),
+    .A3(\u_spictrl.u_rxreg.counter_trgt[7] ),
+    .B1(_03758_),
+    .B2(_04640_),
     .X(_00954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10148_ (.A(_04697_),
-    .X(_04726_),
+ sky130_fd_sc_hd__a32o_4 _09973_ (.A1(_03760_),
+    .A2(_04639_),
+    .A3(_03765_),
+    .B1(\u_spictrl.u_rxreg.counter_trgt[6] ),
+    .B2(_04647_),
+    .X(_00953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10149_ (.A(_04726_),
+ sky130_fd_sc_hd__buf_2 _09974_ (.A(\u_spictrl.cfg_data_cnt[2] ),
+    .X(_04653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09975_ (.A(_04653_),
+    .B(_03743_),
+    .X(_04654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09976_ (.A(\u_spictrl.cfg_data_cnt[3] ),
+    .B(_03733_),
+    .X(_04655_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09977_ (.A1(_03749_),
+    .A2(_04654_),
+    .A3(_04655_),
+    .B1(_03753_),
+    .B2(_03752_),
+    .X(_04656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09978_ (.A1(_04649_),
+    .A2(_04652_),
+    .A3(\u_spictrl.u_rxreg.counter_trgt[5] ),
+    .B1(_04640_),
+    .B2(_04656_),
+    .X(_00952_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09979_ (.A(_03761_),
+    .B(_03757_),
+    .X(_04657_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09980_ (.A1(\u_spictrl.cfg_data_cnt[1] ),
+    .A2(_03754_),
+    .B1(_04653_),
+    .B2(_03755_),
+    .X(_04658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09981_ (.A(_03752_),
+    .B(_04658_),
+    .X(_04659_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09982_ (.A1(_04640_),
+    .A2(_04657_),
+    .A3(_04659_),
+    .B1(\u_spictrl.u_rxreg.counter_trgt[4] ),
+    .B2(_04647_),
+    .X(_00951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09983_ (.A(_04644_),
+    .X(_04660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09984_ (.A(\u_spictrl.cfg_data_cnt[0] ),
+    .Y(_04661_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09985_ (.A(\u_spictrl.cfg_data_cnt[1] ),
+    .Y(_04662_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09986_ (.A1(_04661_),
+    .A2(_03743_),
+    .B1(_04662_),
+    .B2(_03733_),
+    .X(_04663_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09987_ (.A(_04653_),
+    .Y(_04664_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _09988_ (.A1(_03728_),
+    .A2(_04663_),
+    .B1(_04664_),
+    .B2(_03749_),
+    .X(_04665_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _09989_ (.A(_04649_),
+    .B(_04665_),
+    .Y(_04666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _09990_ (.A1(\u_spictrl.u_rxreg.counter_trgt[3] ),
+    .A2(_04650_),
+    .B1(_04660_),
+    .C1(_04666_),
+    .X(_00950_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o32a_4 _09991_ (.A1(_04661_),
+    .A2(_03783_),
+    .A3(_03762_),
+    .B1(_04662_),
+    .B2(_03767_),
+    .X(_04667_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _09992_ (.A1_N(_04650_),
+    .A2_N(_04667_),
+    .B1(\u_spictrl.u_rxreg.counter_trgt[2] ),
+    .B2(_04648_),
+    .X(_00949_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09993_ (.A(\u_spictrl.u_rxreg.counter_trgt[1] ),
+    .X(_04668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _09994_ (.A1(\u_spictrl.cfg_data_cnt[0] ),
+    .A2(_04639_),
+    .A3(_03817_),
+    .B1(_04668_),
+    .B2(_04647_),
+    .X(_00948_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09995_ (.A(_04652_),
+    .X(_04669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _09996_ (.A(\u_spictrl.u_rxreg.qddr_rx_en ),
+    .B(\u_spictrl.spi_rise ),
+    .X(_04670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _09997_ (.A(_04670_),
+    .Y(_04671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _09998_ (.A(\u_spictrl.u_rxreg.rx_CS[3] ),
+    .B(\u_spictrl.u_rxreg.rx_CS[1] ),
+    .C(\u_spictrl.u_rxreg.rx_CS[0] ),
+    .D(_04671_),
+    .X(_04672_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _09999_ (.A(_04672_),
+    .X(_04673_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10000_ (.A(_04673_),
+    .Y(_04674_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10001_ (.A(\u_spictrl.u_rxreg.counter[10] ),
+    .B(\u_spictrl.u_rxreg.counter[9] ),
+    .X(_04675_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10002_ (.A(\u_spictrl.u_rxreg.counter[2] ),
+    .Y(_04676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10003_ (.A(\u_spictrl.u_rxreg.counter[1] ),
+    .Y(_04677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10004_ (.A(\u_spictrl.u_rxreg.counter[0] ),
+    .Y(_04678_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10005_ (.A(\u_spictrl.u_rxreg.counter[6] ),
+    .Y(_04679_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10006_ (.A(\u_spictrl.u_rxreg.counter[5] ),
+    .Y(_04680_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10007_ (.A(\u_spictrl.u_rxreg.counter[4] ),
+    .Y(_04681_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10008_ (.A(\u_spictrl.u_rxreg.counter[3] ),
+    .Y(_04682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10009_ (.A(_04679_),
+    .B(_04680_),
+    .C(_04681_),
+    .D(_04682_),
+    .X(_04683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10010_ (.A(_04676_),
+    .B(_04677_),
+    .C(_04678_),
+    .D(_04683_),
+    .X(_04684_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10011_ (.A(_04684_),
+    .Y(_04685_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10012_ (.A(\u_spictrl.u_rxreg.counter[8] ),
+    .B(\u_spictrl.u_rxreg.counter[7] ),
+    .C(_04675_),
+    .D(_04685_),
+    .X(_04686_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10013_ (.A(_04674_),
+    .B(_04686_),
+    .X(_04687_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10014_ (.A(\u_spictrl.u_rxreg.counter[11] ),
+    .B(_04687_),
+    .C(\u_spictrl.u_rxreg.counter[12] ),
+    .D(\u_spictrl.u_rxreg.counter[13] ),
+    .X(_04688_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10015_ (.A(\u_spictrl.u_rxreg.counter[14] ),
+    .B(_04688_),
+    .X(_04689_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10016_ (.A(_04632_),
+    .B(_04673_),
+    .X(_04690_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10017_ (.A(_04690_),
+    .Y(_04691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10018_ (.A(_04691_),
+    .X(_04692_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10019_ (.A(_04692_),
+    .X(_04693_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10020_ (.A(\u_spictrl.u_rxreg.counter[15] ),
+    .B(_04689_),
+    .Y(_04694_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10021_ (.A1(\u_spictrl.u_rxreg.counter[15] ),
+    .A2(_04689_),
+    .B1(_04693_),
+    .C1(_04694_),
+    .X(_04695_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10022_ (.A(_04695_),
+    .Y(_04696_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10023_ (.A(_04669_),
+    .B(_04696_),
+    .X(_00947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10024_ (.A(\u_spictrl.u_rxreg.counter[14] ),
+    .B(_04688_),
+    .Y(_04697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10025_ (.A(_04689_),
+    .B(_04692_),
+    .C(_04697_),
+    .X(_04698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10026_ (.A(_04698_),
+    .Y(_04699_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10027_ (.A(_04669_),
+    .B(_04699_),
+    .X(_00946_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10028_ (.A(\u_spictrl.u_rxreg.counter[13] ),
+    .Y(_04700_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10029_ (.A(\u_spictrl.u_rxreg.counter[12] ),
+    .Y(_04701_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10030_ (.A(\u_spictrl.u_rxreg.counter[11] ),
+    .B(_04687_),
+    .X(_04702_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10031_ (.A(_04702_),
+    .Y(_04703_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10032_ (.A(_04701_),
+    .B(_04703_),
+    .X(_04704_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10033_ (.A1(_04700_),
+    .A2(_04704_),
+    .B1(_04688_),
+    .C1(_04693_),
+    .X(_04705_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10034_ (.A(_04705_),
+    .Y(_04706_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10035_ (.A(_04669_),
+    .B(_04706_),
+    .X(_00945_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10036_ (.A(_04651_),
+    .X(_04707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10037_ (.A(_04707_),
+    .X(_04708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10038_ (.A(_04690_),
+    .X(_04709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10039_ (.A1(\u_spictrl.u_rxreg.counter[12] ),
+    .A2(_04702_),
+    .B1(_04704_),
+    .X(_04710_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10040_ (.A(_04708_),
+    .B(_04709_),
+    .C(_04710_),
+    .X(_00944_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10041_ (.A1(\u_spictrl.u_rxreg.counter[11] ),
+    .A2(_04687_),
+    .B1(_04703_),
+    .X(_04711_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10042_ (.A(_04708_),
+    .B(_04709_),
+    .C(_04711_),
+    .X(_00943_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10043_ (.A(_04678_),
+    .B(_04672_),
+    .X(_04712_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10044_ (.A(_04677_),
+    .B(_04712_),
+    .X(_04713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10045_ (.A(_04676_),
+    .B(_04713_),
+    .X(_04714_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10046_ (.A(_04714_),
+    .Y(_04715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10047_ (.A(\u_spictrl.u_rxreg.counter[3] ),
+    .B(_04715_),
+    .C(\u_spictrl.u_rxreg.counter[4] ),
+    .D(\u_spictrl.u_rxreg.counter[5] ),
+    .X(_04716_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10048_ (.A(\u_spictrl.u_rxreg.counter[6] ),
+    .B(_04716_),
+    .C(\u_spictrl.u_rxreg.counter[7] ),
+    .X(_04717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10049_ (.A(\u_spictrl.u_rxreg.counter[8] ),
+    .B(_04717_),
+    .X(_04718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10050_ (.A(\u_spictrl.u_rxreg.counter[9] ),
+    .B(_04718_),
+    .X(_04719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10051_ (.A(_04673_),
+    .X(_04720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10052_ (.A(\u_spictrl.rx_done ),
+    .X(_04721_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10053_ (.A(_04721_),
+    .B(_04686_),
+    .Y(_04722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10054_ (.A1(\u_spictrl.u_rxreg.counter[10] ),
+    .A2(_04719_),
+    .B1(_04720_),
+    .B2(_04722_),
+    .X(_04723_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10055_ (.A(_04669_),
+    .B(_04723_),
+    .X(_00942_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10056_ (.A(_04652_),
+    .X(_04724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10057_ (.A(\u_spictrl.u_rxreg.counter[9] ),
+    .Y(_04725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10058_ (.A(_04718_),
+    .Y(_04726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10059_ (.A1(_04725_),
+    .A2(_04726_),
+    .B1(_04719_),
+    .C1(_04693_),
     .X(_04727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _10150_ (.A1(_04716_),
-    .A2(_04727_),
-    .B1(_04709_),
-    .X(_04728_),
+ sky130_fd_sc_hd__inv_2 _10060_ (.A(_04727_),
+    .Y(_04728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10151_ (.A(_04719_),
-    .B(_04701_),
-    .C(_03748_),
+ sky130_fd_sc_hd__and2_4 _10061_ (.A(_04724_),
+    .B(_04728_),
+    .X(_00941_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10062_ (.A(\u_spictrl.u_rxreg.counter[8] ),
+    .B(_04717_),
     .X(_04729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10152_ (.A(\u_spictrl.cfg_data_cnt[3] ),
+ sky130_fd_sc_hd__and3_4 _10063_ (.A(_04709_),
+    .B(_04726_),
+    .C(_04729_),
     .X(_04730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10153_ (.A(_04730_),
-    .B(_04698_),
-    .C(_03774_),
-    .X(_04731_),
+ sky130_fd_sc_hd__and2_4 _10064_ (.A(_04724_),
+    .B(_04730_),
+    .X(_00940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10154_ (.A(_03810_),
-    .B(_04729_),
+ sky130_fd_sc_hd__a21oi_4 _10065_ (.A1(\u_spictrl.u_rxreg.counter[6] ),
+    .A2(_04716_),
+    .B1(\u_spictrl.u_rxreg.counter[7] ),
+    .Y(_04731_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10066_ (.A(_04692_),
+    .B(_04717_),
     .C(_04731_),
     .X(_04732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10155_ (.A1(_04712_),
-    .A2(_04714_),
-    .A3(\u_spictrl.u_rxreg.counter_trgt[6] ),
-    .B1(_04728_),
-    .B2(_04732_),
-    .X(_00953_),
+ sky130_fd_sc_hd__inv_2 _10067_ (.A(_04732_),
+    .Y(_04733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10156_ (.A(_04694_),
-    .X(_04733_),
+ sky130_fd_sc_hd__and2_4 _10068_ (.A(_04724_),
+    .B(_04733_),
+    .X(_00939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10157_ (.A(_04701_),
+ sky130_fd_sc_hd__and2_4 _10069_ (.A(_04633_),
+    .B(_04684_),
     .X(_04734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _10158_ (.A1(_04719_),
-    .A2(_04734_),
-    .B1(_04709_),
+ sky130_fd_sc_hd__o22a_4 _10070_ (.A1(\u_spictrl.u_rxreg.counter[6] ),
+    .A2(_04716_),
+    .B1(_04720_),
+    .B2(_04734_),
     .X(_04735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10159_ (.A(\u_spictrl.cfg_data_cnt[2] ),
+ sky130_fd_sc_hd__and2_4 _10071_ (.A(_04724_),
+    .B(_04735_),
+    .X(_00938_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10072_ (.A(_04660_),
     .X(_04736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10160_ (.A(_04736_),
-    .B(_04701_),
-    .C(_03774_),
+ sky130_fd_sc_hd__or2_4 _10073_ (.A(_04682_),
+    .B(_04714_),
     .X(_04737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10161_ (.A(_04730_),
-    .B(_04698_),
-    .C(_03748_),
+ sky130_fd_sc_hd__or2_4 _10074_ (.A(_04681_),
+    .B(_04737_),
     .X(_04738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10162_ (.A(_03810_),
-    .B(_04737_),
-    .C(_04738_),
+ sky130_fd_sc_hd__a211o_4 _10075_ (.A1(_04680_),
+    .A2(_04738_),
+    .B1(_04692_),
+    .C1(_04716_),
     .X(_04739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10163_ (.A1(_04712_),
-    .A2(_04733_),
-    .A3(\u_spictrl.u_rxreg.counter_trgt[5] ),
-    .B1(_04735_),
-    .B2(_04739_),
-    .X(_00952_),
+ sky130_fd_sc_hd__nor2_4 _10076_ (.A(_04736_),
+    .B(_04739_),
+    .Y(_00937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10164_ (.A(\u_spictrl.u_rxreg.counter_trgt[4] ),
+ sky130_fd_sc_hd__inv_2 _10077_ (.A(_04737_),
     .Y(_04740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _10165_ (.A1(_04730_),
-    .A2(_04727_),
-    .B1(_04709_),
+ sky130_fd_sc_hd__or2_4 _10078_ (.A(\u_spictrl.u_rxreg.counter[4] ),
+    .B(_04740_),
     .X(_04741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10166_ (.A(\u_spictrl.cfg_data_cnt[1] ),
+ sky130_fd_sc_hd__and3_4 _10079_ (.A(_04690_),
+    .B(_04738_),
+    .C(_04741_),
     .X(_04742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10167_ (.A(_04742_),
-    .B(_04726_),
-    .C(_03753_),
+ sky130_fd_sc_hd__and2_4 _10080_ (.A(_04708_),
+    .B(_04742_),
+    .X(_00936_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10081_ (.A1(_04682_),
+    .A2(_04714_),
+    .B1(_04691_),
+    .C1(_04740_),
     .X(_04743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10168_ (.A(_04736_),
-    .B(_04726_),
-    .C(_03779_),
+ sky130_fd_sc_hd__nor2_4 _10082_ (.A(_04736_),
+    .B(_04743_),
+    .Y(_00935_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10083_ (.A1(_04676_),
+    .A2(_04713_),
+    .B1(_04691_),
+    .C1(_04715_),
     .X(_04744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10169_ (.A(_03783_),
-    .B(_04743_),
-    .C(_04744_),
-    .X(_04745_),
+ sky130_fd_sc_hd__nor2_4 _10084_ (.A(_04736_),
+    .B(_04744_),
+    .Y(_00934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10170_ (.A1_N(_04740_),
-    .A2_N(_04696_),
-    .B1(_04741_),
-    .B2(_04745_),
-    .X(_00951_),
+ sky130_fd_sc_hd__inv_2 _10085_ (.A(_04712_),
+    .Y(_04745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10171_ (.A(\u_spictrl.cfg_data_cnt[0] ),
+ sky130_fd_sc_hd__or2_4 _10086_ (.A(\u_spictrl.u_rxreg.counter[1] ),
+    .B(_04745_),
     .X(_04746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10172_ (.A1(_04746_),
-    .A2(_03765_),
-    .B1(_04742_),
-    .B2(_03812_),
-    .Y(_04747_),
+ sky130_fd_sc_hd__and3_4 _10087_ (.A(_04690_),
+    .B(_04713_),
+    .C(_04746_),
+    .X(_04747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10173_ (.A1_N(_03814_),
-    .A2_N(_04747_),
-    .B1(_04736_),
-    .B2(_03814_),
+ sky130_fd_sc_hd__and2_4 _10088_ (.A(_04708_),
+    .B(_04747_),
+    .X(_00933_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10089_ (.A(_04678_),
+    .B(_04673_),
     .X(_04748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10174_ (.A(_04695_),
+ sky130_fd_sc_hd__or4_4 _10090_ (.A(_04643_),
+    .B(_04691_),
+    .C(_04745_),
+    .D(_04748_),
     .X(_04749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10175_ (.A(_04749_),
+ sky130_fd_sc_hd__inv_2 _10091_ (.A(_04749_),
+    .Y(_00932_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10092_ (.A(_04674_),
     .X(_04750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10176_ (.A(\u_spictrl.u_rxreg.counter_trgt[3] ),
-    .B(_04712_),
+ sky130_fd_sc_hd__buf_2 _10093_ (.A(_04750_),
     .X(_04751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10177_ (.A1(_04727_),
-    .A2(_04748_),
-    .B1(_04750_),
-    .C1(_04751_),
-    .X(_00950_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _10178_ (.A1(_04746_),
-    .A2(_04734_),
-    .B1(_04674_),
+ sky130_fd_sc_hd__or2_4 _10094_ (.A(\u_spictrl.u_rxreg.data_int[27] ),
+    .B(_03747_),
     .X(_04752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _10179_ (.A1(_04742_),
-    .A2(_04734_),
-    .B1(_04708_),
+ sky130_fd_sc_hd__buf_2 _10095_ (.A(_03730_),
     .X(_04753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10180_ (.A1(_03715_),
-    .A2(_04752_),
-    .A3(_04753_),
-    .B1(\u_spictrl.u_rxreg.counter_trgt[2] ),
-    .B2(_04710_),
-    .X(_00949_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10181_ (.A(\u_spictrl.u_rxreg.counter_trgt[1] ),
+ sky130_fd_sc_hd__o22a_4 _10096_ (.A1(\u_spictrl.u_rxreg.data_int[30] ),
+    .A2(_03735_),
+    .B1(\u_spictrl.u_rxreg.data_int[29] ),
+    .B2(_04753_),
     .X(_04754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10182_ (.A1(_04746_),
-    .A2(_04727_),
-    .A3(_03814_),
-    .B1(_04754_),
-    .B2(_04710_),
-    .X(_00948_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10183_ (.A(_04733_),
+ sky130_fd_sc_hd__or2_4 _10097_ (.A(_03726_),
+    .B(_04754_),
     .X(_04755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10184_ (.A(\u_spictrl.u_rxreg.counter[15] ),
-    .Y(_04756_),
+ sky130_fd_sc_hd__buf_2 _10098_ (.A(_04720_),
+    .X(_04756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10185_ (.A(\u_spictrl.u_rxreg.counter[14] ),
-    .Y(_04757_),
+ sky130_fd_sc_hd__buf_2 _10099_ (.A(_04756_),
+    .X(_04757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10186_ (.A(\u_spictrl.u_rxreg.counter[11] ),
-    .Y(_04758_),
+ sky130_fd_sc_hd__a32o_4 _10100_ (.A1(_04751_),
+    .A2(_04752_),
+    .A3(_04755_),
+    .B1(\u_spictrl.u_rxreg.data_int[31] ),
+    .B2(_04757_),
+    .X(_04758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10187_ (.A(\u_spictrl.u_rxreg.rx_CS[3] ),
-    .B(\u_spictrl.u_rxreg.rx_CS[1] ),
-    .C(_04693_),
-    .D(\u_spictrl.u_rxreg.rx_CS[0] ),
+ sky130_fd_sc_hd__and2_4 _10101_ (.A(_04707_),
+    .B(_04758_),
+    .X(_00056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10102_ (.A(_00056_),
+    .X(_00931_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10103_ (.A(_04674_),
     .X(_04759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10188_ (.A(\u_spictrl.u_rxreg.counter[2] ),
-    .Y(_04760_),
+ sky130_fd_sc_hd__buf_2 _10104_ (.A(_04759_),
+    .X(_04760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10189_ (.A(\u_spictrl.u_rxreg.counter[1] ),
-    .Y(_04761_),
+ sky130_fd_sc_hd__or2_4 _10105_ (.A(\u_spictrl.u_rxreg.data_int[30] ),
+    .B(_04760_),
+    .X(_04761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10190_ (.A(\u_spictrl.u_rxreg.counter[0] ),
-    .Y(_04762_),
+ sky130_fd_sc_hd__o22a_4 _10106_ (.A1(\u_spictrl.u_rxreg.data_int[29] ),
+    .A2(_03742_),
+    .B1(\u_spictrl.u_rxreg.data_int[28] ),
+    .B2(_03732_),
+    .X(_04762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10191_ (.A(\u_spictrl.u_rxreg.counter[4] ),
-    .Y(_04763_),
+ sky130_fd_sc_hd__o22a_4 _10107_ (.A1(_03727_),
+    .A2(_04762_),
+    .B1(\u_spictrl.u_rxreg.data_int[26] ),
+    .B2(_03748_),
+    .X(_04763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10192_ (.A(\u_spictrl.u_rxreg.counter[3] ),
-    .Y(_04764_),
+ sky130_fd_sc_hd__or2_4 _10108_ (.A(_04757_),
+    .B(_04763_),
+    .X(_04764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10193_ (.A(\u_spictrl.u_rxreg.counter[6] ),
-    .Y(_04765_),
+ sky130_fd_sc_hd__and3_4 _10109_ (.A(_04707_),
+    .B(_04761_),
+    .C(_04764_),
+    .X(_00055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10194_ (.A(\u_spictrl.u_rxreg.counter[5] ),
-    .Y(_04766_),
+ sky130_fd_sc_hd__buf_2 _10110_ (.A(_00055_),
+    .X(_00930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10195_ (.A(_04763_),
-    .B(_04764_),
-    .C(_04765_),
-    .D(_04766_),
+ sky130_fd_sc_hd__or2_4 _10111_ (.A(\u_spictrl.u_rxreg.data_int[29] ),
+    .B(_04760_),
+    .X(_04765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10112_ (.A(_04720_),
+    .X(_04766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10113_ (.A(_04766_),
     .X(_04767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10196_ (.A(_04760_),
-    .B(_04761_),
-    .C(_04762_),
-    .D(_04767_),
+ sky130_fd_sc_hd__o22a_4 _10114_ (.A1(\u_spictrl.u_rxreg.data_int[28] ),
+    .A2(_03742_),
+    .B1(\u_spictrl.u_rxreg.data_int[27] ),
+    .B2(_03732_),
     .X(_04768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10197_ (.A(_04759_),
-    .B(_04768_),
+ sky130_fd_sc_hd__buf_2 _10115_ (.A(_03747_),
     .X(_04769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10198_ (.A(\u_spictrl.u_rxreg.counter[10] ),
-    .Y(_04770_),
+ sky130_fd_sc_hd__o22a_4 _10116_ (.A1(_03727_),
+    .A2(_04768_),
+    .B1(\u_spictrl.u_rxreg.data_int[25] ),
+    .B2(_04769_),
+    .X(_04770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10199_ (.A(\u_spictrl.u_rxreg.counter[9] ),
-    .Y(_04771_),
+ sky130_fd_sc_hd__or2_4 _10117_ (.A(_04767_),
+    .B(_04770_),
+    .X(_04771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10200_ (.A(\u_spictrl.u_rxreg.counter[8] ),
-    .Y(_04772_),
+ sky130_fd_sc_hd__and3_4 _10118_ (.A(_04707_),
+    .B(_04765_),
+    .C(_04771_),
+    .X(_00054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10201_ (.A(\u_spictrl.u_rxreg.counter[7] ),
-    .Y(_04773_),
+ sky130_fd_sc_hd__buf_2 _10119_ (.A(_00054_),
+    .X(_00929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10202_ (.A(_04770_),
-    .B(_04771_),
-    .C(_04772_),
-    .D(_04773_),
+ sky130_fd_sc_hd__buf_2 _10120_ (.A(_04651_),
+    .X(_04772_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10121_ (.A(\u_spictrl.u_rxreg.data_int[28] ),
+    .B(_04760_),
+    .X(_04773_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10122_ (.A(_03725_),
     .X(_04774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10203_ (.A(_04769_),
-    .B(_04774_),
+ sky130_fd_sc_hd__buf_2 _10123_ (.A(_04774_),
     .X(_04775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10204_ (.A(_04758_),
-    .B(_04775_),
+ sky130_fd_sc_hd__buf_2 _10124_ (.A(_03731_),
     .X(_04776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10205_ (.A(_04776_),
-    .Y(_04777_),
+ sky130_fd_sc_hd__o22a_4 _10125_ (.A1(\u_spictrl.u_rxreg.data_int[27] ),
+    .A2(_03742_),
+    .B1(\u_spictrl.u_rxreg.data_int[26] ),
+    .B2(_04776_),
+    .X(_04777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10206_ (.A(\u_spictrl.u_rxreg.counter[12] ),
-    .B(_04777_),
+ sky130_fd_sc_hd__o22a_4 _10126_ (.A1(_04775_),
+    .A2(_04777_),
+    .B1(\u_spictrl.u_rxreg.data_int[24] ),
+    .B2(_04769_),
     .X(_04778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10207_ (.A(_04778_),
+ sky130_fd_sc_hd__or2_4 _10127_ (.A(_04767_),
+    .B(_04778_),
     .X(_04779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _10208_ (.A(\u_spictrl.u_rxreg.counter[13] ),
-    .B(_04779_),
-    .Y(_04780_),
+ sky130_fd_sc_hd__and3_4 _10128_ (.A(_04772_),
+    .B(_04773_),
+    .C(_04779_),
+    .X(_00053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10209_ (.A(_04757_),
-    .B(_04780_),
+ sky130_fd_sc_hd__buf_2 _10129_ (.A(_00053_),
+    .X(_00928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10130_ (.A(\u_spictrl.u_rxreg.data_int[27] ),
+    .B(_04760_),
+    .X(_04780_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10131_ (.A(_03715_),
     .X(_04781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10210_ (.A(\u_spictrl.rx_done ),
-    .Y(_04782_),
+ sky130_fd_sc_hd__o22a_4 _10132_ (.A1(\u_spictrl.u_rxreg.data_int[26] ),
+    .A2(_04781_),
+    .B1(\u_spictrl.u_rxreg.data_int[25] ),
+    .B2(_04776_),
+    .X(_04782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10211_ (.A(_04759_),
+ sky130_fd_sc_hd__o22a_4 _10133_ (.A1(_04775_),
+    .A2(_04782_),
+    .B1(\u_spictrl.u_rxreg.data_int[23] ),
+    .B2(_04769_),
     .X(_04783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10212_ (.A(_04782_),
+ sky130_fd_sc_hd__or2_4 _10134_ (.A(_04767_),
     .B(_04783_),
     .X(_04784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10213_ (.A(_04784_),
-    .Y(_04785_),
+ sky130_fd_sc_hd__and3_4 _10135_ (.A(_04772_),
+    .B(_04780_),
+    .C(_04784_),
+    .X(_00052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10214_ (.A(_04785_),
+ sky130_fd_sc_hd__buf_2 _10136_ (.A(_00052_),
+    .X(_00927_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10137_ (.A(_04759_),
+    .X(_04785_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10138_ (.A(\u_spictrl.u_rxreg.data_int[26] ),
+    .B(_04785_),
     .X(_04786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10215_ (.A(_04786_),
+ sky130_fd_sc_hd__o22a_4 _10139_ (.A1(\u_spictrl.u_rxreg.data_int[25] ),
+    .A2(_04781_),
+    .B1(\u_spictrl.u_rxreg.data_int[24] ),
+    .B2(_04776_),
     .X(_04787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10216_ (.A(_04787_),
+ sky130_fd_sc_hd__o22a_4 _10140_ (.A1(_04775_),
+    .A2(_04787_),
+    .B1(\u_spictrl.u_rxreg.data_int[22] ),
+    .B2(_04769_),
     .X(_04788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10217_ (.A(_04781_),
-    .Y(_04789_),
+ sky130_fd_sc_hd__or2_4 _10141_ (.A(_04767_),
+    .B(_04788_),
+    .X(_04789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10218_ (.A(\u_spictrl.u_rxreg.counter[15] ),
-    .B(_04789_),
+ sky130_fd_sc_hd__and3_4 _10142_ (.A(_04772_),
+    .B(_04786_),
+    .C(_04789_),
+    .X(_00049_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10143_ (.A(_00049_),
+    .X(_00926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10144_ (.A(\u_spictrl.u_rxreg.data_int[25] ),
+    .B(_04785_),
     .X(_04790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10219_ (.A1(_04756_),
-    .A2(_04781_),
-    .B1(_04788_),
-    .C1(_04790_),
+ sky130_fd_sc_hd__buf_2 _10145_ (.A(_04766_),
     .X(_04791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10220_ (.A(_04791_),
-    .Y(_04792_),
+ sky130_fd_sc_hd__o22a_4 _10146_ (.A1(\u_spictrl.u_rxreg.data_int[24] ),
+    .A2(_04781_),
+    .B1(\u_spictrl.u_rxreg.data_int[23] ),
+    .B2(_04776_),
+    .X(_04792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10221_ (.A(_04755_),
-    .B(_04792_),
-    .X(_00947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10222_ (.A(_04750_),
+ sky130_fd_sc_hd__buf_2 _10147_ (.A(_03747_),
     .X(_04793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10223_ (.A1(_04757_),
-    .A2(_04780_),
-    .B1(_04789_),
-    .C1(_04788_),
+ sky130_fd_sc_hd__o22a_4 _10148_ (.A1(_04775_),
+    .A2(_04792_),
+    .B1(\u_spictrl.u_rxreg.data_int[21] ),
+    .B2(_04793_),
     .X(_04794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10224_ (.A(_04793_),
+ sky130_fd_sc_hd__or2_4 _10149_ (.A(_04791_),
     .B(_04794_),
-    .Y(_00946_),
+    .X(_04795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _10225_ (.A1(\u_spictrl.u_rxreg.counter[13] ),
-    .A2(_04779_),
-    .B1(_04780_),
-    .Y(_04795_),
+ sky130_fd_sc_hd__and3_4 _10150_ (.A(_04772_),
+    .B(_04790_),
+    .C(_04795_),
+    .X(_00038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10226_ (.A(_04788_),
-    .B(_04795_),
+ sky130_fd_sc_hd__buf_2 _10151_ (.A(_00038_),
+    .X(_00925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10152_ (.A(_04646_),
     .X(_04796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10227_ (.A(_04793_),
-    .B(_04796_),
-    .Y(_00945_),
+ sky130_fd_sc_hd__buf_2 _10153_ (.A(_04796_),
+    .X(_04797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10228_ (.A(\u_spictrl.u_rxreg.counter[12] ),
-    .Y(_04797_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10229_ (.A1(_04797_),
-    .A2(_04776_),
-    .B1(_04779_),
-    .C1(_04787_),
+ sky130_fd_sc_hd__or2_4 _10154_ (.A(\u_spictrl.u_rxreg.data_int[24] ),
+    .B(_04785_),
     .X(_04798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10230_ (.A(_04793_),
-    .B(_04798_),
-    .Y(_00944_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10231_ (.A1(_04758_),
-    .A2(_04775_),
-    .B1(_04777_),
-    .C1(_04787_),
+ sky130_fd_sc_hd__buf_2 _10155_ (.A(_04774_),
     .X(_04799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10232_ (.A(_04793_),
-    .B(_04799_),
-    .Y(_00943_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10233_ (.A(_04773_),
-    .B(_04769_),
+ sky130_fd_sc_hd__buf_2 _10156_ (.A(_03731_),
     .X(_04800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10234_ (.A(_04772_),
-    .B(_04800_),
+ sky130_fd_sc_hd__o22a_4 _10157_ (.A1(\u_spictrl.u_rxreg.data_int[23] ),
+    .A2(_04781_),
+    .B1(\u_spictrl.u_rxreg.data_int[22] ),
+    .B2(_04800_),
     .X(_04801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10235_ (.A(_04801_),
-    .Y(_04802_),
+ sky130_fd_sc_hd__o22a_4 _10158_ (.A1(_04799_),
+    .A2(_04801_),
+    .B1(\u_spictrl.u_rxreg.data_int[20] ),
+    .B2(_04793_),
+    .X(_04802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10236_ (.A(\u_spictrl.u_rxreg.counter[9] ),
+ sky130_fd_sc_hd__or2_4 _10159_ (.A(_04791_),
     .B(_04802_),
     .X(_04803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10237_ (.A(_04783_),
+ sky130_fd_sc_hd__and3_4 _10160_ (.A(_04797_),
+    .B(_04798_),
+    .C(_04803_),
+    .X(_00027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10161_ (.A(_00027_),
+    .X(_00924_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10162_ (.A(\u_spictrl.u_rxreg.data_int[23] ),
+    .B(_04785_),
     .X(_04804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10238_ (.A(_04782_),
+ sky130_fd_sc_hd__buf_2 _10163_ (.A(_03715_),
     .X(_04805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _10239_ (.A1(_04768_),
-    .A2(_04774_),
-    .B1(_04805_),
+ sky130_fd_sc_hd__o22a_4 _10164_ (.A1(\u_spictrl.u_rxreg.data_int[22] ),
+    .A2(_04805_),
+    .B1(\u_spictrl.u_rxreg.data_int[21] ),
+    .B2(_04800_),
     .X(_04806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10240_ (.A1(\u_spictrl.u_rxreg.counter[10] ),
-    .A2(_04803_),
-    .B1(_04804_),
-    .B2(_04806_),
+ sky130_fd_sc_hd__o22a_4 _10165_ (.A1(_04799_),
+    .A2(_04806_),
+    .B1(\u_spictrl.u_rxreg.data_int[19] ),
+    .B2(_04793_),
     .X(_04807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10241_ (.A(_04755_),
+ sky130_fd_sc_hd__or2_4 _10166_ (.A(_04791_),
     .B(_04807_),
-    .X(_00942_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10242_ (.A(_04749_),
     .X(_04808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10243_ (.A1(_04771_),
-    .A2(_04801_),
-    .B1(_04788_),
-    .C1(_04803_),
+ sky130_fd_sc_hd__and3_4 _10167_ (.A(_04797_),
+    .B(_04804_),
+    .C(_04808_),
+    .X(_00033_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10168_ (.A(_00033_),
+    .X(_00923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10169_ (.A(_04759_),
     .X(_04809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10244_ (.A(_04808_),
+ sky130_fd_sc_hd__or2_4 _10170_ (.A(\u_spictrl.u_rxreg.data_int[22] ),
     .B(_04809_),
-    .Y(_00941_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10245_ (.A1(_04772_),
-    .A2(_04800_),
-    .B1(_04787_),
-    .C1(_04802_),
     .X(_04810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10246_ (.A(_04808_),
-    .B(_04810_),
-    .Y(_00940_),
+ sky130_fd_sc_hd__o22a_4 _10171_ (.A1(\u_spictrl.u_rxreg.data_int[21] ),
+    .A2(_04805_),
+    .B1(\u_spictrl.u_rxreg.data_int[20] ),
+    .B2(_04800_),
+    .X(_04811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10247_ (.A(_04800_),
-    .Y(_04811_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10248_ (.A1(_04773_),
-    .A2(_04769_),
-    .B1(_04811_),
-    .C1(_04786_),
+ sky130_fd_sc_hd__o22a_4 _10172_ (.A1(_04799_),
+    .A2(_04811_),
+    .B1(\u_spictrl.u_rxreg.data_int[18] ),
+    .B2(_04793_),
     .X(_04812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10249_ (.A(_04808_),
+ sky130_fd_sc_hd__or2_4 _10173_ (.A(_04791_),
     .B(_04812_),
-    .Y(_00939_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10250_ (.A(_04762_),
     .X(_04813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10251_ (.A(_04760_),
-    .B(_04761_),
+ sky130_fd_sc_hd__and3_4 _10174_ (.A(_04797_),
+    .B(_04810_),
     .C(_04813_),
-    .D(_04759_),
+    .X(_00032_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10175_ (.A(_00032_),
+    .X(_00922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10176_ (.A(\u_spictrl.u_rxreg.data_int[21] ),
+    .B(_04809_),
     .X(_04814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10252_ (.A(_04764_),
-    .B(_04814_),
+ sky130_fd_sc_hd__buf_2 _10177_ (.A(_04766_),
     .X(_04815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10253_ (.A(_04763_),
-    .B(_04815_),
+ sky130_fd_sc_hd__o22a_4 _10178_ (.A1(\u_spictrl.u_rxreg.data_int[20] ),
+    .A2(_04805_),
+    .B1(\u_spictrl.u_rxreg.data_int[19] ),
+    .B2(_04800_),
     .X(_04816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10254_ (.A(_04816_),
-    .Y(_04817_),
+ sky130_fd_sc_hd__buf_2 _10179_ (.A(_03746_),
+    .X(_04817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10255_ (.A(\u_spictrl.u_rxreg.counter[5] ),
-    .B(_04817_),
+ sky130_fd_sc_hd__buf_2 _10180_ (.A(_04817_),
     .X(_04818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10256_ (.A(_04782_),
-    .B(_04768_),
+ sky130_fd_sc_hd__o22a_4 _10181_ (.A1(_04799_),
+    .A2(_04816_),
+    .B1(\u_spictrl.u_rxreg.data_int[17] ),
+    .B2(_04818_),
     .X(_04819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10257_ (.A1(\u_spictrl.u_rxreg.counter[6] ),
-    .A2(_04818_),
-    .B1(_04783_),
-    .B2(_04819_),
+ sky130_fd_sc_hd__or2_4 _10182_ (.A(_04815_),
+    .B(_04819_),
     .X(_04820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10258_ (.A(_04755_),
-    .B(_04820_),
-    .X(_00938_),
+ sky130_fd_sc_hd__and3_4 _10183_ (.A(_04797_),
+    .B(_04814_),
+    .C(_04820_),
+    .X(_00031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10259_ (.A1(_04766_),
-    .A2(_04816_),
-    .B1(_04818_),
-    .C1(_04786_),
+ sky130_fd_sc_hd__buf_2 _10184_ (.A(_00031_),
+    .X(_00921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10185_ (.A(_04796_),
     .X(_04821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10260_ (.A(_04808_),
-    .B(_04821_),
-    .Y(_00937_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10261_ (.A1(_04763_),
-    .A2(_04815_),
-    .B1(_04817_),
-    .C1(_04786_),
+ sky130_fd_sc_hd__or2_4 _10186_ (.A(\u_spictrl.u_rxreg.data_int[20] ),
+    .B(_04809_),
     .X(_04822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10262_ (.A(_04750_),
-    .B(_04822_),
-    .Y(_00936_),
+ sky130_fd_sc_hd__buf_2 _10187_ (.A(_04774_),
+    .X(_04823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10263_ (.A(_04815_),
-    .Y(_04823_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10264_ (.A1(_04764_),
-    .A2(_04814_),
-    .B1(_04823_),
-    .C1(_04785_),
+ sky130_fd_sc_hd__buf_2 _10188_ (.A(_03730_),
     .X(_04824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10265_ (.A(_04824_),
-    .Y(_04825_),
+ sky130_fd_sc_hd__buf_2 _10189_ (.A(_04824_),
+    .X(_04825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10266_ (.A(_04755_),
-    .B(_04825_),
-    .X(_00935_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10267_ (.A(_04813_),
-    .B(_04759_),
+ sky130_fd_sc_hd__o22a_4 _10190_ (.A1(\u_spictrl.u_rxreg.data_int[19] ),
+    .A2(_04805_),
+    .B1(\u_spictrl.u_rxreg.data_int[18] ),
+    .B2(_04825_),
     .X(_04826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10268_ (.A(_04826_),
-    .Y(_04827_),
+ sky130_fd_sc_hd__o22a_4 _10191_ (.A1(_04823_),
+    .A2(_04826_),
+    .B1(\u_spictrl.u_rxreg.data_int[16] ),
+    .B2(_04818_),
+    .X(_04827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10269_ (.A(\u_spictrl.u_rxreg.counter[1] ),
+ sky130_fd_sc_hd__or2_4 _10192_ (.A(_04815_),
     .B(_04827_),
     .X(_04828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10270_ (.A(\u_spictrl.u_rxreg.counter[2] ),
-    .B(_04828_),
-    .X(_04829_),
+ sky130_fd_sc_hd__and3_4 _10193_ (.A(_04821_),
+    .B(_04822_),
+    .C(_04828_),
+    .X(_00030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10271_ (.A(_04814_),
-    .B(_04784_),
-    .C(_04829_),
-    .X(_04830_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10272_ (.A(_04714_),
-    .B(_04830_),
-    .X(_00934_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10273_ (.A1(_04761_),
-    .A2(_04826_),
-    .B1(_04785_),
-    .C1(_04828_),
-    .X(_04831_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10274_ (.A(_04831_),
-    .Y(_04832_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10275_ (.A(_04714_),
-    .B(_04832_),
-    .X(_00933_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10276_ (.A1(_04813_),
-    .A2(_04783_),
-    .B1(_04785_),
-    .C1(_04827_),
-    .X(_04833_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10277_ (.A(_04750_),
-    .B(_04833_),
-    .Y(_00932_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10278_ (.A(_04804_),
-    .Y(_04834_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10279_ (.A(_04834_),
-    .X(_04835_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10280_ (.A(_04835_),
-    .X(_04836_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10281_ (.A(\u_spictrl.u_rxreg.data_int[27] ),
-    .B(_03744_),
-    .X(_04837_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10282_ (.A(_03708_),
-    .X(_04838_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10283_ (.A1(\u_spictrl.u_rxreg.data_int[30] ),
-    .A2(_03711_),
-    .B1(\u_spictrl.u_rxreg.data_int[29] ),
-    .B2(_04838_),
-    .X(_04839_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10284_ (.A(_04705_),
-    .B(_04839_),
-    .X(_04840_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10285_ (.A(_04804_),
-    .X(_04841_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10286_ (.A1(_04836_),
-    .A2(_04837_),
-    .A3(_04840_),
-    .B1(\u_spictrl.u_rxreg.data_int[31] ),
-    .B2(_04841_),
-    .X(_04842_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10287_ (.A(_04733_),
-    .B(_04842_),
-    .X(_00055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10288_ (.A(_00055_),
-    .X(_00931_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10289_ (.A(_04836_),
-    .X(_04843_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10290_ (.A(_03709_),
-    .X(_04844_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10291_ (.A(_04844_),
-    .X(_04845_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10292_ (.A1(\u_spictrl.u_rxreg.data_int[29] ),
-    .A2(_04845_),
-    .B1(\u_spictrl.u_rxreg.data_int[28] ),
-    .B2(_03752_),
-    .X(_04846_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10293_ (.A1(_03758_),
-    .A2(_04846_),
-    .B1(\u_spictrl.u_rxreg.data_int[26] ),
-    .B2(_03745_),
-    .X(_04847_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10294_ (.A(_04847_),
-    .Y(_04848_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10295_ (.A(_04835_),
-    .X(_04849_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10296_ (.A(_04849_),
-    .X(_04850_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10297_ (.A(\u_spictrl.u_rxreg.data_int[30] ),
-    .B(_04850_),
-    .Y(_04851_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10298_ (.A1(_04843_),
-    .A2(_04848_),
-    .B1(_04749_),
-    .C1(_04851_),
-    .X(_04852_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10299_ (.A(_04852_),
-    .Y(_00054_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10300_ (.A(_00054_),
-    .X(_00930_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10301_ (.A(_03757_),
-    .X(_04853_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10302_ (.A(_03751_),
-    .X(_04854_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10303_ (.A1(\u_spictrl.u_rxreg.data_int[28] ),
-    .A2(_04845_),
-    .B1(\u_spictrl.u_rxreg.data_int[27] ),
-    .B2(_04854_),
-    .X(_04855_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10304_ (.A(_03744_),
-    .X(_04856_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10305_ (.A1(_04853_),
-    .A2(_04855_),
-    .B1(\u_spictrl.u_rxreg.data_int[25] ),
-    .B2(_04856_),
-    .X(_04857_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10306_ (.A(_04857_),
-    .Y(_04858_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10307_ (.A(_04695_),
-    .X(_04859_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10308_ (.A(_04859_),
-    .X(_04860_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10309_ (.A(_04849_),
-    .X(_04861_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10310_ (.A(\u_spictrl.u_rxreg.data_int[29] ),
-    .B(_04861_),
-    .Y(_04862_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10311_ (.A1(_04843_),
-    .A2(_04858_),
-    .B1(_04860_),
-    .C1(_04862_),
-    .X(_04863_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10312_ (.A(_04863_),
-    .Y(_00053_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10313_ (.A(_00053_),
-    .X(_00929_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10314_ (.A1(\u_spictrl.u_rxreg.data_int[27] ),
-    .A2(_04845_),
-    .B1(\u_spictrl.u_rxreg.data_int[26] ),
-    .B2(_04854_),
-    .X(_04864_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10315_ (.A1(_04853_),
-    .A2(_04864_),
-    .B1(\u_spictrl.u_rxreg.data_int[24] ),
-    .B2(_04856_),
-    .X(_04865_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10316_ (.A(_04865_),
-    .Y(_04866_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10317_ (.A(\u_spictrl.u_rxreg.data_int[28] ),
-    .B(_04861_),
-    .Y(_04867_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10318_ (.A1(_04843_),
-    .A2(_04866_),
-    .B1(_04860_),
-    .C1(_04867_),
-    .X(_04868_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10319_ (.A(_04868_),
-    .Y(_00052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10320_ (.A(_00052_),
-    .X(_00928_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10321_ (.A1(\u_spictrl.u_rxreg.data_int[26] ),
-    .A2(_04845_),
-    .B1(\u_spictrl.u_rxreg.data_int[25] ),
-    .B2(_04854_),
-    .X(_04869_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10322_ (.A1(_04853_),
-    .A2(_04869_),
-    .B1(\u_spictrl.u_rxreg.data_int[23] ),
-    .B2(_04856_),
-    .X(_04870_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10323_ (.A(_04870_),
-    .Y(_04871_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10324_ (.A(\u_spictrl.u_rxreg.data_int[27] ),
-    .B(_04861_),
-    .Y(_04872_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10325_ (.A1(_04843_),
-    .A2(_04871_),
-    .B1(_04860_),
-    .C1(_04872_),
-    .X(_04873_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10326_ (.A(_04873_),
-    .Y(_00051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10327_ (.A(_00051_),
-    .X(_00927_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10328_ (.A(_04835_),
-    .X(_04874_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10329_ (.A(_04874_),
-    .X(_04875_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10330_ (.A(_04844_),
-    .X(_04876_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10331_ (.A1(\u_spictrl.u_rxreg.data_int[25] ),
-    .A2(_04876_),
-    .B1(\u_spictrl.u_rxreg.data_int[24] ),
-    .B2(_04854_),
-    .X(_04877_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10332_ (.A1(_04853_),
-    .A2(_04877_),
-    .B1(\u_spictrl.u_rxreg.data_int[22] ),
-    .B2(_04856_),
-    .X(_04878_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10333_ (.A(_04878_),
-    .Y(_04879_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10334_ (.A(\u_spictrl.u_rxreg.data_int[26] ),
-    .B(_04861_),
-    .Y(_04880_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10335_ (.A1(_04875_),
-    .A2(_04879_),
-    .B1(_04860_),
-    .C1(_04880_),
-    .X(_04881_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10336_ (.A(_04881_),
-    .Y(_00048_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10337_ (.A(_00048_),
-    .X(_00926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10338_ (.A(_03757_),
-    .X(_04882_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10339_ (.A(_03751_),
-    .X(_04883_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10340_ (.A1(\u_spictrl.u_rxreg.data_int[24] ),
-    .A2(_04876_),
-    .B1(\u_spictrl.u_rxreg.data_int[23] ),
-    .B2(_04883_),
-    .X(_04884_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10341_ (.A(_03744_),
-    .X(_04885_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10342_ (.A1(_04882_),
-    .A2(_04884_),
-    .B1(\u_spictrl.u_rxreg.data_int[21] ),
-    .B2(_04885_),
-    .X(_04886_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10343_ (.A(_04886_),
-    .Y(_04887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10344_ (.A(_04859_),
-    .X(_04888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10345_ (.A(_04849_),
-    .X(_04889_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10346_ (.A(\u_spictrl.u_rxreg.data_int[25] ),
-    .B(_04889_),
-    .Y(_04890_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10347_ (.A1(_04875_),
-    .A2(_04887_),
-    .B1(_04888_),
-    .C1(_04890_),
-    .X(_04891_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10348_ (.A(_04891_),
-    .Y(_00037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10349_ (.A(_00037_),
-    .X(_00925_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10350_ (.A1(\u_spictrl.u_rxreg.data_int[23] ),
-    .A2(_04876_),
-    .B1(\u_spictrl.u_rxreg.data_int[22] ),
-    .B2(_04883_),
-    .X(_04892_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10351_ (.A1(_04882_),
-    .A2(_04892_),
-    .B1(\u_spictrl.u_rxreg.data_int[20] ),
-    .B2(_04885_),
-    .X(_04893_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10352_ (.A(_04893_),
-    .Y(_04894_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10353_ (.A(\u_spictrl.u_rxreg.data_int[24] ),
-    .B(_04889_),
-    .Y(_04895_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10354_ (.A1(_04875_),
-    .A2(_04894_),
-    .B1(_04888_),
-    .C1(_04895_),
-    .X(_04896_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10355_ (.A(_04896_),
-    .Y(_00026_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10356_ (.A(_00026_),
-    .X(_00924_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10357_ (.A1(\u_spictrl.u_rxreg.data_int[22] ),
-    .A2(_04876_),
-    .B1(\u_spictrl.u_rxreg.data_int[21] ),
-    .B2(_04883_),
-    .X(_04897_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10358_ (.A1(_04882_),
-    .A2(_04897_),
-    .B1(\u_spictrl.u_rxreg.data_int[19] ),
-    .B2(_04885_),
-    .X(_04898_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10359_ (.A(_04898_),
-    .Y(_04899_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10360_ (.A(\u_spictrl.u_rxreg.data_int[23] ),
-    .B(_04889_),
-    .Y(_04900_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10361_ (.A1(_04875_),
-    .A2(_04899_),
-    .B1(_04888_),
-    .C1(_04900_),
-    .X(_04901_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10362_ (.A(_04901_),
-    .Y(_00032_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10363_ (.A(_00032_),
-    .X(_00923_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10364_ (.A(_04874_),
-    .X(_04902_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10365_ (.A(_04844_),
-    .X(_04903_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10366_ (.A1(\u_spictrl.u_rxreg.data_int[21] ),
-    .A2(_04903_),
-    .B1(\u_spictrl.u_rxreg.data_int[20] ),
-    .B2(_04883_),
-    .X(_04904_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10367_ (.A1(_04882_),
-    .A2(_04904_),
-    .B1(\u_spictrl.u_rxreg.data_int[18] ),
-    .B2(_04885_),
-    .X(_04905_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10368_ (.A(_04905_),
-    .Y(_04906_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10369_ (.A(\u_spictrl.u_rxreg.data_int[22] ),
-    .B(_04889_),
-    .Y(_04907_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10370_ (.A1(_04902_),
-    .A2(_04906_),
-    .B1(_04888_),
-    .C1(_04907_),
-    .X(_04908_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10371_ (.A(_04908_),
-    .Y(_00031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10372_ (.A(_00031_),
-    .X(_00922_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10373_ (.A(_03757_),
-    .X(_04909_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10374_ (.A(_03708_),
-    .X(_04910_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10375_ (.A(_04910_),
-    .X(_04911_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10376_ (.A1(\u_spictrl.u_rxreg.data_int[20] ),
-    .A2(_04903_),
-    .B1(\u_spictrl.u_rxreg.data_int[19] ),
-    .B2(_04911_),
-    .X(_04912_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10377_ (.A(_03713_),
-    .X(_04913_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10378_ (.A(_04913_),
-    .X(_04914_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10379_ (.A1(_04909_),
-    .A2(_04912_),
-    .B1(\u_spictrl.u_rxreg.data_int[17] ),
-    .B2(_04914_),
-    .X(_04915_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10380_ (.A(_04915_),
-    .Y(_04916_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10381_ (.A(_04695_),
-    .X(_04917_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10382_ (.A(_04917_),
-    .X(_04918_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10383_ (.A(_04834_),
-    .X(_04919_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10384_ (.A(_04919_),
-    .X(_04920_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10385_ (.A(\u_spictrl.u_rxreg.data_int[21] ),
-    .B(_04920_),
-    .Y(_04921_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10386_ (.A1(_04902_),
-    .A2(_04916_),
-    .B1(_04918_),
-    .C1(_04921_),
-    .X(_04922_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10387_ (.A(_04922_),
-    .Y(_00030_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10388_ (.A(_00030_),
-    .X(_00921_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10389_ (.A1(\u_spictrl.u_rxreg.data_int[19] ),
-    .A2(_04903_),
-    .B1(\u_spictrl.u_rxreg.data_int[18] ),
-    .B2(_04911_),
-    .X(_04923_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10390_ (.A1(_04909_),
-    .A2(_04923_),
-    .B1(\u_spictrl.u_rxreg.data_int[16] ),
-    .B2(_04914_),
-    .X(_04924_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10391_ (.A(_04924_),
-    .Y(_04925_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10392_ (.A(\u_spictrl.u_rxreg.data_int[20] ),
-    .B(_04920_),
-    .Y(_04926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10393_ (.A1(_04902_),
-    .A2(_04925_),
-    .B1(_04918_),
-    .C1(_04926_),
-    .X(_04927_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10394_ (.A(_04927_),
-    .Y(_00029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10395_ (.A(_00029_),
+ sky130_fd_sc_hd__buf_2 _10194_ (.A(_00030_),
     .X(_00920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10396_ (.A1(\u_spictrl.u_rxreg.data_int[18] ),
-    .A2(_04903_),
+ sky130_fd_sc_hd__or2_4 _10195_ (.A(\u_spictrl.u_rxreg.data_int[19] ),
+    .B(_04809_),
+    .X(_04829_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10196_ (.A(_03714_),
+    .X(_04830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10197_ (.A(_04830_),
+    .X(_04831_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10198_ (.A1(\u_spictrl.u_rxreg.data_int[18] ),
+    .A2(_04831_),
     .B1(\u_spictrl.u_rxreg.data_int[17] ),
-    .B2(_04911_),
-    .X(_04928_),
+    .B2(_04825_),
+    .X(_04832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10397_ (.A1(_04909_),
-    .A2(_04928_),
+ sky130_fd_sc_hd__o22a_4 _10199_ (.A1(_04823_),
+    .A2(_04832_),
     .B1(\u_spictrl.u_rxreg.data_int[15] ),
-    .B2(_04914_),
-    .X(_04929_),
+    .B2(_04818_),
+    .X(_04833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10398_ (.A(_04929_),
-    .Y(_04930_),
+ sky130_fd_sc_hd__or2_4 _10200_ (.A(_04815_),
+    .B(_04833_),
+    .X(_04834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10399_ (.A(\u_spictrl.u_rxreg.data_int[19] ),
-    .B(_04920_),
-    .Y(_04931_),
+ sky130_fd_sc_hd__and3_4 _10201_ (.A(_04821_),
+    .B(_04829_),
+    .C(_04834_),
+    .X(_00029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10400_ (.A1(_04902_),
-    .A2(_04930_),
-    .B1(_04918_),
-    .C1(_04931_),
-    .X(_04932_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10401_ (.A(_04932_),
-    .Y(_00028_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10402_ (.A(_00028_),
+ sky130_fd_sc_hd__buf_2 _10202_ (.A(_00029_),
     .X(_00919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10403_ (.A(_04874_),
-    .X(_04933_),
+ sky130_fd_sc_hd__buf_2 _10203_ (.A(_04759_),
+    .X(_04835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10404_ (.A(_04844_),
-    .X(_04934_),
+ sky130_fd_sc_hd__or2_4 _10204_ (.A(\u_spictrl.u_rxreg.data_int[18] ),
+    .B(_04835_),
+    .X(_04836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10405_ (.A1(\u_spictrl.u_rxreg.data_int[17] ),
-    .A2(_04934_),
+ sky130_fd_sc_hd__o22a_4 _10205_ (.A1(\u_spictrl.u_rxreg.data_int[17] ),
+    .A2(_04831_),
     .B1(\u_spictrl.u_rxreg.data_int[16] ),
-    .B2(_04911_),
-    .X(_04935_),
+    .B2(_04825_),
+    .X(_04837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10406_ (.A1(_04909_),
-    .A2(_04935_),
+ sky130_fd_sc_hd__o22a_4 _10206_ (.A1(_04823_),
+    .A2(_04837_),
     .B1(\u_spictrl.u_rxreg.data_int[14] ),
-    .B2(_04914_),
-    .X(_04936_),
+    .B2(_04818_),
+    .X(_04838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10407_ (.A(_04936_),
-    .Y(_04937_),
+ sky130_fd_sc_hd__or2_4 _10207_ (.A(_04815_),
+    .B(_04838_),
+    .X(_04839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10408_ (.A(\u_spictrl.u_rxreg.data_int[18] ),
-    .B(_04920_),
-    .Y(_04938_),
+ sky130_fd_sc_hd__and3_4 _10208_ (.A(_04821_),
+    .B(_04836_),
+    .C(_04839_),
+    .X(_00028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10409_ (.A1(_04933_),
-    .A2(_04937_),
-    .B1(_04918_),
-    .C1(_04938_),
-    .X(_04939_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10410_ (.A(_04939_),
-    .Y(_00027_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10411_ (.A(_00027_),
+ sky130_fd_sc_hd__buf_2 _10209_ (.A(_00028_),
     .X(_00918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10412_ (.A(_04704_),
-    .X(_04940_),
+ sky130_fd_sc_hd__or2_4 _10210_ (.A(\u_spictrl.u_rxreg.data_int[17] ),
+    .B(_04835_),
+    .X(_04840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10413_ (.A(_04910_),
-    .X(_04941_),
+ sky130_fd_sc_hd__buf_2 _10211_ (.A(_04766_),
+    .X(_04841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10414_ (.A1(\u_spictrl.u_rxreg.data_int[16] ),
-    .A2(_04934_),
+ sky130_fd_sc_hd__o22a_4 _10212_ (.A1(\u_spictrl.u_rxreg.data_int[16] ),
+    .A2(_04831_),
     .B1(\u_spictrl.u_rxreg.data_int[15] ),
-    .B2(_04941_),
-    .X(_04942_),
+    .B2(_04825_),
+    .X(_04842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10415_ (.A(_04913_),
-    .X(_04943_),
+ sky130_fd_sc_hd__buf_2 _10213_ (.A(_04817_),
+    .X(_04843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10416_ (.A1(_04940_),
-    .A2(_04942_),
+ sky130_fd_sc_hd__o22a_4 _10214_ (.A1(_04823_),
+    .A2(_04842_),
     .B1(\u_spictrl.u_rxreg.data_int[13] ),
-    .B2(_04943_),
-    .X(_04944_),
+    .B2(_04843_),
+    .X(_04844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10417_ (.A(_04944_),
-    .Y(_04945_),
+ sky130_fd_sc_hd__or2_4 _10215_ (.A(_04841_),
+    .B(_04844_),
+    .X(_04845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10418_ (.A(_04917_),
-    .X(_04946_),
+ sky130_fd_sc_hd__and3_4 _10216_ (.A(_04821_),
+    .B(_04840_),
+    .C(_04845_),
+    .X(_00058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10419_ (.A(_04919_),
-    .X(_04947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10420_ (.A(\u_spictrl.u_rxreg.data_int[17] ),
-    .B(_04947_),
-    .Y(_04948_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10421_ (.A1(_04933_),
-    .A2(_04945_),
-    .B1(_04946_),
-    .C1(_04948_),
-    .X(_04949_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10422_ (.A(_04949_),
-    .Y(_00057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10423_ (.A(_00057_),
+ sky130_fd_sc_hd__buf_2 _10217_ (.A(_00058_),
     .X(_00917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10424_ (.A1(\u_spictrl.u_rxreg.data_int[15] ),
-    .A2(_04934_),
+ sky130_fd_sc_hd__buf_2 _10218_ (.A(_04796_),
+    .X(_04846_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10219_ (.A(\u_spictrl.u_rxreg.data_int[16] ),
+    .B(_04835_),
+    .X(_04847_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10220_ (.A(_04774_),
+    .X(_04848_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10221_ (.A(_04824_),
+    .X(_04849_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10222_ (.A1(\u_spictrl.u_rxreg.data_int[15] ),
+    .A2(_04831_),
     .B1(\u_spictrl.u_rxreg.data_int[14] ),
-    .B2(_04941_),
-    .X(_04950_),
+    .B2(_04849_),
+    .X(_04850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10425_ (.A1(_04940_),
-    .A2(_04950_),
+ sky130_fd_sc_hd__o22a_4 _10223_ (.A1(_04848_),
+    .A2(_04850_),
     .B1(\u_spictrl.u_rxreg.data_int[12] ),
-    .B2(_04943_),
-    .X(_04951_),
+    .B2(_04843_),
+    .X(_04851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10426_ (.A(_04951_),
-    .Y(_04952_),
+ sky130_fd_sc_hd__or2_4 _10224_ (.A(_04841_),
+    .B(_04851_),
+    .X(_04852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10427_ (.A(\u_spictrl.u_rxreg.data_int[16] ),
-    .B(_04947_),
-    .Y(_04953_),
+ sky130_fd_sc_hd__and3_4 _10225_ (.A(_04846_),
+    .B(_04847_),
+    .C(_04852_),
+    .X(_00057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10428_ (.A1(_04933_),
-    .A2(_04952_),
-    .B1(_04946_),
-    .C1(_04953_),
-    .X(_04954_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10429_ (.A(_04954_),
-    .Y(_00056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10430_ (.A(_00056_),
+ sky130_fd_sc_hd__buf_2 _10226_ (.A(_00057_),
     .X(_00916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10431_ (.A1(\u_spictrl.u_rxreg.data_int[14] ),
-    .A2(_04934_),
+ sky130_fd_sc_hd__or2_4 _10227_ (.A(\u_spictrl.u_rxreg.data_int[15] ),
+    .B(_04835_),
+    .X(_04853_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10228_ (.A(_04830_),
+    .X(_04854_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10229_ (.A1(\u_spictrl.u_rxreg.data_int[14] ),
+    .A2(_04854_),
     .B1(\u_spictrl.u_rxreg.data_int[13] ),
-    .B2(_04941_),
-    .X(_04955_),
+    .B2(_04849_),
+    .X(_04855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10432_ (.A1(_04940_),
-    .A2(_04955_),
+ sky130_fd_sc_hd__o22a_4 _10230_ (.A1(_04848_),
+    .A2(_04855_),
     .B1(\u_spictrl.u_rxreg.data_int[11] ),
-    .B2(_04943_),
-    .X(_04956_),
+    .B2(_04843_),
+    .X(_04856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10433_ (.A(_04956_),
-    .Y(_04957_),
+ sky130_fd_sc_hd__or2_4 _10231_ (.A(_04841_),
+    .B(_04856_),
+    .X(_04857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10434_ (.A(\u_spictrl.u_rxreg.data_int[15] ),
-    .B(_04947_),
-    .Y(_04958_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10435_ (.A1(_04933_),
-    .A2(_04957_),
-    .B1(_04946_),
-    .C1(_04958_),
-    .X(_04959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10436_ (.A(_04959_),
-    .Y(_00041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10437_ (.A(_00041_),
-    .X(_00915_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10438_ (.A(_04874_),
-    .X(_04960_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10439_ (.A(_03710_),
-    .X(_04961_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10440_ (.A1(\u_spictrl.u_rxreg.data_int[13] ),
-    .A2(_04961_),
-    .B1(\u_spictrl.u_rxreg.data_int[12] ),
-    .B2(_04941_),
-    .X(_04962_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10441_ (.A1(_04940_),
-    .A2(_04962_),
-    .B1(\u_spictrl.u_rxreg.data_int[10] ),
-    .B2(_04943_),
-    .X(_04963_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10442_ (.A(_04963_),
-    .Y(_04964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10443_ (.A(\u_spictrl.u_rxreg.data_int[14] ),
-    .B(_04947_),
-    .Y(_04965_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10444_ (.A1(_04960_),
-    .A2(_04964_),
-    .B1(_04946_),
-    .C1(_04965_),
-    .X(_04966_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10445_ (.A(_04966_),
-    .Y(_00040_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10446_ (.A(_00040_),
-    .X(_00914_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10447_ (.A(_04704_),
-    .X(_04967_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10448_ (.A(_04910_),
-    .X(_04968_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10449_ (.A1(\u_spictrl.u_rxreg.data_int[12] ),
-    .A2(_04961_),
-    .B1(\u_spictrl.u_rxreg.data_int[11] ),
-    .B2(_04968_),
-    .X(_04969_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10450_ (.A(_04913_),
-    .X(_04970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10451_ (.A1(_04967_),
-    .A2(_04969_),
-    .B1(\u_spictrl.u_rxreg.data_int[9] ),
-    .B2(_04970_),
-    .X(_04971_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10452_ (.A(_04971_),
-    .Y(_04972_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10453_ (.A(_04917_),
-    .X(_04973_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10454_ (.A(_04919_),
-    .X(_04974_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10455_ (.A(\u_spictrl.u_rxreg.data_int[13] ),
-    .B(_04974_),
-    .Y(_04975_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10456_ (.A1(_04960_),
-    .A2(_04972_),
-    .B1(_04973_),
-    .C1(_04975_),
-    .X(_04976_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10457_ (.A(_04976_),
-    .Y(_00039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10458_ (.A(_00039_),
-    .X(_00913_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10459_ (.A1(\u_spictrl.u_rxreg.data_int[11] ),
-    .A2(_04961_),
-    .B1(\u_spictrl.u_rxreg.data_int[10] ),
-    .B2(_04968_),
-    .X(_04977_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10460_ (.A1(_04967_),
-    .A2(_04977_),
-    .B1(\u_spictrl.u_rxreg.data_int[8] ),
-    .B2(_04970_),
-    .X(_04978_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10461_ (.A(_04978_),
-    .Y(_04979_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10462_ (.A(\u_spictrl.u_rxreg.data_int[12] ),
-    .B(_04974_),
-    .Y(_04980_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10463_ (.A1(_04960_),
-    .A2(_04979_),
-    .B1(_04973_),
-    .C1(_04980_),
-    .X(_04981_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10464_ (.A(_04981_),
-    .Y(_00038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10465_ (.A(_00038_),
-    .X(_00912_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10466_ (.A1(\u_spictrl.u_rxreg.data_int[10] ),
-    .A2(_04961_),
-    .B1(\u_spictrl.u_rxreg.data_int[9] ),
-    .B2(_04968_),
-    .X(_04982_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10467_ (.A1(_04967_),
-    .A2(_04982_),
-    .B1(\u_spictrl.u_rxreg.data_int[7] ),
-    .B2(_04970_),
-    .X(_04983_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10468_ (.A(_04983_),
-    .Y(_04984_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10469_ (.A(\u_spictrl.u_rxreg.data_int[11] ),
-    .B(_04974_),
-    .Y(_04985_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10470_ (.A1(_04960_),
-    .A2(_04984_),
-    .B1(_04973_),
-    .C1(_04985_),
-    .X(_04986_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10471_ (.A(_04986_),
-    .Y(_00036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10472_ (.A(_00036_),
-    .X(_00911_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10473_ (.A(_04849_),
-    .X(_04987_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10474_ (.A(_03710_),
-    .X(_04988_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10475_ (.A1(\u_spictrl.u_rxreg.data_int[9] ),
-    .A2(_04988_),
-    .B1(\u_spictrl.u_rxreg.data_int[8] ),
-    .B2(_04968_),
-    .X(_04989_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10476_ (.A1(_04967_),
-    .A2(_04989_),
-    .B1(\u_spictrl.u_rxreg.data_int[6] ),
-    .B2(_04970_),
-    .X(_04990_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10477_ (.A(_04990_),
-    .Y(_04991_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10478_ (.A(\u_spictrl.u_rxreg.data_int[10] ),
-    .B(_04974_),
-    .Y(_04992_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10479_ (.A1(_04987_),
-    .A2(_04991_),
-    .B1(_04973_),
-    .C1(_04992_),
-    .X(_04993_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10480_ (.A(_04993_),
-    .Y(_00035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10481_ (.A(_00035_),
-    .X(_00910_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10482_ (.A(_04704_),
-    .X(_04994_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10483_ (.A(_04910_),
-    .X(_04995_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10484_ (.A1(\u_spictrl.u_rxreg.data_int[8] ),
-    .A2(_04988_),
-    .B1(\u_spictrl.u_rxreg.data_int[7] ),
-    .B2(_04995_),
-    .X(_04996_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10485_ (.A(_04913_),
-    .X(_04997_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10486_ (.A1(_04994_),
-    .A2(_04996_),
-    .B1(\u_spictrl.u_rxreg.data_int[5] ),
-    .B2(_04997_),
-    .X(_04998_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10487_ (.A(_04998_),
-    .Y(_04999_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10488_ (.A(_04917_),
-    .X(_05000_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10489_ (.A(_04919_),
-    .X(_05001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10490_ (.A(\u_spictrl.u_rxreg.data_int[9] ),
-    .B(_05001_),
-    .Y(_05002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10491_ (.A1(_04987_),
-    .A2(_04999_),
-    .B1(_05000_),
-    .C1(_05002_),
-    .X(_05003_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10492_ (.A(_05003_),
-    .Y(_00034_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10493_ (.A(_00034_),
-    .X(_00909_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10494_ (.A1(\u_spictrl.u_rxreg.data_int[7] ),
-    .A2(_04988_),
-    .B1(\u_spictrl.u_rxreg.data_int[6] ),
-    .B2(_04995_),
-    .X(_05004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10495_ (.A1(_04994_),
-    .A2(_05004_),
-    .B1(\u_spictrl.u_rxreg.data_int[4] ),
-    .B2(_04997_),
-    .X(_05005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10496_ (.A(_05005_),
-    .Y(_05006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10497_ (.A(\u_spictrl.u_rxreg.data_int[8] ),
-    .B(_05001_),
-    .Y(_05007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10498_ (.A1(_04987_),
-    .A2(_05006_),
-    .B1(_05000_),
-    .C1(_05007_),
-    .X(_05008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10499_ (.A(_05008_),
-    .Y(_00033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10500_ (.A(_00033_),
-    .X(_00908_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10501_ (.A1(\u_spictrl.u_rxreg.data_int[6] ),
-    .A2(_04988_),
-    .B1(\u_spictrl.u_rxreg.data_int[5] ),
-    .B2(_04995_),
-    .X(_05009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10502_ (.A1(_04994_),
-    .A2(_05009_),
-    .B1(\u_spictrl.u_rxreg.data_int[3] ),
-    .B2(_04997_),
-    .X(_05010_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10503_ (.A(_05010_),
-    .Y(_05011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10504_ (.A(\u_spictrl.u_rxreg.data_int[7] ),
-    .B(_05001_),
-    .Y(_05012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10505_ (.A1(_04987_),
-    .A2(_05011_),
-    .B1(_05000_),
-    .C1(_05012_),
-    .X(_05013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10506_ (.A(_05013_),
-    .Y(_00050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10507_ (.A(_00050_),
-    .X(_00907_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10508_ (.A(_03710_),
-    .X(_05014_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10509_ (.A1(\u_spictrl.u_rxreg.data_int[5] ),
-    .A2(_05014_),
-    .B1(\u_spictrl.u_rxreg.data_int[4] ),
-    .B2(_04995_),
-    .X(_05015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10510_ (.A1(_04994_),
-    .A2(_05015_),
-    .B1(\u_spictrl.u_rxreg.data_int[2] ),
-    .B2(_04997_),
-    .X(_05016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10511_ (.A(_05016_),
-    .Y(_05017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10512_ (.A(\u_spictrl.u_rxreg.data_int[6] ),
-    .B(_05001_),
-    .Y(_05018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10513_ (.A1(_04850_),
-    .A2(_05017_),
-    .B1(_05000_),
-    .C1(_05018_),
-    .X(_05019_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10514_ (.A(_05019_),
-    .Y(_00049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10515_ (.A(_00049_),
-    .X(_00906_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10516_ (.A1(\u_spictrl.u_rxreg.data_int[4] ),
-    .A2(_05014_),
-    .B1(\u_spictrl.u_rxreg.data_int[3] ),
-    .B2(_04838_),
-    .X(_05020_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10517_ (.A(_03713_),
-    .X(_05021_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10518_ (.A1(_04705_),
-    .A2(_05020_),
-    .B1(\u_spictrl.u_rxreg.data_int[1] ),
-    .B2(_05021_),
-    .X(_05022_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10519_ (.A(_05022_),
-    .Y(_05023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10520_ (.A(_04835_),
-    .X(_05024_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10521_ (.A(\u_spictrl.u_rxreg.data_int[5] ),
-    .B(_05024_),
-    .Y(_05025_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10522_ (.A1(_04850_),
-    .A2(_05023_),
-    .B1(_04859_),
-    .C1(_05025_),
-    .X(_05026_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10523_ (.A(_05026_),
-    .Y(_00047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10524_ (.A(_00047_),
-    .X(_00905_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10525_ (.A1(\u_spictrl.u_rxreg.data_int[3] ),
-    .A2(_05014_),
-    .B1(\u_spictrl.u_rxreg.data_int[2] ),
-    .B2(_04838_),
-    .X(_05027_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10526_ (.A1(_04705_),
-    .A2(_05027_),
-    .B1(\u_spictrl.u_rxreg.data_int[0] ),
-    .B2(_05021_),
-    .X(_05028_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10527_ (.A(_05028_),
-    .Y(_05029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10528_ (.A(\u_spictrl.u_rxreg.data_int[4] ),
-    .B(_05024_),
-    .Y(_05030_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10529_ (.A1(_04850_),
-    .A2(_05029_),
-    .B1(_04859_),
-    .C1(_05030_),
-    .X(_05031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10530_ (.A(_05031_),
-    .Y(_00046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10531_ (.A(_00046_),
-    .X(_00904_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10532_ (.A(\u_spictrl.u_rxreg.data_int[3] ),
-    .B(_05024_),
-    .X(_05032_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10533_ (.A(\u_spictrl.u_rxreg.data_int[2] ),
-    .B(_05014_),
-    .X(_05033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10534_ (.A(\u_spictrl.u_rxreg.data_int[1] ),
-    .B(_04838_),
-    .X(_05034_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10535_ (.A(_05021_),
-    .B(_05033_),
-    .C(_05034_),
-    .X(_05035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10536_ (.A1(io_in[3]),
-    .A2(_03759_),
-    .B1(_04841_),
-    .C1(_05035_),
-    .X(_05036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10537_ (.A(_04733_),
-    .B(_05032_),
-    .C(_05036_),
-    .X(_00045_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10538_ (.A(_00045_),
-    .X(_00903_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10539_ (.A(\u_spictrl.u_rxreg.data_int[2] ),
-    .B(_05024_),
-    .X(_05037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10540_ (.A(\u_spictrl.u_rxreg.data_int[1] ),
-    .B(_03711_),
-    .X(_05038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10541_ (.A(\u_spictrl.u_rxreg.data_int[0] ),
-    .B(_03751_),
-    .X(_05039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10542_ (.A(_05021_),
-    .B(_05038_),
-    .C(_05039_),
-    .X(_05040_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10543_ (.A1(io_in[2]),
-    .A2(_03758_),
-    .B1(_04841_),
-    .C1(_05040_),
-    .X(_05041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10544_ (.A(_04713_),
-    .B(_05037_),
-    .C(_05041_),
-    .X(_00044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10545_ (.A(_00044_),
-    .X(_00902_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10546_ (.A(\u_spictrl.u_rxreg.data_int[1] ),
-    .B(_04836_),
-    .X(_05042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10547_ (.A1(io_in[1]),
-    .A2(_03716_),
-    .B1(\u_spictrl.u_rxreg.data_int[0] ),
-    .B2(_03715_),
-    .X(_05043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10548_ (.A(_04841_),
-    .B(_05043_),
-    .X(_05044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10549_ (.A(_04713_),
-    .B(_05042_),
-    .C(_05044_),
-    .X(_00043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10550_ (.A(_00043_),
-    .X(_00901_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10551_ (.A(\u_spictrl.u_rxreg.data_int[0] ),
-    .B(_04836_),
-    .X(_05045_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10552_ (.A1(io_in[0]),
-    .A2(_03716_),
-    .B1(io_in[1]),
-    .B2(_03715_),
-    .X(_05046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10553_ (.A(_04804_),
-    .B(_05046_),
-    .X(_05047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10554_ (.A(_04713_),
-    .B(_05045_),
-    .C(_05047_),
+ sky130_fd_sc_hd__and3_4 _10232_ (.A(_04846_),
+    .B(_04853_),
+    .C(_04857_),
     .X(_00042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10555_ (.A(_00042_),
+ sky130_fd_sc_hd__buf_2 _10233_ (.A(_00042_),
+    .X(_00915_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10234_ (.A(_04750_),
+    .X(_04858_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10235_ (.A(\u_spictrl.u_rxreg.data_int[14] ),
+    .B(_04858_),
+    .X(_04859_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10236_ (.A1(\u_spictrl.u_rxreg.data_int[13] ),
+    .A2(_04854_),
+    .B1(\u_spictrl.u_rxreg.data_int[12] ),
+    .B2(_04849_),
+    .X(_04860_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10237_ (.A1(_04848_),
+    .A2(_04860_),
+    .B1(\u_spictrl.u_rxreg.data_int[10] ),
+    .B2(_04843_),
+    .X(_04861_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10238_ (.A(_04841_),
+    .B(_04861_),
+    .X(_04862_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10239_ (.A(_04846_),
+    .B(_04859_),
+    .C(_04862_),
+    .X(_00041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10240_ (.A(_00041_),
+    .X(_00914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10241_ (.A(\u_spictrl.u_rxreg.data_int[13] ),
+    .B(_04858_),
+    .X(_04863_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10242_ (.A(_04756_),
+    .X(_04864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10243_ (.A1(\u_spictrl.u_rxreg.data_int[12] ),
+    .A2(_04854_),
+    .B1(\u_spictrl.u_rxreg.data_int[11] ),
+    .B2(_04849_),
+    .X(_04865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10244_ (.A(_04817_),
+    .X(_04866_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10245_ (.A1(_04848_),
+    .A2(_04865_),
+    .B1(\u_spictrl.u_rxreg.data_int[9] ),
+    .B2(_04866_),
+    .X(_04867_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10246_ (.A(_04864_),
+    .B(_04867_),
+    .X(_04868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10247_ (.A(_04846_),
+    .B(_04863_),
+    .C(_04868_),
+    .X(_00040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10248_ (.A(_00040_),
+    .X(_00913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10249_ (.A(_04796_),
+    .X(_04869_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10250_ (.A(\u_spictrl.u_rxreg.data_int[12] ),
+    .B(_04858_),
+    .X(_04870_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10251_ (.A(_03725_),
+    .X(_04871_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10252_ (.A(_04824_),
+    .X(_04872_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10253_ (.A1(\u_spictrl.u_rxreg.data_int[11] ),
+    .A2(_04854_),
+    .B1(\u_spictrl.u_rxreg.data_int[10] ),
+    .B2(_04872_),
+    .X(_04873_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10254_ (.A1(_04871_),
+    .A2(_04873_),
+    .B1(\u_spictrl.u_rxreg.data_int[8] ),
+    .B2(_04866_),
+    .X(_04874_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10255_ (.A(_04864_),
+    .B(_04874_),
+    .X(_04875_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10256_ (.A(_04869_),
+    .B(_04870_),
+    .C(_04875_),
+    .X(_00039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10257_ (.A(_00039_),
+    .X(_00912_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10258_ (.A(\u_spictrl.u_rxreg.data_int[11] ),
+    .B(_04858_),
+    .X(_04876_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10259_ (.A(_04830_),
+    .X(_04877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10260_ (.A1(\u_spictrl.u_rxreg.data_int[10] ),
+    .A2(_04877_),
+    .B1(\u_spictrl.u_rxreg.data_int[9] ),
+    .B2(_04872_),
+    .X(_04878_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10261_ (.A1(_04871_),
+    .A2(_04878_),
+    .B1(\u_spictrl.u_rxreg.data_int[7] ),
+    .B2(_04866_),
+    .X(_04879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10262_ (.A(_04864_),
+    .B(_04879_),
+    .X(_04880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10263_ (.A(_04869_),
+    .B(_04876_),
+    .C(_04880_),
+    .X(_00037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10264_ (.A(_00037_),
+    .X(_00911_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10265_ (.A(_04750_),
+    .X(_04881_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10266_ (.A(\u_spictrl.u_rxreg.data_int[10] ),
+    .B(_04881_),
+    .X(_04882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10267_ (.A1(\u_spictrl.u_rxreg.data_int[9] ),
+    .A2(_04877_),
+    .B1(\u_spictrl.u_rxreg.data_int[8] ),
+    .B2(_04872_),
+    .X(_04883_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10268_ (.A1(_04871_),
+    .A2(_04883_),
+    .B1(\u_spictrl.u_rxreg.data_int[6] ),
+    .B2(_04866_),
+    .X(_04884_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10269_ (.A(_04864_),
+    .B(_04884_),
+    .X(_04885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10270_ (.A(_04869_),
+    .B(_04882_),
+    .C(_04885_),
+    .X(_00036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10271_ (.A(_00036_),
+    .X(_00910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10272_ (.A(\u_spictrl.u_rxreg.data_int[9] ),
+    .B(_04881_),
+    .X(_04886_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10273_ (.A(_04756_),
+    .X(_04887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10274_ (.A1(\u_spictrl.u_rxreg.data_int[8] ),
+    .A2(_04877_),
+    .B1(\u_spictrl.u_rxreg.data_int[7] ),
+    .B2(_04872_),
+    .X(_04888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10275_ (.A(_04817_),
+    .X(_04889_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10276_ (.A1(_04871_),
+    .A2(_04888_),
+    .B1(\u_spictrl.u_rxreg.data_int[5] ),
+    .B2(_04889_),
+    .X(_04890_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10277_ (.A(_04887_),
+    .B(_04890_),
+    .X(_04891_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10278_ (.A(_04869_),
+    .B(_04886_),
+    .C(_04891_),
+    .X(_00035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10279_ (.A(_00035_),
+    .X(_00909_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10280_ (.A(_04646_),
+    .X(_04892_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10281_ (.A(\u_spictrl.u_rxreg.data_int[8] ),
+    .B(_04881_),
+    .X(_04893_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10282_ (.A(_03725_),
+    .X(_04894_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10283_ (.A(_04824_),
+    .X(_04895_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10284_ (.A1(\u_spictrl.u_rxreg.data_int[7] ),
+    .A2(_04877_),
+    .B1(\u_spictrl.u_rxreg.data_int[6] ),
+    .B2(_04895_),
+    .X(_04896_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10285_ (.A1(_04894_),
+    .A2(_04896_),
+    .B1(\u_spictrl.u_rxreg.data_int[4] ),
+    .B2(_04889_),
+    .X(_04897_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10286_ (.A(_04887_),
+    .B(_04897_),
+    .X(_04898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10287_ (.A(_04892_),
+    .B(_04893_),
+    .C(_04898_),
+    .X(_00034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10288_ (.A(_00034_),
+    .X(_00908_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10289_ (.A(\u_spictrl.u_rxreg.data_int[7] ),
+    .B(_04881_),
+    .X(_04899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10290_ (.A(_04830_),
+    .X(_04900_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10291_ (.A1(\u_spictrl.u_rxreg.data_int[6] ),
+    .A2(_04900_),
+    .B1(\u_spictrl.u_rxreg.data_int[5] ),
+    .B2(_04895_),
+    .X(_04901_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10292_ (.A1(_04894_),
+    .A2(_04901_),
+    .B1(\u_spictrl.u_rxreg.data_int[3] ),
+    .B2(_04889_),
+    .X(_04902_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10293_ (.A(_04887_),
+    .B(_04902_),
+    .X(_04903_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10294_ (.A(_04892_),
+    .B(_04899_),
+    .C(_04903_),
+    .X(_00051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10295_ (.A(_00051_),
+    .X(_00907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10296_ (.A(_04750_),
+    .X(_04904_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10297_ (.A(\u_spictrl.u_rxreg.data_int[6] ),
+    .B(_04904_),
+    .X(_04905_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10298_ (.A1(\u_spictrl.u_rxreg.data_int[5] ),
+    .A2(_04900_),
+    .B1(\u_spictrl.u_rxreg.data_int[4] ),
+    .B2(_04895_),
+    .X(_04906_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10299_ (.A1(_04894_),
+    .A2(_04906_),
+    .B1(\u_spictrl.u_rxreg.data_int[2] ),
+    .B2(_04889_),
+    .X(_04907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10300_ (.A(_04887_),
+    .B(_04907_),
+    .X(_04908_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10301_ (.A(_04892_),
+    .B(_04905_),
+    .C(_04908_),
+    .X(_00050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10302_ (.A(_00050_),
+    .X(_00906_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10303_ (.A(\u_spictrl.u_rxreg.data_int[5] ),
+    .B(_04904_),
+    .X(_04909_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10304_ (.A(_04756_),
+    .X(_04910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10305_ (.A1(\u_spictrl.u_rxreg.data_int[4] ),
+    .A2(_04900_),
+    .B1(\u_spictrl.u_rxreg.data_int[3] ),
+    .B2(_04895_),
+    .X(_04911_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10306_ (.A(_03746_),
+    .X(_04912_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10307_ (.A1(_04894_),
+    .A2(_04911_),
+    .B1(\u_spictrl.u_rxreg.data_int[1] ),
+    .B2(_04912_),
+    .X(_04913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10308_ (.A(_04910_),
+    .B(_04913_),
+    .X(_04914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10309_ (.A(_04892_),
+    .B(_04909_),
+    .C(_04914_),
+    .X(_00048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10310_ (.A(_00048_),
+    .X(_00905_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10311_ (.A(_04646_),
+    .X(_04915_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10312_ (.A(\u_spictrl.u_rxreg.data_int[4] ),
+    .B(_04904_),
+    .X(_04916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10313_ (.A1(\u_spictrl.u_rxreg.data_int[3] ),
+    .A2(_04900_),
+    .B1(\u_spictrl.u_rxreg.data_int[2] ),
+    .B2(_04753_),
+    .X(_04917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10314_ (.A1(_03726_),
+    .A2(_04917_),
+    .B1(\u_spictrl.u_rxreg.data_int[0] ),
+    .B2(_04912_),
+    .X(_04918_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10315_ (.A(_04910_),
+    .B(_04918_),
+    .X(_04919_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10316_ (.A(_04915_),
+    .B(_04916_),
+    .C(_04919_),
+    .X(_00047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10317_ (.A(_00047_),
+    .X(_00904_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10318_ (.A(\u_spictrl.u_rxreg.data_int[3] ),
+    .B(_04904_),
+    .X(_04920_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10319_ (.A(\u_spictrl.u_rxreg.data_int[2] ),
+    .B(_03735_),
+    .X(_04921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10320_ (.A(\u_spictrl.u_rxreg.data_int[1] ),
+    .B(_04753_),
+    .X(_04922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10321_ (.A(_04912_),
+    .B(_04921_),
+    .C(_04922_),
+    .X(_04923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10322_ (.A1(_03763_),
+    .A2(io_in[3]),
+    .B1(_04757_),
+    .C1(_04923_),
+    .X(_04924_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10323_ (.A(_04915_),
+    .B(_04920_),
+    .C(_04924_),
+    .X(_00046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10324_ (.A(_00046_),
+    .X(_00903_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10325_ (.A(\u_spictrl.u_rxreg.data_int[2] ),
+    .B(_04751_),
+    .X(_04925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10326_ (.A(\u_spictrl.u_rxreg.data_int[1] ),
+    .B(_03735_),
+    .X(_04926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10327_ (.A(\u_spictrl.u_rxreg.data_int[0] ),
+    .B(_04753_),
+    .X(_04927_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10328_ (.A(_04912_),
+    .B(_04926_),
+    .C(_04927_),
+    .X(_04928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10329_ (.A1(_03763_),
+    .A2(io_in[2]),
+    .B1(_04757_),
+    .C1(_04928_),
+    .X(_04929_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10330_ (.A(_04915_),
+    .B(_04925_),
+    .C(_04929_),
+    .X(_00045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10331_ (.A(_00045_),
+    .X(_00902_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10332_ (.A(\u_spictrl.u_rxreg.data_int[1] ),
+    .B(_04751_),
+    .X(_04930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10333_ (.A1(io_in[1]),
+    .A2(_04641_),
+    .B1(\u_spictrl.u_rxreg.data_int[0] ),
+    .B2(_03717_),
+    .X(_04931_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10334_ (.A(_04910_),
+    .B(_04931_),
+    .X(_04932_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10335_ (.A(_04915_),
+    .B(_04930_),
+    .C(_04932_),
+    .X(_00044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10336_ (.A(_00044_),
+    .X(_00901_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10337_ (.A(\u_spictrl.u_rxreg.data_int[0] ),
+    .B(_04751_),
+    .X(_04933_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10338_ (.A1(io_in[0]),
+    .A2(_04641_),
+    .B1(io_in[1]),
+    .B2(_03717_),
+    .X(_04934_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10339_ (.A(_04910_),
+    .B(_04934_),
+    .X(_04935_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10340_ (.A(_04651_),
+    .B(_04933_),
+    .C(_04935_),
+    .X(_00043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10341_ (.A(_00043_),
     .X(_00900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10556_ (.A(\u_spictrl.spi_rise ),
-    .X(_05048_),
+ sky130_fd_sc_hd__or3_4 _10342_ (.A(\u_spictrl.u_rxreg.counter_trgt[2] ),
+    .B(\u_spictrl.u_rxreg.counter_trgt[1] ),
+    .C(\u_spictrl.u_rxreg.counter_trgt[3] ),
+    .X(_04936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10557_ (.A(_06370_),
-    .Y(_05049_),
+ sky130_fd_sc_hd__or2_4 _10343_ (.A(\u_spictrl.u_rxreg.counter_trgt[4] ),
+    .B(_04936_),
+    .X(_04937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10558_ (.A(_05049_),
-    .B(_06371_),
-    .X(_05050_),
+ sky130_fd_sc_hd__or2_4 _10344_ (.A(\u_spictrl.u_rxreg.counter_trgt[5] ),
+    .B(_04937_),
+    .X(_04938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10559_ (.A(\u_spictrl.u_rxreg.rx_CS[2] ),
-    .X(_05051_),
+ sky130_fd_sc_hd__or2_4 _10345_ (.A(\u_spictrl.u_rxreg.counter_trgt[6] ),
+    .B(_04938_),
+    .X(_04939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10560_ (.A(\u_spictrl.u_rxreg.rx_CS[3] ),
+ sky130_fd_sc_hd__or2_4 _10346_ (.A(\u_spictrl.u_rxreg.counter_trgt[7] ),
+    .B(_04939_),
+    .X(_04940_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10347_ (.A(\u_spictrl.u_rxreg.counter_trgt[8] ),
+    .B(_04940_),
+    .X(_04941_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10348_ (.A(\u_spictrl.u_rxreg.counter_trgt[9] ),
+    .B(_04941_),
+    .X(_04942_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10349_ (.A(\u_spictrl.u_rxreg.counter_trgt[10] ),
+    .B(_04942_),
+    .X(_04943_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10350_ (.A(_04943_),
+    .Y(_04944_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _10351_ (.A1(\u_spictrl.u_rxreg.counter_trgt[10] ),
+    .A2(_04942_),
+    .B1(_04944_),
+    .Y(_04945_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10352_ (.A(_04723_),
+    .B(_04945_),
+    .X(_04946_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _10353_ (.A1(\u_spictrl.u_rxreg.counter_trgt[9] ),
+    .A2(_04941_),
+    .B1_N(_04942_),
+    .X(_04947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10354_ (.A(_04947_),
+    .Y(_04948_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10355_ (.A1(_04728_),
+    .A2(_04948_),
+    .B1(_04727_),
+    .B2(_04947_),
+    .X(_04949_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10356_ (.A(_06269_),
+    .Y(_04950_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10357_ (.A1(_04950_),
+    .A2(_06270_),
+    .B1(_04637_),
+    .X(_04951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10358_ (.A(\u_spictrl.u_rxreg.rx_CS[3] ),
     .B(\u_spictrl.u_rxreg.rx_CS[1] ),
-    .C(_05051_),
-    .X(_05052_),
+    .C(\u_spictrl.u_rxreg.rx_CS[2] ),
+    .D(_04951_),
+    .X(_04952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10561_ (.A(_05052_),
-    .Y(_05053_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10562_ (.A(_04691_),
-    .B(_05050_),
-    .C(_05053_),
-    .X(_05054_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10563_ (.A(_04693_),
-    .X(_05055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10564_ (.A(_04782_),
-    .B(_05055_),
-    .X(_05056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10565_ (.A(_05051_),
-    .B(_06371_),
-    .C(_05056_),
-    .X(_05057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10566_ (.A(\u_spictrl.u_rxreg.rx_CS[1] ),
-    .Y(_05058_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10567_ (.A(_01892_),
+ sky130_fd_sc_hd__inv_2 _10359_ (.A(_01889_),
     .Y(\u_m1_res_fifo.full ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10568_ (.A(_01767_),
+ sky130_fd_sc_hd__inv_2 _10360_ (.A(_01768_),
     .Y(\u_m0_res_fifo.full ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10569_ (.A1(_03994_),
+ sky130_fd_sc_hd__o22a_4 _10361_ (.A1(_03952_),
     .A2(\u_m1_res_fifo.full ),
-    .B1(_01524_),
+    .B1(_01522_),
     .B2(\u_m0_res_fifo.full ),
-    .X(_05059_),
+    .X(_04953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10570_ (.A(_05059_),
-    .X(_05060_),
+ sky130_fd_sc_hd__inv_2 _10362_ (.A(_04953_),
+    .Y(_04954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10571_ (.A(_05058_),
-    .B(_05060_),
-    .X(_05061_),
+ sky130_fd_sc_hd__buf_2 _10363_ (.A(_04954_),
+    .X(_04955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10572_ (.A(\u_spictrl.u_rxreg.rx_CS[2] ),
-    .Y(_05062_),
+ sky130_fd_sc_hd__buf_2 _10364_ (.A(_04953_),
+    .X(_04956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10573_ (.A(\u_spictrl.u_rxreg.rx_CS[3] ),
-    .Y(_05063_),
+ sky130_fd_sc_hd__and2_4 _10365_ (.A(\u_spictrl.u_rxreg.rx_CS[3] ),
+    .B(_04956_),
+    .X(_04957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10574_ (.A(_05059_),
-    .Y(_05064_),
+ sky130_fd_sc_hd__o22a_4 _10366_ (.A1(_06269_),
+    .A2(_04955_),
+    .B1(\u_spictrl.u_rxreg.rx_CS[1] ),
+    .B2(_04957_),
+    .X(_04958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10575_ (.A(_05063_),
-    .B(_05064_),
-    .X(_05065_),
+ sky130_fd_sc_hd__inv_2 _10367_ (.A(_04958_),
+    .Y(_04959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10576_ (.A(_05062_),
-    .B(_05058_),
-    .C(_05065_),
-    .X(_05066_),
+ sky130_fd_sc_hd__buf_2 _10368_ (.A(_04671_),
+    .X(_04960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _10577_ (.A(\u_spictrl.u_rxreg.counter[4] ),
-    .B(\u_spictrl.u_rxreg.counter[3] ),
-    .C(_03712_),
-    .D(_04674_),
-    .X(_05067_),
+ sky130_fd_sc_hd__inv_2 _10369_ (.A(\u_spictrl.u_rxreg.rx_CS[2] ),
+    .Y(_04961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10578_ (.A1(\u_spictrl.u_rxreg.counter[3] ),
-    .A2(_03709_),
-    .B1(_03714_),
-    .C1(_05067_),
-    .X(_05068_),
+ sky130_fd_sc_hd__buf_2 _10370_ (.A(_04670_),
+    .X(_04962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10579_ (.A(_05068_),
-    .Y(_05069_),
+ sky130_fd_sc_hd__or2_4 _10371_ (.A(_04633_),
+    .B(_04955_),
+    .X(_04963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10580_ (.A(_04760_),
-    .B(_04761_),
-    .C(_04813_),
-    .D(_05069_),
-    .X(_05070_),
+ sky130_fd_sc_hd__or2_4 _10372_ (.A(\u_spictrl.u_rxreg.counter[4] ),
+    .B(\u_spictrl.s_spi_mode[0] ),
+    .X(_04964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10581_ (.A(_05064_),
-    .B(_05070_),
-    .X(_05071_),
+ sky130_fd_sc_hd__a21oi_4 _10373_ (.A1(\u_spictrl.u_rxreg.counter[3] ),
+    .A2(_04964_),
+    .B1(\u_spictrl.s_spi_mode[1] ),
+    .Y(_04965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _10582_ (.A(_04805_),
-    .B(_05071_),
-    .Y(_05072_),
+ sky130_fd_sc_hd__or4_4 _10374_ (.A(_04676_),
+    .B(_04677_),
+    .C(_04678_),
+    .D(_04965_),
+    .X(_04966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10583_ (.A(\u_spictrl.spi_rise ),
-    .B(_05051_),
-    .C(_05072_),
-    .X(_05073_),
+ sky130_fd_sc_hd__or2_4 _10375_ (.A(_04954_),
+    .B(_04966_),
+    .X(_04967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10584_ (.A(_05049_),
-    .B(_06371_),
-    .C(_05066_),
-    .D(_05073_),
-    .X(_05074_),
+ sky130_fd_sc_hd__nand2_4 _10376_ (.A(_04633_),
+    .B(_04967_),
+    .Y(_04968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10585_ (.A(_05052_),
-    .B(_05061_),
-    .C(_05074_),
-    .X(_05075_),
+ sky130_fd_sc_hd__or2_4 _10377_ (.A(_04950_),
+    .B(_04968_),
+    .X(_04969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10586_ (.A(_05054_),
-    .B(_05057_),
-    .C(_05075_),
-    .X(_05076_),
+ sky130_fd_sc_hd__and3_4 _10378_ (.A(_04962_),
+    .B(_04963_),
+    .C(_04969_),
+    .X(_04970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10587_ (.A(_05076_),
-    .Y(_05077_),
+ sky130_fd_sc_hd__a211o_4 _10379_ (.A1(_04950_),
+    .A2(_04960_),
+    .B1(_04961_),
+    .C1(_04970_),
+    .X(_04971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10588_ (.A(_05055_),
-    .X(_05078_),
+ sky130_fd_sc_hd__o22a_4 _10380_ (.A1(_04634_),
+    .A2(_04671_),
+    .B1(_04671_),
+    .B2(_04966_),
+    .X(_04972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10589_ (.A1(_05048_),
-    .A2(_03910_),
-    .A3(_05077_),
-    .B1(\u_spictrl.rx_clk_en ),
-    .B2(_05078_),
+ sky130_fd_sc_hd__inv_2 _10381_ (.A(_06270_),
+    .Y(_04973_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10382_ (.A(_04721_),
+    .B(_04962_),
+    .X(_04974_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10383_ (.A1(_04955_),
+    .A2(_04972_),
+    .B1(_04973_),
+    .B2(_04974_),
+    .X(_04975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10384_ (.A(_04961_),
+    .B(_04975_),
+    .Y(_04976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10385_ (.A(\u_spictrl.u_rxreg.rx_CS[3] ),
+    .Y(_04977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10386_ (.A(\u_spictrl.u_rxreg.rx_CS[1] ),
+    .Y(_04978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10387_ (.A1(_04977_),
+    .A2(_04978_),
+    .A3(_04961_),
+    .B1(_06270_),
+    .B2(_04956_),
+    .X(_04979_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10388_ (.A1(_04959_),
+    .A2(_04971_),
+    .B1(_04976_),
+    .C1(_04979_),
+    .X(_04980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10389_ (.A(_04952_),
+    .B(_04980_),
+    .X(_04981_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10390_ (.A(_04723_),
+    .B(_04945_),
+    .X(_04982_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10391_ (.A(_04668_),
+    .B(_04747_),
+    .Y(_04983_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10392_ (.A(\u_spictrl.u_rxreg.counter_trgt[3] ),
+    .Y(_04984_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10393_ (.A(\u_spictrl.u_rxreg.counter_trgt[2] ),
+    .B(\u_spictrl.u_rxreg.counter_trgt[1] ),
+    .Y(_04985_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _10394_ (.A1(_04984_),
+    .A2(_04985_),
+    .B1(_04936_),
+    .Y(_04986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10395_ (.A1_N(_04743_),
+    .A2_N(_04986_),
+    .B1(_04668_),
+    .B2(_04747_),
+    .X(_04987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _10396_ (.A1(\u_spictrl.u_rxreg.counter_trgt[2] ),
+    .A2(_04668_),
+    .B1(_04985_),
+    .X(_04988_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10397_ (.A(_04744_),
+    .B(_04988_),
+    .X(_04989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10398_ (.A1_N(_04744_),
+    .A2_N(_04988_),
+    .B1(_04743_),
+    .B2(_04986_),
+    .X(_04990_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10399_ (.A(_04960_),
+    .B(_04749_),
+    .C(_04989_),
+    .D(_04990_),
+    .X(_04991_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10400_ (.A(_04939_),
+    .Y(_04992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _10401_ (.A1(\u_spictrl.u_rxreg.counter_trgt[6] ),
+    .A2(_04938_),
+    .B1(_04992_),
+    .Y(_04993_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10402_ (.A1_N(_04735_),
+    .A2_N(_04993_),
+    .B1(_04735_),
+    .B2(_04993_),
+    .X(_04994_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10403_ (.A(_04983_),
+    .B(_04987_),
+    .C(_04991_),
+    .D(_04994_),
+    .X(_04995_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21boi_4 _10404_ (.A1(\u_spictrl.u_rxreg.counter_trgt[4] ),
+    .A2(_04936_),
+    .B1_N(_04937_),
+    .Y(_04996_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10405_ (.A(_04742_),
+    .B(_04996_),
+    .Y(_04997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10406_ (.A(_04742_),
+    .B(_04996_),
+    .X(_04998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21bo_4 _10407_ (.A1(\u_spictrl.u_rxreg.counter_trgt[5] ),
+    .A2(_04937_),
+    .B1_N(_04938_),
+    .X(_04999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10408_ (.A1_N(_04739_),
+    .A2_N(_04999_),
+    .B1(_04739_),
+    .B2(_04999_),
+    .X(_05000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10409_ (.A(_04944_),
+    .B(_04997_),
+    .C(_04998_),
+    .D(_05000_),
+    .X(_05001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10410_ (.A(\u_spictrl.u_rxreg.counter_trgt[7] ),
+    .Y(_05002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10411_ (.A1(_05002_),
+    .A2(_04992_),
+    .B1(_04940_),
+    .X(_05003_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10412_ (.A1_N(_04733_),
+    .A2_N(_05003_),
+    .B1(_04733_),
+    .B2(_05003_),
+    .X(_05004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21boi_4 _10413_ (.A1(\u_spictrl.u_rxreg.counter_trgt[8] ),
+    .A2(_04940_),
+    .B1_N(_04941_),
+    .Y(_05005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10414_ (.A1_N(_04730_),
+    .A2_N(_05005_),
+    .B1(_04730_),
+    .B2(_05005_),
+    .X(_05006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10415_ (.A(_04995_),
+    .B(_05001_),
+    .C(_05004_),
+    .D(_05006_),
+    .X(_05007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10416_ (.A(_04710_),
+    .B(_04711_),
+    .X(_05008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21o_4 _10417_ (.A1(_04709_),
+    .A2(_05008_),
+    .B1(_04944_),
+    .X(_05009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10418_ (.A(_04706_),
+    .B(_05009_),
+    .C(_04699_),
+    .D(_04696_),
+    .X(_05010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10419_ (.A(_04711_),
+    .Y(_05011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10420_ (.A(_04701_),
+    .B(_04693_),
+    .C(_05011_),
+    .D(_04943_),
+    .X(_05012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10421_ (.A(_04705_),
+    .B(_05012_),
+    .C(_04698_),
+    .D(_04695_),
+    .X(_05013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10422_ (.A(_05010_),
+    .B(_05013_),
+    .X(_05014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10423_ (.A(_04981_),
+    .B(_04982_),
+    .C(_05007_),
+    .D(_05014_),
+    .X(_05015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10424_ (.A(_05015_),
+    .Y(_05016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10425_ (.A(_04960_),
+    .X(_05017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10426_ (.A1(_04946_),
+    .A2(_04949_),
+    .A3(_05016_),
+    .B1(_04721_),
+    .B2(_05017_),
     .X(_00899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10590_ (.A(\u_spictrl.u_rxreg.counter_trgt[3] ),
-    .Y(_05079_),
+ sky130_fd_sc_hd__inv_2 _10427_ (.A(\u_spictrl.u_clkgen.clk_cnt[3] ),
+    .Y(_05018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10591_ (.A(\u_spictrl.u_rxreg.counter_trgt[2] ),
-    .B(\u_spictrl.u_rxreg.counter_trgt[1] ),
-    .Y(_05080_),
+ sky130_fd_sc_hd__inv_2 _10428_ (.A(\u_spictrl.u_clkgen.clk_cnt[4] ),
+    .Y(_05019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10592_ (.A(_05079_),
-    .B(_05080_),
+ sky130_fd_sc_hd__buf_2 _10429_ (.A(_05019_),
+    .X(_05020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10430_ (.A1_N(\u_spictrl.spi_clk_div[3] ),
+    .A2_N(_05018_),
+    .B1(\u_spictrl.spi_clk_div[4] ),
+    .B2(_05020_),
+    .X(_05021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10431_ (.A(\u_spictrl.u_clkgen.clk_cnt[5] ),
+    .Y(_05022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10432_ (.A(_05022_),
+    .X(_05023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10433_ (.A1_N(\u_spictrl.spi_clk_div[5] ),
+    .A2_N(_05023_),
+    .B1(\u_spictrl.spi_clk_div[5] ),
+    .B2(_05022_),
+    .X(_05024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10434_ (.A(\u_spictrl.u_clkgen.clk_cnt[1] ),
+    .Y(_05025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10435_ (.A1_N(\u_spictrl.spi_clk_div[4] ),
+    .A2_N(_05019_),
+    .B1(\u_spictrl.spi_clk_div[1] ),
+    .B2(_05025_),
+    .X(_05026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10436_ (.A1_N(_02195_),
+    .A2_N(\u_spictrl.u_clkgen.clk_cnt[3] ),
+    .B1(_02246_),
+    .B2(\u_spictrl.u_clkgen.clk_cnt[1] ),
+    .X(_05027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10437_ (.A(\u_spictrl.u_clkgen.clk_cnt[0] ),
+    .Y(_05028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10438_ (.A1(\u_spictrl.spi_clk_div[0] ),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[0] ),
+    .B1(_02275_),
+    .B2(_05028_),
+    .X(_05029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10439_ (.A(\u_spictrl.u_clkgen.clk_cnt[2] ),
+    .Y(_05030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10440_ (.A1(\u_spictrl.spi_clk_div[2] ),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[2] ),
+    .B1(_02223_),
+    .B2(_05030_),
+    .X(_05031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10441_ (.A(_05026_),
+    .B(_05027_),
+    .C(_05029_),
+    .D(_05031_),
+    .X(_05032_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10442_ (.A(_05021_),
+    .B(_05024_),
+    .C(_05032_),
+    .X(_05033_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10443_ (.A(_05033_),
+    .Y(_05034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10444_ (.A(\u_spictrl.spi_clk ),
+    .B(_05034_),
+    .Y(_05035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10445_ (.A1(_02246_),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[0] ),
+    .B1(\u_spictrl.spi_clk_div[2] ),
+    .B2(_05025_),
+    .X(_05036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10446_ (.A1(_02223_),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[1] ),
+    .B1(\u_spictrl.spi_clk_div[3] ),
+    .B2(_05030_),
+    .X(_05037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10447_ (.A(_02165_),
+    .B(\u_spictrl.u_clkgen.clk_cnt[3] ),
+    .X(_05038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10448_ (.A(\u_spictrl.spi_clk_div[4] ),
+    .B(_05018_),
+    .X(_05039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10449_ (.A(\u_spictrl.spi_clk_div[1] ),
+    .B(_05028_),
+    .X(_05040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10450_ (.A(_05038_),
+    .B(_05039_),
+    .C(_05023_),
+    .D(_05040_),
+    .X(_05041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10451_ (.A1(_02195_),
+    .A2(\u_spictrl.u_clkgen.clk_cnt[2] ),
+    .B1(_02145_),
+    .B2(\u_spictrl.u_clkgen.clk_cnt[4] ),
+    .X(_05042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10452_ (.A1(\u_spictrl.spi_clk_div[5] ),
+    .A2(_05020_),
+    .B1(_05042_),
+    .X(_05043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10453_ (.A(_05036_),
+    .B(_05037_),
+    .C(_05041_),
+    .D(_05043_),
+    .X(_05044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10454_ (.A(_05044_),
+    .X(_00017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10455_ (.A(\u_spictrl.rx_clk_en ),
+    .B(_04622_),
+    .X(_05045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _10456_ (.A1(_05035_),
+    .A2(_00017_),
+    .B1(psn_net_138),
+    .Y(_00898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10457_ (.A(_03721_),
+    .B(_04638_),
+    .X(_05046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10458_ (.A(_02497_),
+    .Y(_05047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10459_ (.A(_05047_),
+    .X(_05048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10460_ (.A(_03693_),
+    .Y(_05049_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10461_ (.A(_02496_),
+    .B(_01533_),
+    .X(_05050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10462_ (.A(_05049_),
+    .B(_05050_),
+    .X(_05051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10463_ (.A(psn_net_185),
+    .B(_05051_),
+    .X(_05052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10464_ (.A(_05048_),
+    .B(_03366_),
+    .C(_04600_),
+    .D(_05052_),
+    .X(_05053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10465_ (.A(\u_spictrl.cnt[0] ),
+    .Y(_05054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10466_ (.A(_02491_),
+    .B(\u_spictrl.cnt[0] ),
+    .X(_05055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10467_ (.A(\u_spictrl.cnt[1] ),
+    .Y(_05056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10468_ (.A1(\u_spictrl.cfg_cs_early[1] ),
+    .A2(\u_spictrl.cnt[1] ),
+    .B1(_02466_),
+    .B2(_05056_),
+    .X(_05057_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10469_ (.A1(\u_spictrl.cfg_cs_early[0] ),
+    .A2(_05054_),
+    .B1(_05055_),
+    .C1(_05057_),
+    .X(_05058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10470_ (.A(_05051_),
+    .Y(_05059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10471_ (.A(_02443_),
+    .B(\u_spictrl.cnt[0] ),
+    .X(_05060_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10472_ (.A1(\u_spictrl.cfg_cs_late[1] ),
+    .A2(\u_spictrl.cnt[1] ),
+    .B1(_02415_),
+    .B2(_05056_),
+    .X(_05061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10473_ (.A1(\u_spictrl.cfg_cs_late[0] ),
+    .A2(_05054_),
+    .B1(_05060_),
+    .C1(_05061_),
+    .X(_05062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10474_ (.A1(psn_net_187),
+    .A2(_05058_),
+    .B1(_05059_),
+    .B2(_05062_),
+    .X(_05063_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10475_ (.A1(_05046_),
+    .A2(_05053_),
+    .B1(_05063_),
+    .X(_05064_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _10476_ (.A(_05052_),
+    .B(_05063_),
+    .Y(_05065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10477_ (.A(\u_spictrl.cnt[0] ),
+    .B(_05065_),
+    .X(_05066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10478_ (.A(_05054_),
+    .B(_05065_),
+    .X(_05067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a32o_4 _10479_ (.A1(\u_spictrl.cnt[1] ),
+    .A2(_05064_),
+    .A3(_05066_),
+    .B1(_05056_),
+    .B2(_05067_),
+    .X(_05068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10480_ (.A(_05068_),
+    .Y(_00897_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21ai_4 _10481_ (.A1(_05054_),
+    .A2(_05064_),
+    .B1(_05066_),
+    .Y(_00896_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10482_ (.A1(_03693_),
+    .A2(_05062_),
+    .B1(_05050_),
+    .X(_05069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10483_ (.A(_05058_),
+    .Y(_05070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10484_ (.A1(_01540_),
+    .A2(_03365_),
+    .B1(_03370_),
+    .X(_05071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10485_ (.A1(psn_net_188),
+    .A2(_05070_),
+    .B1(\u_spictrl.tx_data_ready ),
+    .B2(_05071_),
+    .X(_05072_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10486_ (.A(_05072_),
+    .Y(_05073_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10487_ (.A(_02543_),
+    .B(\u_m1_cmd_fifo.empty ),
+    .X(_05074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10488_ (.A(_05047_),
+    .B(_05074_),
+    .X(_05075_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10489_ (.A(_03294_),
+    .B(_04638_),
+    .X(_05076_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10490_ (.A(_04634_),
+    .B(_05076_),
+    .X(_05077_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10491_ (.A(_05069_),
+    .B(_05073_),
+    .C(_05075_),
+    .D(_05077_),
+    .X(_05078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10492_ (.A(_02496_),
+    .B(_01537_),
+    .C(_01531_),
+    .D(_03364_),
+    .X(_05079_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10493_ (.A(_05045_),
+    .B(_01541_),
+    .X(_05080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10494_ (.A(_03368_),
+    .B(_05078_),
+    .C(_05080_),
+    .D(_05079_),
     .X(_05081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10593_ (.A(_05081_),
+ sky130_fd_sc_hd__inv_2 _10495_ (.A(_05081_),
     .Y(_05082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10594_ (.A(\u_spictrl.u_rxreg.counter_trgt[4] ),
-    .B(_05082_),
+ sky130_fd_sc_hd__buf_2 _10496_ (.A(_05082_),
     .X(_05083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10595_ (.A(\u_spictrl.u_rxreg.counter_trgt[5] ),
-    .B(_05083_),
+ sky130_fd_sc_hd__buf_2 _10497_ (.A(\u_spictrl.cfg_spi_seq[3] ),
     .X(_05084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10596_ (.A(\u_spictrl.u_rxreg.counter_trgt[6] ),
-    .B(_05084_),
+ sky130_fd_sc_hd__buf_2 _10498_ (.A(_05084_),
     .X(_05085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10597_ (.A(\u_spictrl.u_rxreg.counter_trgt[7] ),
-    .B(_05085_),
-    .X(_05086_),
+ sky130_fd_sc_hd__inv_2 _10499_ (.A(\u_spictrl.cfg_spi_seq[2] ),
+    .Y(_05086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10598_ (.A(\u_spictrl.u_rxreg.counter_trgt[8] ),
-    .B(_05086_),
+ sky130_fd_sc_hd__buf_2 _10500_ (.A(_05086_),
     .X(_05087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _10599_ (.A1(\u_spictrl.u_rxreg.counter_trgt[8] ),
-    .A2(_05086_),
-    .B1_N(_05087_),
+ sky130_fd_sc_hd__buf_2 _10501_ (.A(\u_spictrl.cfg_spi_seq[0] ),
     .X(_05088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10600_ (.A1_N(_04810_),
-    .A2_N(_05088_),
-    .B1(_04810_),
-    .B2(_05088_),
+ sky130_fd_sc_hd__buf_2 _10502_ (.A(_05088_),
     .X(_05089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _10601_ (.A1(\u_spictrl.u_rxreg.counter_trgt[5] ),
-    .A2(_05083_),
-    .B1_N(_05084_),
-    .X(_05090_),
+ sky130_fd_sc_hd__inv_2 _10503_ (.A(\u_spictrl.cfg_spi_seq[1] ),
+    .Y(_05090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10602_ (.A1_N(_04821_),
-    .A2_N(_05090_),
-    .B1(_04821_),
-    .B2(_05090_),
+ sky130_fd_sc_hd__buf_2 _10504_ (.A(_05090_),
     .X(_05091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10603_ (.A(_04820_),
-    .Y(_05092_),
+ sky130_fd_sc_hd__or4_4 _10505_ (.A(_05085_),
+    .B(_05087_),
+    .C(_05089_),
+    .D(_05091_),
+    .X(_05092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _10604_ (.A1(\u_spictrl.u_rxreg.counter_trgt[6] ),
-    .A2(_05084_),
-    .B1_N(_05085_),
+ sky130_fd_sc_hd__and2_4 _10506_ (.A(_04094_),
+    .B(_05092_),
     .X(_05093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10605_ (.A1_N(_05092_),
-    .A2_N(_05093_),
-    .B1(_05092_),
-    .B2(_05093_),
-    .X(_05094_),
+ sky130_fd_sc_hd__inv_2 _10507_ (.A(\u_spictrl.cfg_spi_seq[3] ),
+    .Y(_05094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _10606_ (.A1(_04740_),
-    .A2(_05081_),
-    .B1(_05083_),
-    .Y(_05095_),
+ sky130_fd_sc_hd__buf_2 _10508_ (.A(_05094_),
+    .X(_05095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10607_ (.A1_N(_04822_),
-    .A2_N(_05095_),
-    .B1(_04822_),
-    .B2(_05095_),
+ sky130_fd_sc_hd__buf_2 _10509_ (.A(\u_spictrl.cfg_spi_seq[2] ),
     .X(_05096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10608_ (.A(_04693_),
-    .B(psn_net_234),
+ sky130_fd_sc_hd__or3_4 _10510_ (.A(_05095_),
+    .B(_05096_),
+    .C(_05089_),
     .X(_05097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _10609_ (.A1(\u_spictrl.u_rxreg.counter_trgt[2] ),
-    .A2(_04754_),
-    .B1(_05080_),
-    .Y(_05098_),
+ sky130_fd_sc_hd__a211o_4 _10511_ (.A1(_03806_),
+    .A2(_05097_),
+    .B1(_01538_),
+    .C1(_03367_),
+    .X(_05098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10610_ (.A(_04830_),
-    .B(_05098_),
+ sky130_fd_sc_hd__or2_4 _10512_ (.A(_05084_),
+    .B(_05086_),
     .X(_05099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _10611_ (.A1(_05079_),
-    .A2(_05080_),
-    .B1(_05082_),
-    .X(_05100_),
+ sky130_fd_sc_hd__inv_2 _10513_ (.A(_05099_),
+    .Y(_05100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10612_ (.A(_05100_),
+ sky130_fd_sc_hd__inv_2 _10514_ (.A(\u_spictrl.cfg_spi_seq[0] ),
     .Y(_05101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10613_ (.A1(_04824_),
-    .A2(_05100_),
-    .B1(_04825_),
-    .B2(_05101_),
+ sky130_fd_sc_hd__buf_2 _10515_ (.A(_05101_),
     .X(_05102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10614_ (.A(_04833_),
-    .B(_05097_),
-    .C(_05099_),
+ sky130_fd_sc_hd__and4_4 _10516_ (.A(_05084_),
+    .B(_05086_),
+    .C(_05091_),
     .D(_05102_),
     .X(_05103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10615_ (.A(\u_spictrl.u_rxreg.counter_trgt[9] ),
-    .B(_05087_),
+ sky130_fd_sc_hd__or2_4 _10517_ (.A(_05100_),
+    .B(_05103_),
     .X(_05104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10616_ (.A(\u_spictrl.u_rxreg.counter_trgt[10] ),
-    .B(_05104_),
+ sky130_fd_sc_hd__buf_2 _10518_ (.A(_05104_),
     .X(_05105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10617_ (.A(_05105_),
+ sky130_fd_sc_hd__nor2_4 _10519_ (.A(_03776_),
+    .B(_05105_),
     .Y(_05106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10618_ (.A1(_04754_),
-    .A2(_04832_),
-    .B1(_04830_),
-    .B2(_05098_),
+ sky130_fd_sc_hd__buf_2 _10520_ (.A(\u_spictrl.cfg_spi_seq[1] ),
     .X(_05107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _10619_ (.A1(_04754_),
-    .A2(_04832_),
-    .B1_N(_05107_),
+ sky130_fd_sc_hd__and3_4 _10521_ (.A(_05095_),
+    .B(_05087_),
+    .C(_05107_),
     .X(_05108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10620_ (.A(_05096_),
-    .B(_05103_),
-    .C(_05106_),
-    .D(_05108_),
+ sky130_fd_sc_hd__or4_4 _10522_ (.A(_05084_),
+    .B(\u_spictrl.cfg_spi_seq[2] ),
+    .C(_05107_),
+    .D(_05102_),
     .X(_05109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _10621_ (.A1(\u_spictrl.u_rxreg.counter_trgt[7] ),
-    .A2(_05085_),
-    .B1_N(_05086_),
+ sky130_fd_sc_hd__and2_4 _10523_ (.A(_05107_),
+    .B(_05088_),
     .X(_05110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10622_ (.A1_N(_04812_),
-    .A2_N(_05110_),
-    .B1(_04812_),
-    .B2(_05110_),
+ sky130_fd_sc_hd__and2_4 _10524_ (.A(_05090_),
+    .B(_05101_),
     .X(_05111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10623_ (.A(_05091_),
-    .B(_05094_),
-    .C(_05109_),
+ sky130_fd_sc_hd__or4_4 _10525_ (.A(_05094_),
+    .B(\u_spictrl.cfg_spi_seq[2] ),
+    .C(_05110_),
     .D(_05111_),
     .X(_05112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10624_ (.A(_05104_),
+ sky130_fd_sc_hd__nand2_4 _10526_ (.A(_05109_),
+    .B(_05112_),
     .Y(_05113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _10625_ (.A1(\u_spictrl.u_rxreg.counter_trgt[9] ),
-    .A2(_05087_),
-    .B1(_05113_),
+ sky130_fd_sc_hd__or4_4 _10527_ (.A(_05108_),
+    .B(_05104_),
+    .C(_05113_),
+    .D(_03690_),
     .X(_05114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10626_ (.A1_N(_04809_),
-    .A2_N(_05114_),
-    .B1(_04809_),
-    .B2(_05114_),
-    .X(_05115_),
+ sky130_fd_sc_hd__inv_2 _10528_ (.A(_05114_),
+    .Y(_05115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10627_ (.A(_04689_),
-    .B(_05113_),
+ sky130_fd_sc_hd__or4_4 _10529_ (.A(_05093_),
+    .B(_05098_),
+    .C(_05106_),
+    .D(_05115_),
     .X(_05116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10628_ (.A1_N(_04807_),
-    .A2_N(_05116_),
-    .B1(_04807_),
-    .B2(_05116_),
+ sky130_fd_sc_hd__a2bb2o_4 _10530_ (.A1_N(_01931_),
+    .A2_N(_05083_),
+    .B1(_05116_),
+    .B2(_05083_),
+    .X(_00895_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and4_4 _10531_ (.A(_05095_),
+    .B(_05096_),
+    .C(_05091_),
+    .D(_05088_),
     .X(_05117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10629_ (.A(_05089_),
-    .B(_05112_),
-    .C(_05115_),
+ sky130_fd_sc_hd__or4_4 _10532_ (.A(_02496_),
+    .B(_01537_),
+    .C(_02018_),
     .D(_05117_),
     .X(_05118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10630_ (.A(_05118_),
-    .Y(_05119_),
+ sky130_fd_sc_hd__a211o_4 _10533_ (.A1(_01931_),
+    .A2(_01960_),
+    .B1(_01991_),
+    .C1(_05050_),
+    .X(_05119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _10631_ (.A(_04798_),
-    .B(_04799_),
-    .C(_05105_),
-    .D(_04796_),
+ sky130_fd_sc_hd__and4_4 _10534_ (.A(_05085_),
+    .B(_05087_),
+    .C(_05091_),
+    .D(_05088_),
     .X(_05120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10632_ (.A(_04799_),
-    .B(_05105_),
-    .C(_04795_),
-    .D(_04798_),
+ sky130_fd_sc_hd__a211o_4 _10535_ (.A1(_05102_),
+    .A2(_05100_),
+    .B1(_03695_),
+    .C1(_05120_),
     .X(_05121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10633_ (.A(_04794_),
-    .B(_05121_),
+ sky130_fd_sc_hd__nand2_4 _10536_ (.A(_03803_),
+    .B(_05113_),
     .Y(_05122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10634_ (.A1(_04794_),
-    .A2(_05120_),
-    .A3(_04791_),
-    .B1(_04792_),
-    .B2(_05122_),
+ sky130_fd_sc_hd__and4_4 _10537_ (.A(_05118_),
+    .B(_05119_),
+    .C(_05121_),
+    .D(_05122_),
     .X(_05123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10635_ (.A1(_05077_),
-    .A2(_05119_),
-    .A3(_05123_),
-    .B1(\u_spictrl.rx_done ),
-    .B2(_05078_),
-    .X(_00898_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10636_ (.A(\u_spictrl.u_clkgen.clk_cnt[3] ),
+ sky130_fd_sc_hd__inv_2 _10538_ (.A(_05123_),
     .Y(_05124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10637_ (.A(\u_spictrl.u_clkgen.clk_cnt[4] ),
-    .Y(_05125_),
+ sky130_fd_sc_hd__a211o_4 _10539_ (.A1(_04600_),
+    .A2(_05105_),
+    .B1(_05124_),
+    .C1(_05076_),
+    .X(_05125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10638_ (.A(_05125_),
-    .X(_05126_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10639_ (.A1_N(\u_spictrl.spi_clk_div[3] ),
-    .A2_N(_05124_),
-    .B1(\u_spictrl.spi_clk_div[4] ),
-    .B2(_05126_),
-    .X(_05127_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10640_ (.A(\u_spictrl.u_clkgen.clk_cnt[5] ),
-    .Y(_05128_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10641_ (.A(_05128_),
-    .X(_05129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10642_ (.A1_N(\u_spictrl.spi_clk_div[5] ),
-    .A2_N(_05129_),
-    .B1(\u_spictrl.spi_clk_div[5] ),
-    .B2(_05128_),
-    .X(_05130_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10643_ (.A(\u_spictrl.u_clkgen.clk_cnt[1] ),
-    .Y(_05131_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10644_ (.A1_N(\u_spictrl.spi_clk_div[4] ),
-    .A2_N(_05125_),
-    .B1(\u_spictrl.spi_clk_div[1] ),
-    .B2(_05131_),
-    .X(_05132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10645_ (.A1_N(_02210_),
-    .A2_N(\u_spictrl.u_clkgen.clk_cnt[3] ),
-    .B1(_02262_),
-    .B2(\u_spictrl.u_clkgen.clk_cnt[1] ),
-    .X(_05133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10646_ (.A(\u_spictrl.u_clkgen.clk_cnt[0] ),
-    .Y(_05134_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10647_ (.A1(\u_spictrl.spi_clk_div[0] ),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[0] ),
-    .B1(_02293_),
-    .B2(_05134_),
-    .X(_05135_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10648_ (.A(\u_spictrl.u_clkgen.clk_cnt[2] ),
-    .Y(_05136_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10649_ (.A1(\u_spictrl.spi_clk_div[2] ),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[2] ),
-    .B1(_02239_),
-    .B2(_05136_),
-    .X(_05137_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10650_ (.A(_05132_),
-    .B(_05133_),
-    .C(_05135_),
-    .D(_05137_),
-    .X(_05138_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10651_ (.A(_05127_),
-    .B(_05130_),
-    .C(_05138_),
-    .X(_05139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10652_ (.A(_05139_),
-    .Y(_05140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10653_ (.A(\u_spictrl.spi_clk ),
-    .B(_05140_),
-    .Y(_05141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10654_ (.A1(_02262_),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[0] ),
-    .B1(\u_spictrl.spi_clk_div[2] ),
-    .B2(_05131_),
-    .X(_05142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10655_ (.A1(_02239_),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[1] ),
-    .B1(\u_spictrl.spi_clk_div[3] ),
-    .B2(_05136_),
-    .X(_05143_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10656_ (.A(_02176_),
-    .B(\u_spictrl.u_clkgen.clk_cnt[3] ),
-    .X(_05144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10657_ (.A(\u_spictrl.spi_clk_div[4] ),
-    .B(_05124_),
-    .X(_05145_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10658_ (.A(\u_spictrl.spi_clk_div[1] ),
-    .B(_05134_),
-    .X(_05146_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _10659_ (.A(_05144_),
-    .B(_05145_),
-    .C(_05129_),
-    .D(_05146_),
-    .X(_05147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10660_ (.A1(_02210_),
-    .A2(\u_spictrl.u_clkgen.clk_cnt[2] ),
-    .B1(_02156_),
-    .B2(\u_spictrl.u_clkgen.clk_cnt[4] ),
-    .X(_05148_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _10661_ (.A1(\u_spictrl.spi_clk_div[5] ),
-    .A2(_05126_),
-    .B1(_05148_),
-    .X(_05149_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _10662_ (.A(_05142_),
-    .B(_05143_),
-    .C(_05147_),
-    .D(_05149_),
-    .X(_05150_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10663_ (.A(_05150_),
-    .X(_00017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10664_ (.A(\u_spictrl.rx_clk_en ),
-    .B(_04670_),
-    .X(_05151_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21ai_4 _10665_ (.A1(_05141_),
-    .A2(_00017_),
-    .B1(psn_net_105),
-    .Y(_00897_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10666_ (.A(\u_spictrl.cnt[0] ),
-    .Y(_05152_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10667_ (.A1(_02465_),
-    .A2(\u_spictrl.cnt[0] ),
-    .B1(\u_spictrl.cfg_cs_late[0] ),
-    .B2(_05152_),
-    .X(_05153_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10668_ (.A(\u_spictrl.cnt[1] ),
-    .Y(_05154_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10669_ (.A1(_02436_),
-    .A2(\u_spictrl.cnt[1] ),
-    .B1(\u_spictrl.cfg_cs_late[1] ),
-    .B2(_05154_),
-    .X(_05155_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _10670_ (.A(_01529_),
-    .B(_02024_),
-    .C(_01536_),
-    .D(_03294_),
-    .X(_05156_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10671_ (.A(_02515_),
-    .B(\u_spictrl.cnt[0] ),
-    .X(_05157_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10672_ (.A1(\u_spictrl.cfg_cs_early[1] ),
-    .A2(\u_spictrl.cnt[1] ),
-    .B1(_02490_),
-    .B2(_05154_),
-    .X(_05158_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10673_ (.A1(\u_spictrl.cfg_cs_early[0] ),
-    .A2(_05152_),
-    .B1(_05157_),
-    .C1(_05158_),
-    .X(_05159_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10674_ (.A(_05159_),
-    .Y(_05160_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10675_ (.A1(_05153_),
-    .A2(_05155_),
-    .A3(_05156_),
-    .B1(psn_net_236),
-    .B2(_05160_),
-    .X(_05161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10676_ (.A(_05161_),
-    .Y(_05162_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10677_ (.A(psn_net_236),
-    .B(_05156_),
-    .X(_05163_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10678_ (.A1(_01935_),
-    .A2(_04690_),
-    .B1(_03795_),
-    .C1(_02521_),
-    .X(_05164_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10679_ (.A(_03762_),
-    .B(_04692_),
-    .C(_05163_),
-    .D(_05164_),
-    .X(_05165_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _10680_ (.A(_05162_),
-    .B(_05165_),
-    .Y(_05166_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10681_ (.A(_05152_),
-    .B(_05166_),
-    .X(_05167_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10682_ (.A(_05167_),
-    .Y(_05168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10683_ (.A1(_05154_),
-    .A2(_05167_),
-    .B1(_05163_),
-    .B2(_05166_),
-    .X(_05169_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _10684_ (.A1(\u_spictrl.cnt[1] ),
-    .A2(_05168_),
-    .B1(_05169_),
-    .X(_00896_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10685_ (.A(_05162_),
-    .B(_05163_),
-    .X(_05170_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _10686_ (.A1(\u_spictrl.cnt[0] ),
-    .A2(_05170_),
-    .B1(_05167_),
-    .X(_00895_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10687_ (.A(_01537_),
-    .B(_01538_),
-    .X(_05171_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10688_ (.A(_01536_),
-    .B(_04690_),
-    .X(_05172_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10689_ (.A(_03301_),
-    .B(_04692_),
-    .C(_05056_),
-    .X(_05173_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10690_ (.A(_02569_),
-    .B(\u_m1_cmd_fifo.empty ),
-    .X(_05174_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10691_ (.A(_03729_),
-    .B(_03703_),
-    .C(_03727_),
-    .D(_03725_),
-    .X(_05175_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10692_ (.A(_03293_),
-    .B(psn_net_165),
-    .X(_05176_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10693_ (.A1(_02521_),
-    .A2(_05174_),
-    .B1(_05170_),
-    .C1(_05176_),
-    .X(_05177_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10694_ (.A(_01934_),
-    .B(_04690_),
-    .X(_05178_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10695_ (.A(_01993_),
-    .B(_02025_),
-    .C(_01536_),
-    .D(_01963_),
-    .X(_05179_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _10696_ (.A1(_01538_),
-    .A2(_05178_),
-    .B1(_05179_),
-    .X(_05180_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10697_ (.A(_03370_),
-    .B(_05180_),
-    .Y(_05181_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10698_ (.A(_05151_),
-    .B(_01539_),
-    .X(_05182_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10699_ (.A(_05173_),
-    .B(_05177_),
-    .C(_05181_),
-    .D(_05182_),
-    .X(_05183_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _10700_ (.A1(_05171_),
-    .A2(_05172_),
-    .B1(_05183_),
-    .X(_05184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10701_ (.A(_05184_),
-    .Y(_05185_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10702_ (.A(_05185_),
-    .X(_05186_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10703_ (.A(\u_spictrl.cfg_spi_seq[3] ),
-    .Y(_05187_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10704_ (.A(_05187_),
-    .B(\u_spictrl.cfg_spi_seq[2] ),
-    .X(_05188_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10705_ (.A(\u_spictrl.cfg_spi_seq[2] ),
-    .Y(_05189_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10706_ (.A(\u_spictrl.cfg_spi_seq[1] ),
-    .Y(_05190_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10707_ (.A(\u_spictrl.cfg_spi_seq[0] ),
-    .Y(_05191_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _10708_ (.A(\u_spictrl.cfg_spi_seq[3] ),
-    .B(_05189_),
-    .C(_05190_),
-    .D(_05191_),
-    .X(_05192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10709_ (.A(_05188_),
-    .B(_05192_),
-    .X(_05193_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10710_ (.A(_05193_),
-    .Y(_05194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10711_ (.A(_05178_),
-    .Y(_05195_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10712_ (.A(_05179_),
-    .Y(_05196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10713_ (.A(\u_spictrl.cfg_spi_seq[0] ),
-    .X(_05197_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10714_ (.A(\u_spictrl.cfg_spi_seq[2] ),
-    .X(_05198_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10715_ (.A(_05187_),
-    .B(_05198_),
-    .X(_05199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _10716_ (.A1(_05197_),
-    .A2(_05199_),
-    .B1(_03801_),
-    .X(_05200_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10717_ (.A(\u_spictrl.cfg_spi_seq[3] ),
-    .X(_05201_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10718_ (.A(_05189_),
-    .X(_05202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10719_ (.A(_05201_),
-    .B(_05202_),
-    .C(\u_spictrl.cfg_spi_seq[0] ),
-    .X(_05203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _10720_ (.A1(_05190_),
-    .A2(_05203_),
-    .B1(_03957_),
-    .X(_05204_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10721_ (.A(_05195_),
-    .B(_05196_),
-    .C(_05200_),
-    .D(_05204_),
-    .X(_05205_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10722_ (.A(_05201_),
-    .X(_05206_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10723_ (.A(_05206_),
-    .B(_05198_),
-    .C(_05190_),
-    .X(_05207_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10724_ (.A(\u_spictrl.cfg_spi_seq[1] ),
-    .X(_05208_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10725_ (.A(_05190_),
-    .B(_05191_),
-    .X(_05209_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _10726_ (.A1(_05208_),
-    .A2(_05197_),
-    .B1(_05209_),
-    .X(_05210_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10727_ (.A(_05201_),
-    .B(\u_spictrl.cfg_spi_seq[2] ),
-    .C(\u_spictrl.cfg_spi_seq[1] ),
-    .D(_05191_),
-    .X(_05211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _10728_ (.A1(_05199_),
-    .A2(_05210_),
-    .B1(_05211_),
-    .X(_05212_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _10729_ (.A(_05194_),
-    .B(_05207_),
-    .C(_05212_),
-    .D(psn_net_168),
-    .X(_05213_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10730_ (.A1(_03796_),
-    .A2(_05194_),
-    .B1(_05205_),
-    .C1(_05213_),
-    .X(_05214_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10731_ (.A1_N(_01935_),
-    .A2_N(_05186_),
-    .B1(_05186_),
-    .B2(_05214_),
+ sky130_fd_sc_hd__a2bb2o_4 _10540_ (.A1_N(_01960_),
+    .A2_N(_05083_),
+    .B1(_05125_),
+    .B2(_05083_),
     .X(_00894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10732_ (.A(_03731_),
-    .B(_04711_),
-    .X(_05215_),
+ sky130_fd_sc_hd__and2_4 _10541_ (.A(_05089_),
+    .B(_05108_),
+    .X(_05126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10733_ (.A1(_01935_),
-    .A2(_01963_),
-    .B1(_01993_),
-    .C1(_05172_),
-    .X(_05216_),
+ sky130_fd_sc_hd__a2bb2o_4 _10542_ (.A1_N(_05105_),
+    .A2_N(_05126_),
+    .B1(_05100_),
+    .B2(_05110_),
+    .X(_05127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10734_ (.A(_05216_),
-    .Y(_05217_),
+ sky130_fd_sc_hd__and4_4 _10543_ (.A(_05085_),
+    .B(_05087_),
+    .C(_05102_),
+    .D(_03806_),
+    .X(_05128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10735_ (.A(_05191_),
-    .X(_05218_),
+ sky130_fd_sc_hd__or3_4 _10544_ (.A(_05095_),
+    .B(_05096_),
+    .C(_05111_),
+    .X(_05129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10736_ (.A(_05187_),
-    .B(_05198_),
-    .C(\u_spictrl.cfg_spi_seq[1] ),
-    .D(_05218_),
-    .X(_05219_),
+ sky130_fd_sc_hd__and2_4 _10545_ (.A(_03803_),
+    .B(_05129_),
+    .X(_05130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10737_ (.A(_05201_),
-    .B(_05202_),
-    .C(_05208_),
-    .D(_05218_),
-    .X(_05220_),
+ sky130_fd_sc_hd__or4_4 _10546_ (.A(psn_net_186),
+    .B(_03722_),
+    .C(_05128_),
+    .D(_05130_),
+    .X(_05131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10738_ (.A1(_03801_),
-    .A2(_05203_),
-    .A3(_05219_),
-    .B1(_03956_),
-    .B2(_05220_),
-    .X(_05221_),
+ sky130_fd_sc_hd__and2_4 _10547_ (.A(_03295_),
+    .B(_04638_),
+    .X(_05132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10739_ (.A(psn_net_171),
-    .B(_05212_),
-    .Y(_05222_),
+ sky130_fd_sc_hd__a211o_4 _10548_ (.A1(_04600_),
+    .A2(_05127_),
+    .B1(_05131_),
+    .C1(_05132_),
+    .X(_05133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10740_ (.A(_03796_),
-    .B(_05193_),
-    .X(_05223_),
+ sky130_fd_sc_hd__o22a_4 _10549_ (.A1(psn_net_189),
+    .A2(psn_net_131),
+    .B1(psn_net_134),
+    .B2(_05133_),
+    .X(_00893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10741_ (.A(_05217_),
-    .B(_05221_),
-    .C(_05222_),
-    .D(_05223_),
-    .X(_05224_),
+ sky130_fd_sc_hd__or4_4 _10550_ (.A(_05110_),
+    .B(_05111_),
+    .C(_05099_),
+    .D(_03776_),
+    .X(_05134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10742_ (.A(_05224_),
-    .Y(_05225_),
+ sky130_fd_sc_hd__inv_2 _10551_ (.A(_05134_),
+    .Y(_05135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10743_ (.A1(psn_net_157),
-    .A2(_05215_),
-    .A3(_05225_),
-    .B1(_01963_),
-    .B2(psn_net_104),
-    .X(_05226_),
+ sky130_fd_sc_hd__and2_4 _10552_ (.A(_03693_),
+    .B(_05050_),
+    .X(_05136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10744_ (.A(_05226_),
-    .Y(_00893_),
+ sky130_fd_sc_hd__a211o_4 _10553_ (.A1(_01960_),
+    .A2(_05049_),
+    .B1(_05136_),
+    .C1(_03723_),
+    .X(_05137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10745_ (.A(_05218_),
-    .B(_05207_),
-    .X(_05227_),
+ sky130_fd_sc_hd__o21a_4 _10554_ (.A1(_05108_),
+    .A2(_05105_),
+    .B1(_03803_),
+    .X(_05138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _10746_ (.A1(_05208_),
-    .A2(_05197_),
-    .A3(_05188_),
-    .B1(_05194_),
-    .B2(_05227_),
-    .X(_05228_),
+ sky130_fd_sc_hd__or4_4 _10555_ (.A(_05135_),
+    .B(_05137_),
+    .C(_05138_),
+    .D(_05132_),
+    .X(_05139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _10747_ (.A(_05206_),
-    .B(_05202_),
-    .C(_05218_),
-    .D(_03801_),
-    .X(_05229_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _10748_ (.A1(_05199_),
-    .A2(_05209_),
-    .B1(psn_net_169),
-    .X(_05230_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10749_ (.A(psn_net_237),
-    .B(_03763_),
-    .C(_05229_),
-    .D(_05230_),
-    .X(_05231_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _10750_ (.A(_03731_),
-    .B(_04726_),
-    .X(_05232_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10751_ (.A1(_03796_),
-    .A2(_05228_),
-    .B1(_05231_),
-    .C1(_05232_),
-    .X(_05233_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10752_ (.A1(_01537_),
-    .A2(_05186_),
-    .B1(_05233_),
-    .B2(psn_net_103),
+ sky130_fd_sc_hd__a2bb2o_4 _10556_ (.A1_N(_02018_),
+    .A2_N(psn_net_133),
+    .B1(psn_net_132),
+    .B2(_05139_),
     .X(_00892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10753_ (.A(_05206_),
-    .B(_05202_),
-    .C(_05210_),
-    .D(_03296_),
-    .X(_05234_),
+ sky130_fd_sc_hd__or2_4 _10557_ (.A(_02498_),
+    .B(_05074_),
+    .X(_05140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10754_ (.A(_05234_),
-    .Y(_05235_),
+ sky130_fd_sc_hd__buf_2 _10558_ (.A(_05140_),
+    .X(_05141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _10755_ (.A1(_05194_),
-    .A2(_05207_),
-    .B1(psn_net_173),
-    .Y(_05236_),
+ sky130_fd_sc_hd__buf_2 _10559_ (.A(_05141_),
+    .X(_05142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10756_ (.A(_02520_),
-    .B(_03763_),
+ sky130_fd_sc_hd__buf_2 _10560_ (.A(_01732_),
+    .X(_05143_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10561_ (.A(_05143_),
+    .X(_05144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10562_ (.A(_02544_),
+    .X(_05145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10563_ (.A(_05145_),
+    .X(\u_m0_cmd_fifo.empty ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10564_ (.A1(_05144_),
+    .A2(_04205_),
+    .B1(\u_m0_cmd_fifo.empty ),
+    .B2(_04210_),
+    .Y(_05146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10565_ (.A1_N(_05142_),
+    .A2_N(_05146_),
+    .B1(\u_spictrl.spi_mode_cmd[7] ),
+    .B2(_05142_),
+    .X(_00891_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10566_ (.A1(_05144_),
+    .A2(_04239_),
+    .B1(\u_m0_cmd_fifo.empty ),
+    .B2(_04244_),
+    .Y(_05147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10567_ (.A1_N(_05142_),
+    .A2_N(_05147_),
+    .B1(\u_spictrl.spi_mode_cmd[6] ),
+    .B2(_05142_),
+    .X(_00890_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10568_ (.A(_05141_),
+    .X(_05148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10569_ (.A(_02543_),
+    .X(_05149_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10570_ (.A(_05149_),
+    .X(_05150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10571_ (.A1(_05144_),
+    .A2(_04271_),
+    .B1(_05150_),
+    .B2(_04276_),
+    .Y(_05151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10572_ (.A1_N(_05148_),
+    .A2_N(_05151_),
+    .B1(\u_spictrl.spi_mode_cmd[5] ),
+    .B2(_05148_),
+    .X(_00889_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10573_ (.A1(_05144_),
+    .A2(_04303_),
+    .B1(_05150_),
+    .B2(_04308_),
+    .Y(_05152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10574_ (.A1_N(_05148_),
+    .A2_N(_05152_),
+    .B1(\u_spictrl.spi_mode_cmd[4] ),
+    .B2(_05148_),
+    .X(_00888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10575_ (.A(_05141_),
+    .X(_05153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10576_ (.A(_05143_),
+    .X(_05154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10577_ (.A1(_05154_),
+    .A2(_03997_),
+    .B1(_05150_),
+    .B2(_04008_),
+    .Y(_05155_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10578_ (.A1_N(_05153_),
+    .A2_N(_05155_),
+    .B1(\u_spictrl.spi_mode_cmd[3] ),
+    .B2(_05153_),
+    .X(_00887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10579_ (.A1(_05154_),
+    .A2(_04073_),
+    .B1(_05150_),
+    .B2(_04078_),
+    .Y(_05156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10580_ (.A1_N(_05153_),
+    .A2_N(_05156_),
+    .B1(\u_spictrl.spi_mode_cmd[2] ),
+    .B2(_05153_),
+    .X(_00886_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10581_ (.A(_05141_),
+    .X(_05157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10582_ (.A(_05145_),
+    .X(_05158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10583_ (.A1(_05154_),
+    .A2(_04118_),
+    .B1(_05158_),
+    .B2(_04123_),
+    .Y(_05159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10584_ (.A1_N(_05157_),
+    .A2_N(_05159_),
+    .B1(\u_spictrl.spi_mode_cmd[1] ),
+    .B2(_05157_),
+    .X(_00885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10585_ (.A1(_05154_),
+    .A2(_04158_),
+    .B1(_05158_),
+    .B2(_04163_),
+    .Y(_05160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10586_ (.A1_N(_05157_),
+    .A2_N(_05160_),
+    .B1(\u_spictrl.spi_mode_cmd[0] ),
+    .B2(_05157_),
+    .X(_00884_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10587_ (.A(_05140_),
+    .X(_05161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10588_ (.A(_05161_),
+    .X(_05162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10589_ (.A(_05143_),
+    .X(_05163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10590_ (.A1(_05163_),
+    .A2(_03979_),
+    .B1(_05158_),
+    .B2(_03991_),
+    .Y(_05164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10591_ (.A1_N(_05162_),
+    .A2_N(_05164_),
+    .B1(_05085_),
+    .B2(_05162_),
+    .X(_00883_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10592_ (.A1(_05163_),
+    .A2(_04063_),
+    .B1(_05158_),
+    .B2(_04070_),
+    .Y(_05165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10593_ (.A1_N(_05162_),
+    .A2_N(_05165_),
+    .B1(_05096_),
+    .B2(_05162_),
+    .X(_00882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10594_ (.A(_05161_),
+    .X(_05166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10595_ (.A(_05145_),
+    .X(_05167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10596_ (.A1(_05163_),
+    .A2(_04110_),
+    .B1(_05167_),
+    .B2(_04115_),
+    .Y(_05168_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10597_ (.A1_N(_05166_),
+    .A2_N(_05168_),
+    .B1(_05107_),
+    .B2(_05166_),
+    .X(_00881_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10598_ (.A1(_05163_),
+    .A2(_04150_),
+    .B1(_05167_),
+    .B2(_04155_),
+    .Y(_05169_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10599_ (.A1_N(_05166_),
+    .A2_N(_05169_),
+    .B1(_05089_),
+    .B2(_05166_),
+    .X(_00880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10600_ (.A(_05161_),
+    .X(_05170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10601_ (.A(_02544_),
+    .X(_05171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10602_ (.A(_01732_),
+    .X(_05172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10603_ (.A1(_05171_),
+    .A2(_04471_),
+    .B1(_05172_),
+    .B2(_04476_),
+    .X(_05173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10604_ (.A1_N(_05170_),
+    .A2_N(_05173_),
+    .B1(\u_spictrl.cfg_data_cnt[7] ),
+    .B2(_05170_),
+    .X(_00879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10605_ (.A1(_05149_),
+    .A2(_04489_),
+    .B1(_05172_),
+    .B2(_04494_),
+    .X(_05174_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10606_ (.A1_N(_05170_),
+    .A2_N(_05174_),
+    .B1(\u_spictrl.cfg_data_cnt[6] ),
+    .B2(_05170_),
+    .X(_00878_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10607_ (.A(_05161_),
+    .X(_05175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10608_ (.A1(_05149_),
+    .A2(_04506_),
+    .B1(_05172_),
+    .B2(_04511_),
+    .X(_05176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10609_ (.A1_N(_05175_),
+    .A2_N(_05176_),
+    .B1(\u_spictrl.cfg_data_cnt[5] ),
+    .B2(_05175_),
+    .X(_00877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10610_ (.A1(_05149_),
+    .A2(_04522_),
+    .B1(_05172_),
+    .B2(_04527_),
+    .X(_05177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10611_ (.A1_N(_05175_),
+    .A2_N(_05177_),
+    .B1(_03753_),
+    .B2(_05175_),
+    .X(_00876_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10612_ (.A(_05140_),
+    .X(_05178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10613_ (.A(_05178_),
+    .X(_05179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10614_ (.A(_05143_),
+    .X(_05180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10615_ (.A1(_05180_),
+    .A2(_03950_),
+    .B1(_05167_),
+    .B2(_03974_),
+    .Y(_05181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10616_ (.A1_N(_05179_),
+    .A2_N(_05181_),
+    .B1(_03761_),
+    .B2(_05179_),
+    .X(_00875_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10617_ (.A1(_05180_),
+    .A2(_04048_),
+    .B1(_05167_),
+    .B2(_04059_),
+    .Y(_05182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10618_ (.A1_N(_05179_),
+    .A2_N(_05182_),
+    .B1(_04653_),
+    .B2(_05179_),
+    .X(_00874_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10619_ (.A(_05178_),
+    .X(_05183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10620_ (.A(_05145_),
+    .X(_05184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10621_ (.A1(_05180_),
+    .A2(_04101_),
+    .B1(_05184_),
+    .B2(_04107_),
+    .Y(_05185_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10622_ (.A1_N(_05183_),
+    .A2_N(_05185_),
+    .B1(\u_spictrl.cfg_data_cnt[1] ),
+    .B2(_05183_),
+    .X(_00873_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10623_ (.A1(_05180_),
+    .A2(_04142_),
+    .B1(_05184_),
+    .B2(_04147_),
+    .Y(_05186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10624_ (.A1_N(_05183_),
+    .A2_N(_05186_),
+    .B1(\u_spictrl.cfg_data_cnt[0] ),
+    .B2(_05183_),
+    .X(_00872_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10625_ (.A(_05178_),
+    .X(_05187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10626_ (.A(_01732_),
+    .X(_05188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10627_ (.A1(_05188_),
+    .A2(_04197_),
+    .B1(_05184_),
+    .B2(_04203_),
+    .Y(_05189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10628_ (.A1_N(_05187_),
+    .A2_N(_05189_),
+    .B1(\u_spictrl.cfg_dummy_cnt[1] ),
+    .B2(_05187_),
+    .X(_00871_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10629_ (.A1(_05188_),
+    .A2(_04231_),
+    .B1(_05184_),
+    .B2(_04237_),
+    .Y(_05190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10630_ (.A1_N(_05187_),
+    .A2_N(_05190_),
+    .B1(\u_spictrl.cfg_dummy_cnt[0] ),
+    .B2(_05187_),
+    .X(_00870_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10631_ (.A(_05178_),
+    .X(_05191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10632_ (.A1(_05188_),
+    .A2(_04261_),
+    .B1(_05171_),
+    .B2(_04268_),
+    .Y(_05192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10633_ (.A1_N(_05191_),
+    .A2_N(_05192_),
+    .B1(_03784_),
+    .B2(_05191_),
+    .X(_00869_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10634_ (.A1(_05188_),
+    .A2(_04296_),
+    .B1(_05171_),
+    .B2(_04301_),
+    .Y(_05193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10635_ (.A1_N(_05191_),
+    .A2_N(_05193_),
+    .B1(_04550_),
+    .B2(_05191_),
+    .X(_00868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10636_ (.A(_03980_),
+    .X(_05194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10637_ (.A1(\u_spictrl.cfg_m1_spi_switch[1] ),
+    .A2(_05194_),
+    .B1(\u_spictrl.cfg_m0_spi_switch[1] ),
+    .B2(psn_net_158),
+    .X(_05195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _10638_ (.A1_N(\u_spictrl.cfg_m1_spi_switch[0] ),
+    .A2_N(_05194_),
+    .B1(_02344_),
+    .B2(_05194_),
+    .X(_05196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10639_ (.A(_02018_),
+    .B(_03370_),
+    .C(_05195_),
+    .D(_05196_),
+    .X(_05197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10640_ (.A(_05197_),
+    .Y(_05198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10641_ (.A(_05195_),
+    .B(_05196_),
+    .C(_05046_),
+    .X(_05199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or3_4 _10642_ (.A(_05048_),
+    .B(_05198_),
+    .C(_05199_),
+    .X(_05200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10643_ (.A(_05194_),
+    .X(_05201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10644_ (.A(_05201_),
+    .X(_05202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10645_ (.A(_05202_),
+    .X(_05203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10646_ (.A(\u_spictrl.cfg_m1_spi_mode[1] ),
+    .B(_05203_),
+    .Y(_05204_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10647_ (.A(_05048_),
+    .X(spi_ctrl_status),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10648_ (.A1(_02368_),
+    .A2(_05203_),
+    .B1(_05204_),
+    .C1(spi_ctrl_status),
+    .X(_05205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10649_ (.A(_05200_),
+    .Y(_05206_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10650_ (.A1(_05200_),
+    .A2(_05205_),
+    .B1(_03767_),
+    .B2(_05206_),
+    .Y(_00867_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10651_ (.A(_05201_),
+    .X(_05207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nor2_4 _10652_ (.A(\u_spictrl.cfg_m1_spi_mode[0] ),
+    .B(_05207_),
+    .Y(_05208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10653_ (.A1(_02391_),
+    .A2(_05203_),
+    .B1(_05208_),
+    .C1(spi_ctrl_status),
+    .X(_05209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a22oi_4 _10654_ (.A1(_05200_),
+    .A2(_05209_),
+    .B1(_03762_),
+    .B2(_05206_),
+    .Y(_00866_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10655_ (.A(psn_net_180),
+    .X(_05210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10656_ (.A(_01480_),
+    .X(_05211_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10657_ (.A(_01486_),
+    .X(_05212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10658_ (.A(\u_spictrl.res_fifo_wr ),
+    .Y(_05213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10659_ (.A(_05213_),
+    .B(_01604_),
+    .X(_05214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10660_ (.A(_05212_),
+    .B(_05214_),
+    .X(_05215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10661_ (.A(_01489_),
+    .B(_05215_),
+    .X(_05216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10662_ (.A(_05211_),
+    .B(_05216_),
+    .X(_05217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10663_ (.A(_05217_),
+    .Y(_05218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10664_ (.A(\u_m1_res_fifo.wr_ptr[3] ),
+    .B(_05218_),
+    .X(_05219_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10665_ (.A(_01482_),
+    .B(_05217_),
+    .X(_05220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10666_ (.A(_05210_),
+    .B(_05219_),
+    .C(_05220_),
+    .X(_00865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10667_ (.A(_01605_),
+    .Y(\u_m1_res_fifo.flush ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10668_ (.A(_05218_),
+    .X(_05221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10669_ (.A1(_05211_),
+    .A2(_05216_),
+    .B1(psn_net_177),
+    .C1(_05221_),
+    .X(_05222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10670_ (.A(_05222_),
+    .Y(_00864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10671_ (.A(\u_m1_res_fifo.wr_ptr[1] ),
+    .X(_05223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10672_ (.A(_05215_),
+    .Y(_05224_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10673_ (.A(_05223_),
+    .B(_05224_),
+    .X(_05225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10674_ (.A(_05216_),
+    .B(_05225_),
+    .C(psn_net_181),
+    .X(_00863_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10675_ (.A(_05214_),
+    .X(_05226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10676_ (.A(_05226_),
+    .X(_05227_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _10677_ (.A1(_05212_),
+    .A2(_05227_),
+    .B1(_05224_),
+    .C1(psn_net_178),
+    .X(_05228_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10678_ (.A(_05228_),
+    .Y(_00862_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10679_ (.A(_01567_),
+    .B(_01499_),
+    .X(_05229_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10680_ (.A(_01554_),
+    .B(_05229_),
+    .X(_05230_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10681_ (.A(_01576_),
+    .B(_05230_),
+    .X(_05231_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a21oi_4 _10682_ (.A1(_01483_),
+    .A2(_05231_),
+    .B1(psn_net_176),
+    .Y(_05232_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _10683_ (.A1(_01483_),
+    .A2(_05231_),
+    .B1(_05232_),
+    .X(_00861_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _10684_ (.A(_01576_),
+    .B(_05230_),
+    .Y(_05233_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10685_ (.A(_05210_),
+    .B(_05231_),
+    .C(_05233_),
+    .X(_00860_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _10686_ (.A(_01554_),
+    .B(_05229_),
+    .Y(_05234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10687_ (.A(_05210_),
+    .B(_05230_),
+    .C(_05234_),
+    .X(_00859_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10688_ (.A(_01570_),
+    .B(_01596_),
+    .X(_05235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10689_ (.A(_05210_),
+    .B(_05229_),
     .C(_05235_),
-    .D(_05236_),
-    .X(_05237_),
+    .X(_00858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10757_ (.A(_05232_),
-    .B(_05237_),
-    .Y(_05238_),
+ sky130_fd_sc_hd__buf_2 _10690_ (.A(_02599_),
+    .X(_05236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10758_ (.A1(_02025_),
-    .A2(_05186_),
-    .B1(psn_net_103),
+ sky130_fd_sc_hd__inv_2 _10691_ (.A(wbd_stb_i),
+    .Y(_05237_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or4_4 _10692_ (.A(\u_spim_regs.spim_reg_ack ),
+    .B(_01627_),
+    .C(wbd_ack_o),
+    .D(_05237_),
+    .X(_05238_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10693_ (.A1(_05236_),
+    .A2(_01283_),
+    .B1(_02727_),
     .B2(_05238_),
     .X(_05239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10759_ (.A(_05239_),
-    .Y(_00891_),
+ sky130_fd_sc_hd__inv_2 _10694_ (.A(_05239_),
+    .Y(_00857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10760_ (.A(_02523_),
-    .B(_05174_),
+ sky130_fd_sc_hd__buf_2 _10695_ (.A(_05236_),
     .X(_05240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10761_ (.A(_05240_),
+ sky130_fd_sc_hd__buf_2 _10696_ (.A(_01628_),
     .X(_05241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10762_ (.A(_05241_),
+ sky130_fd_sc_hd__buf_2 _10697_ (.A(_05241_),
     .X(_05242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10763_ (.A(_01730_),
-    .X(_05243_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10764_ (.A(_05243_),
-    .X(_05244_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10765_ (.A(_02570_),
-    .X(\u_m0_cmd_fifo.empty ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10766_ (.A1(_05244_),
-    .A2(_04246_),
-    .B1(\u_m0_cmd_fifo.empty ),
-    .B2(_04251_),
-    .Y(_05245_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10767_ (.A1_N(_05242_),
-    .A2_N(_05245_),
-    .B1(\u_spictrl.spi_mode_cmd[7] ),
-    .B2(_05242_),
-    .X(_00890_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10768_ (.A1(_05244_),
-    .A2(_04288_),
-    .B1(\u_m0_cmd_fifo.empty ),
-    .B2(_04296_),
-    .Y(_05246_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10769_ (.A1_N(_05242_),
-    .A2_N(_05246_),
-    .B1(\u_spictrl.spi_mode_cmd[6] ),
-    .B2(_05242_),
-    .X(_00889_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10770_ (.A(_05241_),
-    .X(_05247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10771_ (.A(_02570_),
-    .X(_05248_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10772_ (.A(_05248_),
-    .X(_05249_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10773_ (.A1(_05244_),
-    .A2(_04328_),
-    .B1(_05249_),
-    .B2(_04333_),
-    .Y(_05250_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10774_ (.A1_N(_05247_),
-    .A2_N(_05250_),
-    .B1(\u_spictrl.spi_mode_cmd[5] ),
-    .B2(_05247_),
-    .X(_00888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10775_ (.A1(_05244_),
-    .A2(_04361_),
-    .B1(_05249_),
-    .B2(_04366_),
-    .Y(_05251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10776_ (.A1_N(_05247_),
-    .A2_N(_05251_),
-    .B1(\u_spictrl.spi_mode_cmd[4] ),
-    .B2(_05247_),
-    .X(_00887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10777_ (.A(_05241_),
-    .X(_05252_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10778_ (.A(_05243_),
-    .X(_05253_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10779_ (.A1(_05253_),
-    .A2(_03992_),
-    .B1(_05249_),
-    .B2(_04011_),
-    .Y(_05254_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10780_ (.A1_N(_05252_),
-    .A2_N(_05254_),
-    .B1(\u_spictrl.spi_mode_cmd[3] ),
-    .B2(_05252_),
-    .X(_00886_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10781_ (.A1(_05253_),
-    .A2(_04088_),
-    .B1(_05249_),
-    .B2(_04094_),
-    .Y(_05255_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10782_ (.A1_N(_05252_),
-    .A2_N(_05255_),
-    .B1(\u_spictrl.spi_mode_cmd[2] ),
-    .B2(_05252_),
-    .X(_00885_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10783_ (.A(_05241_),
-    .X(_05256_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10784_ (.A(_02570_),
-    .X(_05257_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10785_ (.A(_05257_),
-    .X(_05258_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10786_ (.A1(_05253_),
-    .A2(_04141_),
-    .B1(_05258_),
-    .B2(_04146_),
-    .Y(_05259_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10787_ (.A1_N(_05256_),
-    .A2_N(_05259_),
-    .B1(\u_spictrl.spi_mode_cmd[1] ),
-    .B2(_05256_),
-    .X(_00884_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10788_ (.A1(_05253_),
-    .A2(_04185_),
-    .B1(_05258_),
-    .B2(_04190_),
-    .Y(_05260_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10789_ (.A1_N(_05256_),
-    .A2_N(_05260_),
-    .B1(\u_spictrl.spi_mode_cmd[0] ),
-    .B2(_05256_),
-    .X(_00883_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10790_ (.A(_05240_),
-    .X(_05261_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10791_ (.A(_05261_),
-    .X(_05262_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10792_ (.A(_05243_),
-    .X(_05263_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10793_ (.A1(_05263_),
-    .A2(_04047_),
-    .B1(_05258_),
-    .B2(_04058_),
-    .Y(_05264_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10794_ (.A1_N(_05262_),
-    .A2_N(_05264_),
-    .B1(_05206_),
-    .B2(_05262_),
-    .X(_00882_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10795_ (.A1(_05263_),
-    .A2(_04108_),
-    .B1(_05258_),
-    .B2(_04113_),
-    .Y(_05265_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10796_ (.A1_N(_05262_),
-    .A2_N(_05265_),
-    .B1(_05198_),
-    .B2(_05262_),
-    .X(_00881_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10797_ (.A(_05261_),
-    .X(_05266_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10798_ (.A(_05257_),
-    .X(_05267_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10799_ (.A1(_05263_),
-    .A2(_04158_),
-    .B1(_05267_),
-    .B2(_04163_),
-    .Y(_05268_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10800_ (.A1_N(_05266_),
-    .A2_N(_05268_),
-    .B1(_05208_),
-    .B2(_05266_),
-    .X(_00880_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10801_ (.A1(_05263_),
-    .A2(_04202_),
-    .B1(_05267_),
-    .B2(_04207_),
-    .Y(_05269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10802_ (.A1_N(_05266_),
-    .A2_N(_05269_),
-    .B1(_05197_),
-    .B2(_05266_),
-    .X(_00879_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10803_ (.A(wbd_stb_i),
-    .Y(_05270_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _10804_ (.A(\u_spim_regs.spim_reg_ack ),
-    .B(_01626_),
-    .C(wbd_ack_o),
-    .D(_05270_),
-    .X(_05271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10805_ (.A1(_02672_),
-    .A2(_01464_),
-    .B1(_01426_),
-    .B2(_05271_),
-    .X(_05272_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10806_ (.A(_05272_),
-    .Y(_00878_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10807_ (.A(_02618_),
-    .X(_05273_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10808_ (.A(_05273_),
-    .X(_05274_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10809_ (.A(_02567_),
-    .X(_05275_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10810_ (.A1(_05274_),
+ sky130_fd_sc_hd__o22a_4 _10698_ (.A1(_05240_),
     .A2(\u_spim_regs.spim_reg_wdata[31] ),
-    .B1(_05275_),
+    .B1(_05242_),
     .B2(wbd_dat_i[31]),
-    .X(_00877_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10811_ (.A(_01625_),
-    .X(_05276_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10812_ (.A(_05276_),
-    .X(_05277_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10813_ (.A(_05277_),
-    .X(_05278_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10814_ (.A1(_05274_),
-    .A2(\u_spim_regs.spim_reg_wdata[30] ),
-    .B1(_05278_),
-    .B2(wbd_dat_i[30]),
-    .X(_00876_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10815_ (.A1(_05274_),
-    .A2(\u_spim_regs.spim_reg_wdata[29] ),
-    .B1(_05278_),
-    .B2(wbd_dat_i[29]),
-    .X(_00875_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10816_ (.A1(_05274_),
-    .A2(\u_spim_regs.spim_reg_wdata[28] ),
-    .B1(_05278_),
-    .B2(wbd_dat_i[28]),
-    .X(_00874_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10817_ (.A(_05273_),
-    .X(_05279_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10818_ (.A1(_05279_),
-    .A2(\u_spim_regs.spim_reg_wdata[27] ),
-    .B1(_05278_),
-    .B2(wbd_dat_i[27]),
-    .X(_00873_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10819_ (.A(_05277_),
-    .X(_05280_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10820_ (.A1(_05279_),
-    .A2(\u_spim_regs.spim_reg_wdata[26] ),
-    .B1(_05280_),
-    .B2(wbd_dat_i[26]),
-    .X(_00872_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10821_ (.A1(_05279_),
-    .A2(\u_spim_regs.spim_reg_wdata[25] ),
-    .B1(_05280_),
-    .B2(wbd_dat_i[25]),
-    .X(_00871_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10822_ (.A1(_05279_),
-    .A2(\u_spim_regs.spim_reg_wdata[24] ),
-    .B1(_05280_),
-    .B2(wbd_dat_i[24]),
-    .X(_00870_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10823_ (.A(_05273_),
-    .X(_05281_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10824_ (.A1(\u_spim_regs.spim_reg_wdata[23] ),
-    .A2(_05281_),
-    .B1(_05280_),
-    .B2(wbd_dat_i[23]),
-    .X(_00869_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10825_ (.A(_05273_),
-    .X(_05282_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10826_ (.A(_05277_),
-    .X(_05283_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10827_ (.A1(_05282_),
-    .A2(\u_spim_regs.spim_reg_wdata[22] ),
-    .B1(_05283_),
-    .B2(wbd_dat_i[22]),
-    .X(_00868_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10828_ (.A1(_05282_),
-    .A2(\u_spim_regs.spim_reg_wdata[21] ),
-    .B1(_05283_),
-    .B2(wbd_dat_i[21]),
-    .X(_00867_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10829_ (.A1(_05282_),
-    .A2(\u_spim_regs.spim_reg_wdata[20] ),
-    .B1(_05283_),
-    .B2(wbd_dat_i[20]),
-    .X(_00866_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10830_ (.A1(_05282_),
-    .A2(\u_spim_regs.spim_reg_wdata[19] ),
-    .B1(_05283_),
-    .B2(wbd_dat_i[19]),
-    .X(_00865_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10831_ (.A(_02618_),
-    .X(_05284_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10832_ (.A(_05284_),
-    .X(_05285_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10833_ (.A(_05277_),
-    .X(_05286_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10834_ (.A1(_05285_),
-    .A2(\u_spim_regs.spim_reg_wdata[18] ),
-    .B1(_05286_),
-    .B2(wbd_dat_i[18]),
-    .X(_00864_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10835_ (.A1(_05285_),
-    .A2(\u_spim_regs.spim_reg_wdata[17] ),
-    .B1(_05286_),
-    .B2(wbd_dat_i[17]),
-    .X(_00863_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10836_ (.A1(_05285_),
-    .A2(\u_spim_regs.spim_reg_wdata[16] ),
-    .B1(_05286_),
-    .B2(wbd_dat_i[16]),
-    .X(_00862_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10837_ (.A1(_05285_),
-    .A2(_02620_),
-    .B1(_05286_),
-    .B2(wbd_dat_i[15]),
-    .X(_00861_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10838_ (.A(_05284_),
-    .X(_05287_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10839_ (.A(_05276_),
-    .X(_05288_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10840_ (.A(_05288_),
-    .X(_05289_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10841_ (.A1(_05287_),
-    .A2(_02630_),
-    .B1(_05289_),
-    .B2(wbd_dat_i[14]),
-    .X(_00860_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10842_ (.A1(_05287_),
-    .A2(_02632_),
-    .B1(_05289_),
-    .B2(wbd_dat_i[13]),
-    .X(_00859_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10843_ (.A1(_05287_),
-    .A2(_02635_),
-    .B1(_05289_),
-    .B2(wbd_dat_i[12]),
-    .X(_00858_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10844_ (.A1(_05287_),
-    .A2(_02638_),
-    .B1(_05289_),
-    .B2(wbd_dat_i[11]),
-    .X(_00857_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10845_ (.A(_05284_),
-    .X(_05290_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10846_ (.A(_05288_),
-    .X(_05291_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10847_ (.A1(_05290_),
-    .A2(_02641_),
-    .B1(_05291_),
-    .B2(wbd_dat_i[10]),
     .X(_00856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10848_ (.A1(_05290_),
-    .A2(_02643_),
-    .B1(_05291_),
-    .B2(wbd_dat_i[9]),
+ sky130_fd_sc_hd__o22a_4 _10699_ (.A1(_05240_),
+    .A2(\u_spim_regs.spim_reg_wdata[30] ),
+    .B1(_05242_),
+    .B2(wbd_dat_i[30]),
     .X(_00855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10849_ (.A1(_05290_),
-    .A2(_03614_),
-    .B1(_05291_),
-    .B2(wbd_dat_i[8]),
+ sky130_fd_sc_hd__buf_2 _10700_ (.A(_02541_),
+    .X(_05243_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10701_ (.A1(_05240_),
+    .A2(\u_spim_regs.spim_reg_wdata[29] ),
+    .B1(_05243_),
+    .B2(wbd_dat_i[29]),
     .X(_00854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10850_ (.A1(_05290_),
-    .A2(_02585_),
-    .B1(_05291_),
-    .B2(wbd_dat_i[7]),
+ sky130_fd_sc_hd__o22a_4 _10702_ (.A1(_05240_),
+    .A2(\u_spim_regs.spim_reg_wdata[28] ),
+    .B1(_05243_),
+    .B2(wbd_dat_i[28]),
     .X(_00853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10851_ (.A(_05284_),
-    .X(_05292_),
+ sky130_fd_sc_hd__buf_2 _10703_ (.A(_05236_),
+    .X(_05244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10852_ (.A(_05288_),
-    .X(_05293_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10853_ (.A1(_05292_),
-    .A2(_02602_),
-    .B1(_05293_),
-    .B2(wbd_dat_i[6]),
+ sky130_fd_sc_hd__o22a_4 _10704_ (.A1(_05244_),
+    .A2(\u_spim_regs.spim_reg_wdata[27] ),
+    .B1(_05243_),
+    .B2(wbd_dat_i[27]),
     .X(_00852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10854_ (.A1(_05292_),
-    .A2(_02606_),
-    .B1(_05293_),
-    .B2(wbd_dat_i[5]),
+ sky130_fd_sc_hd__o22a_4 _10705_ (.A1(_05244_),
+    .A2(\u_spim_regs.spim_reg_wdata[26] ),
+    .B1(_05243_),
+    .B2(wbd_dat_i[26]),
     .X(_00851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10855_ (.A1(_05292_),
-    .A2(_02608_),
-    .B1(_05293_),
-    .B2(wbd_dat_i[4]),
+ sky130_fd_sc_hd__buf_2 _10706_ (.A(_02673_),
+    .X(_05245_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10707_ (.A(_05245_),
+    .X(_05246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10708_ (.A1(_05244_),
+    .A2(\u_spim_regs.spim_reg_wdata[25] ),
+    .B1(_05246_),
+    .B2(wbd_dat_i[25]),
     .X(_00850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10856_ (.A1(_05292_),
-    .A2(_03621_),
-    .B1(_05293_),
-    .B2(wbd_dat_i[3]),
+ sky130_fd_sc_hd__o22a_4 _10709_ (.A1(_05244_),
+    .A2(\u_spim_regs.spim_reg_wdata[24] ),
+    .B1(_05246_),
+    .B2(wbd_dat_i[24]),
     .X(_00849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10857_ (.A(_02614_),
-    .X(_05294_),
+ sky130_fd_sc_hd__buf_2 _10710_ (.A(_05236_),
+    .X(_05247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10858_ (.A(_05294_),
-    .X(_05295_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10859_ (.A(_05288_),
-    .X(_05296_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10860_ (.A1(_05295_),
-    .A2(_03622_),
-    .B1(_05296_),
-    .B2(wbd_dat_i[2]),
+ sky130_fd_sc_hd__o22a_4 _10711_ (.A1(\u_spim_regs.spim_reg_wdata[23] ),
+    .A2(_05247_),
+    .B1(_05246_),
+    .B2(wbd_dat_i[23]),
     .X(_00848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10861_ (.A1(_05295_),
-    .A2(_03624_),
-    .B1(_05296_),
-    .B2(wbd_dat_i[1]),
+ sky130_fd_sc_hd__buf_2 _10712_ (.A(_02612_),
+    .X(_05248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10713_ (.A(_05248_),
+    .X(_05249_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10714_ (.A1(_05249_),
+    .A2(\u_spim_regs.spim_reg_wdata[22] ),
+    .B1(_05246_),
+    .B2(wbd_dat_i[22]),
     .X(_00847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10862_ (.A1(_05295_),
-    .A2(_03625_),
-    .B1(_05296_),
-    .B2(wbd_dat_i[0]),
+ sky130_fd_sc_hd__buf_2 _10715_ (.A(_05245_),
+    .X(_05250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10716_ (.A1(_05249_),
+    .A2(\u_spim_regs.spim_reg_wdata[21] ),
+    .B1(_05250_),
+    .B2(wbd_dat_i[21]),
     .X(_00846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10863_ (.A1(_05295_),
-    .A2(\u_wb_if.spim_wb_addr[31] ),
-    .B1(_05296_),
-    .B2(wbd_adr_i[31]),
+ sky130_fd_sc_hd__o22a_4 _10717_ (.A1(_05249_),
+    .A2(\u_spim_regs.spim_reg_wdata[20] ),
+    .B1(_05250_),
+    .B2(wbd_dat_i[20]),
     .X(_00845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10864_ (.A(_05294_),
-    .X(_05297_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10865_ (.A(_05276_),
-    .X(_05298_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10866_ (.A(_05298_),
-    .X(_05299_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10867_ (.A1(_05297_),
-    .A2(\u_wb_if.spim_wb_addr[30] ),
-    .B1(_05299_),
-    .B2(wbd_adr_i[30]),
+ sky130_fd_sc_hd__o22a_4 _10718_ (.A1(_05249_),
+    .A2(\u_spim_regs.spim_reg_wdata[19] ),
+    .B1(_05250_),
+    .B2(wbd_dat_i[19]),
     .X(_00844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10868_ (.A1(_05297_),
-    .A2(\u_wb_if.spim_wb_addr[29] ),
-    .B1(_05299_),
-    .B2(wbd_adr_i[29]),
+ sky130_fd_sc_hd__buf_2 _10719_ (.A(_05248_),
+    .X(_05251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10720_ (.A1(_05251_),
+    .A2(\u_spim_regs.spim_reg_wdata[18] ),
+    .B1(_05250_),
+    .B2(wbd_dat_i[18]),
     .X(_00843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10869_ (.A1(_05297_),
-    .A2(_01282_),
-    .B1(_05299_),
-    .B2(wbd_adr_i[28]),
+ sky130_fd_sc_hd__buf_2 _10721_ (.A(_05245_),
+    .X(_05252_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10722_ (.A1(_05251_),
+    .A2(\u_spim_regs.spim_reg_wdata[17] ),
+    .B1(_05252_),
+    .B2(wbd_dat_i[17]),
     .X(_00842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10870_ (.A1(_05297_),
-    .A2(\u_wb_if.spim_wb_addr[27] ),
-    .B1(_05299_),
-    .B2(wbd_adr_i[27]),
+ sky130_fd_sc_hd__o22a_4 _10723_ (.A1(_05251_),
+    .A2(\u_spim_regs.spim_reg_wdata[16] ),
+    .B1(_05252_),
+    .B2(wbd_dat_i[16]),
     .X(_00841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10871_ (.A(_05294_),
-    .X(_05300_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10872_ (.A(_05298_),
-    .X(_05301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10873_ (.A1(_05300_),
-    .A2(\u_wb_if.spim_wb_addr[26] ),
-    .B1(_05301_),
-    .B2(wbd_adr_i[26]),
+ sky130_fd_sc_hd__o22a_4 _10724_ (.A1(_05251_),
+    .A2(_02553_),
+    .B1(_05252_),
+    .B2(wbd_dat_i[15]),
     .X(_00840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10874_ (.A1(_05300_),
-    .A2(\u_wb_if.spim_wb_addr[25] ),
-    .B1(_05301_),
-    .B2(wbd_adr_i[25]),
+ sky130_fd_sc_hd__buf_2 _10725_ (.A(_05248_),
+    .X(_05253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10726_ (.A1(_05253_),
+    .A2(_02573_),
+    .B1(_05252_),
+    .B2(wbd_dat_i[14]),
     .X(_00839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10875_ (.A1(_05300_),
-    .A2(\u_wb_if.spim_wb_addr[24] ),
-    .B1(_05301_),
-    .B2(wbd_adr_i[24]),
+ sky130_fd_sc_hd__buf_2 _10727_ (.A(_05245_),
+    .X(_05254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10728_ (.A1(_05253_),
+    .A2(_02577_),
+    .B1(_05254_),
+    .B2(wbd_dat_i[13]),
     .X(_00838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10876_ (.A1(_05300_),
-    .A2(\u_wb_if.spim_wb_addr[23] ),
-    .B1(_05301_),
-    .B2(wbd_adr_i[23]),
+ sky130_fd_sc_hd__o22a_4 _10729_ (.A1(_05253_),
+    .A2(_02579_),
+    .B1(_05254_),
+    .B2(wbd_dat_i[12]),
     .X(_00837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10877_ (.A(_05294_),
-    .X(_05302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10878_ (.A(_05298_),
-    .X(_05303_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10879_ (.A1(_05302_),
-    .A2(\u_wb_if.spim_wb_addr[22] ),
-    .B1(_05303_),
-    .B2(wbd_adr_i[22]),
+ sky130_fd_sc_hd__o22a_4 _10730_ (.A1(_05253_),
+    .A2(_02582_),
+    .B1(_05254_),
+    .B2(wbd_dat_i[11]),
     .X(_00836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10880_ (.A1(_05302_),
-    .A2(\u_wb_if.spim_wb_addr[21] ),
-    .B1(_05303_),
-    .B2(wbd_adr_i[21]),
+ sky130_fd_sc_hd__buf_2 _10731_ (.A(_05248_),
+    .X(_05255_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10732_ (.A1(_05255_),
+    .A2(_02585_),
+    .B1(_05254_),
+    .B2(wbd_dat_i[10]),
     .X(_00835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10881_ (.A1(_05302_),
-    .A2(\u_wb_if.spim_wb_addr[20] ),
-    .B1(_05303_),
-    .B2(wbd_adr_i[20]),
+ sky130_fd_sc_hd__buf_2 _10733_ (.A(_02673_),
+    .X(_05256_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10734_ (.A(_05256_),
+    .X(_05257_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10735_ (.A1(_05255_),
+    .A2(_02588_),
+    .B1(_05257_),
+    .B2(wbd_dat_i[9]),
     .X(_00834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10882_ (.A1(_05302_),
-    .A2(\u_wb_if.spim_wb_addr[19] ),
-    .B1(_05303_),
-    .B2(wbd_adr_i[19]),
+ sky130_fd_sc_hd__o22a_4 _10736_ (.A1(_05255_),
+    .A2(_03583_),
+    .B1(_05257_),
+    .B2(wbd_dat_i[8]),
     .X(_00833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10883_ (.A(_02614_),
-    .X(_05304_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10884_ (.A(_05304_),
-    .X(_05305_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10885_ (.A(_05298_),
-    .X(_05306_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10886_ (.A1(_05305_),
-    .A2(\u_wb_if.spim_wb_addr[18] ),
-    .B1(_05306_),
-    .B2(wbd_adr_i[18]),
+ sky130_fd_sc_hd__o22a_4 _10737_ (.A1(_05255_),
+    .A2(_02619_),
+    .B1(_05257_),
+    .B2(wbd_dat_i[7]),
     .X(_00832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10887_ (.A1(_05305_),
-    .A2(\u_wb_if.spim_wb_addr[17] ),
-    .B1(_05306_),
-    .B2(wbd_adr_i[17]),
+ sky130_fd_sc_hd__buf_2 _10738_ (.A(_02575_),
+    .X(_05258_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10739_ (.A(_05258_),
+    .X(_05259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10740_ (.A1(_05259_),
+    .A2(_02623_),
+    .B1(_05257_),
+    .B2(wbd_dat_i[6]),
     .X(_00831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10888_ (.A1(_05305_),
-    .A2(\u_wb_if.spim_wb_addr[16] ),
-    .B1(_05306_),
-    .B2(wbd_adr_i[16]),
+ sky130_fd_sc_hd__buf_2 _10741_ (.A(_05256_),
+    .X(_05260_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10742_ (.A1(_05259_),
+    .A2(_02625_),
+    .B1(_05260_),
+    .B2(wbd_dat_i[5]),
     .X(_00830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10889_ (.A1(_05305_),
-    .A2(\u_wb_if.spim_wb_addr[15] ),
-    .B1(_05306_),
-    .B2(wbd_adr_i[15]),
+ sky130_fd_sc_hd__o22a_4 _10743_ (.A1(_05259_),
+    .A2(_02627_),
+    .B1(_05260_),
+    .B2(wbd_dat_i[4]),
     .X(_00829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10890_ (.A(_05304_),
-    .X(_05307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10891_ (.A(_05276_),
-    .X(_05308_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10892_ (.A(_05308_),
-    .X(_05309_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10893_ (.A1(_05307_),
-    .A2(\u_wb_if.spim_wb_addr[14] ),
-    .B1(_05309_),
-    .B2(wbd_adr_i[14]),
+ sky130_fd_sc_hd__o22a_4 _10744_ (.A1(_05259_),
+    .A2(_03589_),
+    .B1(_05260_),
+    .B2(wbd_dat_i[3]),
     .X(_00828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10894_ (.A1(_05307_),
-    .A2(\u_wb_if.spim_wb_addr[13] ),
-    .B1(_05309_),
-    .B2(wbd_adr_i[13]),
+ sky130_fd_sc_hd__buf_2 _10745_ (.A(_05258_),
+    .X(_05261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10746_ (.A1(_05261_),
+    .A2(_03590_),
+    .B1(_05260_),
+    .B2(wbd_dat_i[2]),
     .X(_00827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10895_ (.A1(_05307_),
-    .A2(\u_wb_if.spim_wb_addr[12] ),
-    .B1(_05309_),
-    .B2(wbd_adr_i[12]),
+ sky130_fd_sc_hd__buf_2 _10747_ (.A(_05256_),
+    .X(_05262_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10748_ (.A1(_05261_),
+    .A2(_03592_),
+    .B1(_05262_),
+    .B2(wbd_dat_i[1]),
     .X(_00826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10896_ (.A1(_05307_),
-    .A2(\u_wb_if.spim_wb_addr[11] ),
-    .B1(_05309_),
-    .B2(wbd_adr_i[11]),
+ sky130_fd_sc_hd__o22a_4 _10749_ (.A1(_05261_),
+    .A2(_03593_),
+    .B1(_05262_),
+    .B2(wbd_dat_i[0]),
     .X(_00825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10897_ (.A(_05304_),
-    .X(_05310_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10898_ (.A(_05308_),
-    .X(_05311_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10899_ (.A1(_05310_),
-    .A2(\u_wb_if.spim_wb_addr[10] ),
-    .B1(_05311_),
-    .B2(wbd_adr_i[10]),
+ sky130_fd_sc_hd__o22a_4 _10750_ (.A1(_05261_),
+    .A2(\u_wb_if.spim_wb_addr[31] ),
+    .B1(_05262_),
+    .B2(wbd_adr_i[31]),
     .X(_00824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10900_ (.A1(_05310_),
-    .A2(\u_wb_if.spim_wb_addr[9] ),
-    .B1(_05311_),
-    .B2(wbd_adr_i[9]),
+ sky130_fd_sc_hd__buf_2 _10751_ (.A(_05258_),
+    .X(_05263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10752_ (.A1(_05263_),
+    .A2(\u_wb_if.spim_wb_addr[30] ),
+    .B1(_05262_),
+    .B2(wbd_adr_i[30]),
     .X(_00823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10901_ (.A1(_05310_),
-    .A2(\u_wb_if.spim_wb_addr[8] ),
-    .B1(_05311_),
-    .B2(wbd_adr_i[8]),
+ sky130_fd_sc_hd__buf_2 _10753_ (.A(_05256_),
+    .X(_05264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10754_ (.A1(_05263_),
+    .A2(\u_wb_if.spim_wb_addr[29] ),
+    .B1(_05264_),
+    .B2(wbd_adr_i[29]),
     .X(_00822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10902_ (.A1(_05310_),
-    .A2(\u_wb_if.spim_wb_addr[7] ),
-    .B1(_05311_),
-    .B2(wbd_adr_i[7]),
+ sky130_fd_sc_hd__o22a_4 _10755_ (.A1(_05263_),
+    .A2(_01284_),
+    .B1(_05264_),
+    .B2(wbd_adr_i[28]),
     .X(_00821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10903_ (.A(_05304_),
-    .X(_05312_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10904_ (.A(_05308_),
-    .X(_05313_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10905_ (.A1(_05312_),
-    .A2(\u_wb_if.spim_wb_addr[6] ),
-    .B1(_05313_),
-    .B2(wbd_adr_i[6]),
+ sky130_fd_sc_hd__o22a_4 _10756_ (.A1(_05263_),
+    .A2(\u_wb_if.spim_wb_addr[27] ),
+    .B1(_05264_),
+    .B2(wbd_adr_i[27]),
     .X(_00820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10906_ (.A1(_05312_),
-    .A2(_02086_),
-    .B1(_05313_),
-    .B2(wbd_adr_i[5]),
+ sky130_fd_sc_hd__buf_2 _10757_ (.A(_05258_),
+    .X(_05265_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10758_ (.A1(_05265_),
+    .A2(\u_wb_if.spim_wb_addr[26] ),
+    .B1(_05264_),
+    .B2(wbd_adr_i[26]),
     .X(_00819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10907_ (.A1(_05312_),
-    .A2(_02087_),
-    .B1(_05313_),
-    .B2(wbd_adr_i[4]),
+ sky130_fd_sc_hd__buf_2 _10759_ (.A(_02673_),
+    .X(_05266_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10760_ (.A(_05266_),
+    .X(_05267_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10761_ (.A1(_05265_),
+    .A2(\u_wb_if.spim_wb_addr[25] ),
+    .B1(_05267_),
+    .B2(wbd_adr_i[25]),
     .X(_00818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10908_ (.A1(_05312_),
-    .A2(_02085_),
-    .B1(_05313_),
-    .B2(wbd_adr_i[3]),
+ sky130_fd_sc_hd__o22a_4 _10762_ (.A1(_05265_),
+    .A2(\u_wb_if.spim_wb_addr[24] ),
+    .B1(_05267_),
+    .B2(wbd_adr_i[24]),
     .X(_00817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10909_ (.A(_02629_),
-    .X(_05314_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10910_ (.A(_05308_),
-    .X(_05315_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10911_ (.A1(_05314_),
-    .A2(_01517_),
-    .B1(_05315_),
-    .B2(wbd_adr_i[2]),
+ sky130_fd_sc_hd__o22a_4 _10763_ (.A1(_05265_),
+    .A2(\u_wb_if.spim_wb_addr[23] ),
+    .B1(_05267_),
+    .B2(wbd_adr_i[23]),
     .X(_00816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10912_ (.A1(_05314_),
-    .A2(\u_wb_if.spim_wb_addr[1] ),
-    .B1(_05315_),
-    .B2(wbd_adr_i[1]),
+ sky130_fd_sc_hd__buf_2 _10764_ (.A(_02575_),
+    .X(_05268_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10765_ (.A(_05268_),
+    .X(_05269_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10766_ (.A1(_05269_),
+    .A2(\u_wb_if.spim_wb_addr[22] ),
+    .B1(_05267_),
+    .B2(wbd_adr_i[22]),
     .X(_00815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10913_ (.A1(_05314_),
-    .A2(\u_wb_if.spim_wb_addr[0] ),
-    .B1(_05315_),
-    .B2(wbd_adr_i[0]),
+ sky130_fd_sc_hd__buf_2 _10767_ (.A(_05266_),
+    .X(_05270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10768_ (.A1(_05269_),
+    .A2(\u_wb_if.spim_wb_addr[21] ),
+    .B1(_05270_),
+    .B2(wbd_adr_i[21]),
     .X(_00814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10914_ (.A(_02732_),
-    .B(_02733_),
-    .Y(_05316_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10915_ (.A1_N(_05275_),
-    .A2_N(_05316_),
-    .B1(_05275_),
-    .B2(wbd_ack_o),
+ sky130_fd_sc_hd__o22a_4 _10769_ (.A1(_05269_),
+    .A2(\u_wb_if.spim_wb_addr[20] ),
+    .B1(_05270_),
+    .B2(wbd_adr_i[20]),
     .X(_00813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10916_ (.A1(_05314_),
-    .A2(\u_spim_regs.spim_reg_we ),
-    .B1(_05315_),
-    .B2(wbd_we_i),
+ sky130_fd_sc_hd__o22a_4 _10770_ (.A1(_05269_),
+    .A2(\u_wb_if.spim_wb_addr[19] ),
+    .B1(_05270_),
+    .B2(wbd_adr_i[19]),
     .X(_00812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10917_ (.A(_02629_),
-    .X(_05317_),
+ sky130_fd_sc_hd__buf_2 _10771_ (.A(_05268_),
+    .X(_05271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10918_ (.A(_02587_),
-    .X(_05318_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10919_ (.A1(_05317_),
-    .A2(\u_spim_regs.spim_reg_be[3] ),
-    .B1(_05318_),
-    .B2(wbd_sel_i[3]),
+ sky130_fd_sc_hd__o22a_4 _10772_ (.A1(_05271_),
+    .A2(\u_wb_if.spim_wb_addr[18] ),
+    .B1(_05270_),
+    .B2(wbd_adr_i[18]),
     .X(_00811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10920_ (.A1(_05317_),
-    .A2(\u_spim_regs.spim_reg_be[2] ),
-    .B1(_05318_),
-    .B2(wbd_sel_i[2]),
+ sky130_fd_sc_hd__buf_2 _10773_ (.A(_05266_),
+    .X(_05272_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10774_ (.A1(_05271_),
+    .A2(\u_wb_if.spim_wb_addr[17] ),
+    .B1(_05272_),
+    .B2(wbd_adr_i[17]),
     .X(_00810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10921_ (.A1(_05317_),
-    .A2(\u_spim_regs.spim_reg_be[1] ),
-    .B1(_05318_),
-    .B2(wbd_sel_i[1]),
+ sky130_fd_sc_hd__o22a_4 _10775_ (.A1(_05271_),
+    .A2(\u_wb_if.spim_wb_addr[16] ),
+    .B1(_05272_),
+    .B2(wbd_adr_i[16]),
     .X(_00809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10922_ (.A1(_05317_),
-    .A2(\u_spim_regs.spim_reg_be[0] ),
-    .B1(_05318_),
-    .B2(wbd_sel_i[0]),
+ sky130_fd_sc_hd__o22a_4 _10776_ (.A1(_05271_),
+    .A2(\u_wb_if.spim_wb_addr[15] ),
+    .B1(_05272_),
+    .B2(wbd_adr_i[15]),
     .X(_00808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10923_ (.A(_05261_),
-    .X(_05319_),
+ sky130_fd_sc_hd__buf_2 _10777_ (.A(_05268_),
+    .X(_05273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10924_ (.A(_05243_),
-    .X(_05320_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10925_ (.A1(_05320_),
-    .A2(_04537_),
-    .B1(_05267_),
-    .B2(_04542_),
-    .Y(_05321_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10926_ (.A1_N(_05319_),
-    .A2_N(_05321_),
-    .B1(\u_spictrl.cfg_data_cnt[7] ),
-    .B2(_05319_),
+ sky130_fd_sc_hd__o22a_4 _10778_ (.A1(_05273_),
+    .A2(\u_wb_if.spim_wb_addr[14] ),
+    .B1(_05272_),
+    .B2(wbd_adr_i[14]),
     .X(_00807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10927_ (.A1(_05320_),
-    .A2(_04556_),
-    .B1(_05267_),
-    .B2(_04561_),
-    .Y(_05322_),
+ sky130_fd_sc_hd__buf_2 _10779_ (.A(_05266_),
+    .X(_05274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10928_ (.A1_N(_05319_),
-    .A2_N(_05322_),
-    .B1(\u_spictrl.cfg_data_cnt[6] ),
-    .B2(_05319_),
+ sky130_fd_sc_hd__o22a_4 _10780_ (.A1(_05273_),
+    .A2(\u_wb_if.spim_wb_addr[13] ),
+    .B1(_05274_),
+    .B2(wbd_adr_i[13]),
     .X(_00806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10929_ (.A(_05261_),
-    .X(_05323_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10930_ (.A(_05257_),
-    .X(_05324_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10931_ (.A1(_05320_),
-    .A2(_04569_),
-    .B1(_05324_),
-    .B2(_04574_),
-    .Y(_05325_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10932_ (.A1_N(_05323_),
-    .A2_N(_05325_),
-    .B1(_04716_),
-    .B2(_05323_),
+ sky130_fd_sc_hd__o22a_4 _10781_ (.A1(_05273_),
+    .A2(\u_wb_if.spim_wb_addr[12] ),
+    .B1(_05274_),
+    .B2(wbd_adr_i[12]),
     .X(_00805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10933_ (.A1(_05320_),
-    .A2(_04582_),
-    .B1(_05324_),
-    .B2(_04587_),
-    .Y(_05326_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10934_ (.A1_N(_05323_),
-    .A2_N(_05326_),
-    .B1(_04719_),
-    .B2(_05323_),
+ sky130_fd_sc_hd__o22a_4 _10782_ (.A1(_05273_),
+    .A2(\u_wb_if.spim_wb_addr[11] ),
+    .B1(_05274_),
+    .B2(wbd_adr_i[11]),
     .X(_00804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10935_ (.A(_05240_),
-    .X(_05327_),
+ sky130_fd_sc_hd__buf_2 _10783_ (.A(_05268_),
+    .X(_05275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10936_ (.A(_05327_),
-    .X(_05328_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10937_ (.A(_01730_),
-    .X(_05329_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10938_ (.A1(_05329_),
-    .A2(_04024_),
-    .B1(_05324_),
-    .B2(_04039_),
-    .Y(_05330_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10939_ (.A1_N(_05328_),
-    .A2_N(_05330_),
-    .B1(_04730_),
-    .B2(_05328_),
+ sky130_fd_sc_hd__o22a_4 _10784_ (.A1(_05275_),
+    .A2(\u_wb_if.spim_wb_addr[10] ),
+    .B1(_05274_),
+    .B2(wbd_adr_i[10]),
     .X(_00803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10940_ (.A1(_05329_),
-    .A2(_04098_),
-    .B1(_05324_),
-    .B2(_04106_),
-    .Y(_05331_),
+ sky130_fd_sc_hd__buf_2 _10785_ (.A(_05241_),
+    .X(_05276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10941_ (.A1_N(_05328_),
-    .A2_N(_05331_),
-    .B1(_04736_),
-    .B2(_05328_),
+ sky130_fd_sc_hd__o22a_4 _10786_ (.A1(_05275_),
+    .A2(\u_wb_if.spim_wb_addr[9] ),
+    .B1(_05276_),
+    .B2(wbd_adr_i[9]),
     .X(_00802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10942_ (.A(_05327_),
-    .X(_05332_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10943_ (.A(_05257_),
-    .X(_05333_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10944_ (.A1(_05329_),
-    .A2(_04150_),
-    .B1(_05333_),
-    .B2(_04155_),
-    .Y(_05334_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10945_ (.A1_N(_05332_),
-    .A2_N(_05334_),
-    .B1(_04742_),
-    .B2(_05332_),
+ sky130_fd_sc_hd__o22a_4 _10787_ (.A1(_05275_),
+    .A2(\u_wb_if.spim_wb_addr[8] ),
+    .B1(_05276_),
+    .B2(wbd_adr_i[8]),
     .X(_00801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10946_ (.A1(_05329_),
-    .A2(_04193_),
-    .B1(_05333_),
-    .B2(_04200_),
-    .Y(_05335_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10947_ (.A1_N(_05332_),
-    .A2_N(_05335_),
-    .B1(_04746_),
-    .B2(_05332_),
+ sky130_fd_sc_hd__o22a_4 _10788_ (.A1(_05275_),
+    .A2(\u_wb_if.spim_wb_addr[7] ),
+    .B1(_05276_),
+    .B2(wbd_adr_i[7]),
     .X(_00800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10948_ (.A(_05327_),
-    .X(_05336_),
+ sky130_fd_sc_hd__buf_2 _10789_ (.A(_02572_),
+    .X(_05277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10949_ (.A(_01730_),
-    .X(_05337_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10950_ (.A1(_05337_),
-    .A2(_04235_),
-    .B1(_05333_),
-    .B2(_04243_),
-    .Y(_05338_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10951_ (.A1_N(_05336_),
-    .A2_N(_05338_),
-    .B1(\u_spictrl.cfg_dummy_cnt[1] ),
-    .B2(_05336_),
+ sky130_fd_sc_hd__o22a_4 _10790_ (.A1(_05277_),
+    .A2(\u_wb_if.spim_wb_addr[6] ),
+    .B1(_05276_),
+    .B2(wbd_adr_i[6]),
     .X(_00799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10952_ (.A1(_05337_),
-    .A2(_04279_),
-    .B1(_05333_),
-    .B2(_04285_),
-    .Y(_05339_),
+ sky130_fd_sc_hd__buf_2 _10791_ (.A(_05241_),
+    .X(_05278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10953_ (.A1_N(_05336_),
-    .A2_N(_05339_),
-    .B1(\u_spictrl.cfg_dummy_cnt[0] ),
-    .B2(_05336_),
+ sky130_fd_sc_hd__o22a_4 _10792_ (.A1(_05277_),
+    .A2(_02080_),
+    .B1(_05278_),
+    .B2(wbd_adr_i[5]),
     .X(_00798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10954_ (.A(_05327_),
-    .X(_05340_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10955_ (.A1(_05337_),
-    .A2(_04319_),
-    .B1(_05248_),
-    .B2(_04325_),
-    .Y(_05341_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10956_ (.A1_N(_05340_),
-    .A2_N(_05341_),
-    .B1(\u_spictrl.cfg_addr_cnt[1] ),
-    .B2(_05340_),
+ sky130_fd_sc_hd__o22a_4 _10793_ (.A1(_05277_),
+    .A2(_02081_),
+    .B1(_05278_),
+    .B2(wbd_adr_i[4]),
     .X(_00797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10957_ (.A1(_05337_),
-    .A2(_04354_),
-    .B1(_05248_),
-    .B2(_04359_),
-    .Y(_05342_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10958_ (.A1_N(_05340_),
-    .A2_N(_05342_),
-    .B1(_04600_),
-    .B2(_05340_),
+ sky130_fd_sc_hd__o22a_4 _10794_ (.A1(_05277_),
+    .A2(_02079_),
+    .B1(_05278_),
+    .B2(wbd_adr_i[3]),
     .X(_00796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10959_ (.A(_03996_),
-    .X(_05343_),
+ sky130_fd_sc_hd__buf_2 _10795_ (.A(_02572_),
+    .X(_05279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _10960_ (.A1(\u_spictrl.cfg_m1_spi_switch[1] ),
-    .A2(_05343_),
-    .B1(\u_spictrl.cfg_m0_spi_switch[1] ),
-    .B2(_01526_),
-    .X(_05344_),
+ sky130_fd_sc_hd__o22a_4 _10796_ (.A1(_05279_),
+    .A2(_01545_),
+    .B1(_05278_),
+    .B2(wbd_adr_i[2]),
+    .X(_00795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _10961_ (.A1_N(\u_spictrl.cfg_m1_spi_switch[0] ),
-    .A2_N(_05343_),
-    .B1(_02364_),
-    .B2(_05343_),
-    .X(_05345_),
+ sky130_fd_sc_hd__buf_2 _10797_ (.A(_05241_),
+    .X(_05280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10962_ (.A(_05344_),
-    .B(_05345_),
-    .C(_03296_),
-    .X(_05346_),
+ sky130_fd_sc_hd__o22a_4 _10798_ (.A1(_05279_),
+    .A2(\u_wb_if.spim_wb_addr[1] ),
+    .B1(_05280_),
+    .B2(wbd_adr_i[1]),
+    .X(_00794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10963_ (.A(_05346_),
-    .Y(_05347_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10964_ (.A(_03762_),
-    .B(_04697_),
-    .X(_05348_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10965_ (.A(_05344_),
-    .B(_05345_),
-    .C(_05348_),
-    .X(_05349_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _10966_ (.A(_02522_),
-    .B(_05347_),
-    .C(_05349_),
-    .X(_05350_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10967_ (.A(_05343_),
-    .X(_05351_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10968_ (.A(_05351_),
-    .X(_05352_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10969_ (.A(_05352_),
-    .X(_05353_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10970_ (.A(\u_spictrl.cfg_m1_spi_mode[1] ),
-    .B(_05353_),
-    .Y(_05354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10971_ (.A(_02522_),
-    .X(spi_ctrl_status),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10972_ (.A1(_02389_),
-    .A2(_05353_),
-    .B1(_05354_),
-    .C1(spi_ctrl_status),
-    .X(_05355_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10973_ (.A(_05350_),
-    .Y(_05356_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10974_ (.A1(_05350_),
-    .A2(_05355_),
-    .B1(_03712_),
-    .B2(_05356_),
-    .Y(_00795_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10975_ (.A(_05351_),
-    .X(_05357_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _10976_ (.A(\u_spictrl.cfg_m1_spi_mode[0] ),
-    .B(_05357_),
-    .Y(_05358_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10977_ (.A1(_02412_),
-    .A2(_05353_),
-    .B1(_05358_),
-    .C1(spi_ctrl_status),
-    .X(_05359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a22oi_4 _10978_ (.A1(_05350_),
-    .A2(_05359_),
-    .B1(_04674_),
-    .B2(_05356_),
-    .Y(_00794_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10979_ (.A(psn_net_242),
-    .X(_05360_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10980_ (.A(_01481_),
-    .X(_05361_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10981_ (.A(_01487_),
-    .X(_05362_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10982_ (.A(\u_spictrl.res_fifo_wr ),
-    .Y(_05363_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10983_ (.A(_05363_),
-    .B(_01602_),
-    .X(_05364_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10984_ (.A(_05362_),
-    .B(_05364_),
-    .X(_05365_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10985_ (.A(_01490_),
-    .B(_05365_),
-    .X(_05366_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10986_ (.A(_05361_),
-    .B(_05366_),
-    .X(_05367_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10987_ (.A(_05367_),
-    .Y(_05368_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10988_ (.A(\u_m1_res_fifo.wr_ptr[3] ),
-    .B(_05368_),
-    .X(_05369_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10989_ (.A(_01483_),
-    .B(_05367_),
-    .X(_05370_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10990_ (.A(_05360_),
-    .B(_05369_),
-    .C(_05370_),
+ sky130_fd_sc_hd__o22a_4 _10799_ (.A1(_05279_),
+    .A2(\u_wb_if.spim_wb_addr[0] ),
+    .B1(_05280_),
+    .B2(wbd_adr_i[0]),
     .X(_00793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10991_ (.A(_01603_),
-    .Y(\u_m1_res_fifo.flush ),
+ sky130_fd_sc_hd__nor2_4 _10800_ (.A(_02726_),
+    .B(_02728_),
+    .Y(_05281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10992_ (.A(_05368_),
-    .X(_05371_),
+ sky130_fd_sc_hd__a2bb2o_4 _10801_ (.A1_N(_05242_),
+    .A2_N(_05281_),
+    .B1(_05242_),
+    .B2(wbd_ack_o),
+    .X(_00792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _10993_ (.A1(_05361_),
-    .A2(_05366_),
-    .B1(\u_m1_res_fifo.flush ),
-    .C1(_05371_),
-    .X(_05372_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10994_ (.A(_05372_),
-    .Y(_00792_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10995_ (.A(\u_m1_res_fifo.wr_ptr[1] ),
-    .X(_05373_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _10996_ (.A(_05365_),
-    .Y(_05374_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _10997_ (.A(_05373_),
-    .B(_05374_),
-    .X(_05375_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _10998_ (.A(_05366_),
-    .B(_05375_),
-    .C(psn_net_242),
+ sky130_fd_sc_hd__o22a_4 _10802_ (.A1(_05279_),
+    .A2(\u_spim_regs.spim_reg_we ),
+    .B1(_05280_),
+    .B2(wbd_we_i),
     .X(_00791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _10999_ (.A(_05364_),
-    .X(_05376_),
+ sky130_fd_sc_hd__buf_2 _10803_ (.A(_02572_),
+    .X(_05282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11000_ (.A(_05376_),
-    .X(_05377_),
+ sky130_fd_sc_hd__o22a_4 _10804_ (.A1(_05282_),
+    .A2(\u_spim_regs.spim_reg_be[3] ),
+    .B1(_05280_),
+    .B2(wbd_sel_i[3]),
+    .X(_00790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11001_ (.A1(_05362_),
-    .A2(_05377_),
-    .B1(_05374_),
-    .C1(\u_m1_res_fifo.flush ),
-    .X(_05378_),
+ sky130_fd_sc_hd__buf_2 _10805_ (.A(_02659_),
+    .X(_05283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11002_ (.A(_05378_),
-    .Y(_00790_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11003_ (.A(_01565_),
-    .B(_01500_),
-    .X(_05379_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11004_ (.A(_01552_),
-    .B(_05379_),
-    .X(_05380_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11005_ (.A(_01574_),
-    .B(_05380_),
-    .X(_05381_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _11006_ (.A1(_01484_),
-    .A2(_05381_),
-    .B1(\u_m1_res_fifo.flush ),
-    .Y(_05382_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _11007_ (.A1(_01484_),
-    .A2(_05381_),
-    .B1(_05382_),
+ sky130_fd_sc_hd__o22a_4 _10806_ (.A1(_05282_),
+    .A2(\u_spim_regs.spim_reg_be[2] ),
+    .B1(_05283_),
+    .B2(wbd_sel_i[2]),
     .X(_00789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _11008_ (.A(_01574_),
-    .B(_05380_),
-    .Y(_05383_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11009_ (.A(_05360_),
-    .B(_05381_),
-    .C(_05383_),
+ sky130_fd_sc_hd__o22a_4 _10807_ (.A1(_05282_),
+    .A2(\u_spim_regs.spim_reg_be[1] ),
+    .B1(_05283_),
+    .B2(wbd_sel_i[1]),
     .X(_00788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _11010_ (.A(_01552_),
-    .B(_05379_),
-    .Y(_05384_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11011_ (.A(_05360_),
-    .B(_05380_),
-    .C(_05384_),
+ sky130_fd_sc_hd__o22a_4 _10808_ (.A1(_05282_),
+    .A2(\u_spim_regs.spim_reg_be[0] ),
+    .B1(_05283_),
+    .B2(wbd_sel_i[0]),
     .X(_00787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11012_ (.A(_01568_),
-    .B(_01594_),
-    .X(_05385_),
+ sky130_fd_sc_hd__buf_2 _10809_ (.A(psn_net_153),
+    .X(_05284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11013_ (.A(_05360_),
-    .B(_05379_),
-    .C(_05385_),
+ sky130_fd_sc_hd__buf_2 _10810_ (.A(_01409_),
+    .X(_05285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10811_ (.A(_01415_),
+    .X(_05286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10812_ (.A(_04100_),
+    .B(_05213_),
+    .X(_05287_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10813_ (.A(_05286_),
+    .B(_05287_),
+    .X(_05288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10814_ (.A(_01418_),
+    .B(_05288_),
+    .X(_05289_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10815_ (.A(_05285_),
+    .B(_05289_),
+    .X(_05290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10816_ (.A(_05290_),
+    .Y(_05291_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10817_ (.A(psn_net_129),
+    .B(_05291_),
+    .X(_05292_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _10818_ (.A(_01411_),
+    .B(_05290_),
+    .X(_05293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10819_ (.A(_05284_),
+    .B(_05292_),
+    .C(_05293_),
     .X(_00786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11014_ (.A(psn_net_229),
-    .X(_05386_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11015_ (.A(_01407_),
-    .X(_05387_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11016_ (.A(_01413_),
-    .X(_05388_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11017_ (.A(_04140_),
-    .B(_05363_),
-    .X(_05389_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11018_ (.A(_05388_),
-    .B(_05389_),
-    .X(_05390_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11019_ (.A(_01416_),
-    .B(_05390_),
-    .X(_05391_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11020_ (.A(_05387_),
-    .B(_05391_),
-    .X(_05392_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11021_ (.A(_05392_),
-    .Y(_05393_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11022_ (.A(\u_m0_res_fifo.wr_ptr[3] ),
-    .B(_05393_),
-    .X(_05394_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11023_ (.A(_01409_),
-    .B(_05392_),
-    .X(_05395_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11024_ (.A(_05386_),
-    .B(_05394_),
-    .C(_05395_),
-    .X(_00785_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11025_ (.A(_01543_),
+ sky130_fd_sc_hd__inv_2 _10820_ (.A(_01544_),
     .Y(\u_m0_res_fifo.flush ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11026_ (.A(_05393_),
-    .X(_05396_),
+ sky130_fd_sc_hd__buf_2 _10821_ (.A(_05291_),
+    .X(_05294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11027_ (.A1(_05387_),
-    .A2(_05391_),
-    .B1(psn_net_227),
-    .C1(_05396_),
-    .X(_05397_),
+ sky130_fd_sc_hd__a211o_4 _10822_ (.A1(_05285_),
+    .A2(_05289_),
+    .B1(psn_net_149),
+    .C1(_05294_),
+    .X(_05295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11028_ (.A(_05397_),
-    .Y(_00784_),
+ sky130_fd_sc_hd__inv_2 _10823_ (.A(_05295_),
+    .Y(_00785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11029_ (.A(\u_m0_res_fifo.wr_ptr[1] ),
-    .X(_05398_),
+ sky130_fd_sc_hd__buf_2 _10824_ (.A(\u_m0_res_fifo.wr_ptr[1] ),
+    .X(_05296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11030_ (.A(_05390_),
-    .Y(_05399_),
+ sky130_fd_sc_hd__inv_2 _10825_ (.A(_05288_),
+    .Y(_05297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11031_ (.A(_05398_),
-    .B(_05399_),
-    .X(_05400_),
+ sky130_fd_sc_hd__or2_4 _10826_ (.A(_05296_),
+    .B(_05297_),
+    .X(_05298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11032_ (.A(_05391_),
-    .B(_05400_),
-    .C(psn_net_229),
-    .X(_00783_),
+ sky130_fd_sc_hd__and3_4 _10827_ (.A(_05289_),
+    .B(_05298_),
+    .C(psn_net_152),
+    .X(_00784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11033_ (.A(_05389_),
-    .X(_05401_),
+ sky130_fd_sc_hd__buf_2 _10828_ (.A(_05287_),
+    .X(_05299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11034_ (.A(_05401_),
-    .X(_05402_),
+ sky130_fd_sc_hd__buf_2 _10829_ (.A(_05299_),
+    .X(_05300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11035_ (.A1(_05388_),
-    .A2(_05402_),
-    .B1(_05399_),
-    .C1(psn_net_227),
-    .X(_05403_),
+ sky130_fd_sc_hd__a211o_4 _10830_ (.A1(_05286_),
+    .A2(_05300_),
+    .B1(_05297_),
+    .C1(psn_net_150),
+    .X(_05301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11036_ (.A(_05403_),
-    .Y(_00782_),
+ sky130_fd_sc_hd__inv_2 _10831_ (.A(_05301_),
+    .Y(_00783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11037_ (.A(_02779_),
-    .B(_01425_),
-    .X(_05404_),
+ sky130_fd_sc_hd__or2_4 _10832_ (.A(_02774_),
+    .B(_01446_),
+    .X(_05302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11038_ (.A(_02778_),
-    .B(_05404_),
-    .X(_05405_),
+ sky130_fd_sc_hd__or2_4 _10833_ (.A(_02773_),
+    .B(_05302_),
+    .X(_05303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11039_ (.A(_02742_),
-    .B(_05405_),
-    .X(_05406_),
+ sky130_fd_sc_hd__or2_4 _10834_ (.A(_02737_),
+    .B(_05303_),
+    .X(_05304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21oi_4 _11040_ (.A1(_01410_),
-    .A2(_05406_),
-    .B1(psn_net_226),
-    .Y(_05407_),
+ sky130_fd_sc_hd__a21oi_4 _10835_ (.A1(_01412_),
+    .A2(_05304_),
+    .B1(psn_net_148),
+    .Y(_05305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _11041_ (.A1(_01410_),
-    .A2(_05406_),
-    .B1(_05407_),
+ sky130_fd_sc_hd__o21a_4 _10836_ (.A1(_01412_),
+    .A2(_05304_),
+    .B1(_05305_),
+    .X(_00782_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__nand2_4 _10837_ (.A(_02737_),
+    .B(_05303_),
+    .Y(_05306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _10838_ (.A(_05284_),
+    .B(_05304_),
+    .C(_05306_),
     .X(_00781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _11042_ (.A(_02742_),
-    .B(_05405_),
-    .Y(_05408_),
+ sky130_fd_sc_hd__nand2_4 _10839_ (.A(_02773_),
+    .B(_05302_),
+    .Y(_05307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11043_ (.A(_05386_),
-    .B(_05406_),
-    .C(_05408_),
+ sky130_fd_sc_hd__and3_4 _10840_ (.A(_05284_),
+    .B(_05303_),
+    .C(_05307_),
     .X(_00780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _11044_ (.A(_02778_),
-    .B(_05404_),
-    .Y(_05409_),
+ sky130_fd_sc_hd__or2_4 _10841_ (.A(_02772_),
+    .B(_02727_),
+    .X(_05308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11045_ (.A(_05386_),
-    .B(_05405_),
-    .C(_05409_),
+ sky130_fd_sc_hd__and3_4 _10842_ (.A(_05284_),
+    .B(_05302_),
+    .C(_05308_),
     .X(_00779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11046_ (.A(_02777_),
-    .B(_01426_),
-    .X(_05410_),
+ sky130_fd_sc_hd__buf_2 _10843_ (.A(_03279_),
+    .X(_05309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11047_ (.A(_05386_),
-    .B(_05404_),
-    .C(_05410_),
+ sky130_fd_sc_hd__a2bb2o_4 _10844_ (.A1_N(_03627_),
+    .A2_N(_05309_),
+    .B1(\u_wb_if.NextPreDCnt[1] ),
+    .B2(_05309_),
     .X(_00778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11048_ (.A(_01450_),
-    .X(_05411_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11049_ (.A1_N(_01791_),
-    .A2_N(_05411_),
-    .B1(\u_wb_if.NextPreDCnt[1] ),
-    .B2(_05411_),
+ sky130_fd_sc_hd__a2bb2o_4 _10845_ (.A1_N(_03629_),
+    .A2_N(_05309_),
+    .B1(\u_wb_if.NextPreDCnt[0] ),
+    .B2(_05309_),
     .X(_00777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11050_ (.A1_N(_01823_),
-    .A2_N(_05411_),
-    .B1(\u_wb_if.NextPreDCnt[0] ),
-    .B2(_05411_),
+ sky130_fd_sc_hd__buf_2 _10846_ (.A(_05217_),
+    .X(_05310_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10847_ (.A(_05310_),
+    .X(_05311_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10848_ (.A(\u_spictrl.gnt[1] ),
+    .X(_05312_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10849_ (.A(_05312_),
+    .B(\u_spictrl.res_fifo_wdata[31] ),
+    .X(_05313_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10850_ (.A(_05313_),
+    .X(_05314_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10851_ (.A(_05221_),
+    .X(_05315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10852_ (.A1(_05311_),
+    .A2(_05314_),
+    .B1(\u_m1_res_fifo.mem[7][31] ),
+    .B2(_05315_),
     .X(_00776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11051_ (.A(_05367_),
-    .X(_05412_),
+ sky130_fd_sc_hd__and2_4 _10853_ (.A(_05312_),
+    .B(\u_spictrl.res_fifo_wdata[30] ),
+    .X(_05316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11052_ (.A(_05412_),
-    .X(_05413_),
+ sky130_fd_sc_hd__buf_2 _10854_ (.A(_05316_),
+    .X(_05317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11053_ (.A(\u_spictrl.gnt[1] ),
-    .X(_05414_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11054_ (.A(_05414_),
-    .B(\u_spictrl.res_fifo_wdata[31] ),
-    .X(_05415_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11055_ (.A(_05415_),
-    .X(_05416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11056_ (.A(_05371_),
-    .X(_05417_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11057_ (.A1(_05413_),
-    .A2(_05416_),
-    .B1(\u_m1_res_fifo.mem[7][31] ),
-    .B2(_05417_),
+ sky130_fd_sc_hd__o22a_4 _10855_ (.A1(_05311_),
+    .A2(_05317_),
+    .B1(\u_m1_res_fifo.mem[7][30] ),
+    .B2(_05315_),
     .X(_00775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11058_ (.A(_05414_),
-    .B(\u_spictrl.res_fifo_wdata[30] ),
-    .X(_05418_),
+ sky130_fd_sc_hd__and2_4 _10856_ (.A(_05312_),
+    .B(\u_spictrl.res_fifo_wdata[29] ),
+    .X(_05318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11059_ (.A(_05418_),
-    .X(_05419_),
+ sky130_fd_sc_hd__buf_2 _10857_ (.A(_05318_),
+    .X(_05319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11060_ (.A1(_05413_),
-    .A2(_05419_),
-    .B1(\u_m1_res_fifo.mem[7][30] ),
-    .B2(_05417_),
+ sky130_fd_sc_hd__o22a_4 _10858_ (.A1(_05311_),
+    .A2(_05319_),
+    .B1(\u_m1_res_fifo.mem[7][29] ),
+    .B2(_05315_),
     .X(_00774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11061_ (.A(_05414_),
-    .B(\u_spictrl.res_fifo_wdata[29] ),
-    .X(_05420_),
+ sky130_fd_sc_hd__buf_2 _10859_ (.A(\u_spictrl.gnt[1] ),
+    .X(_05320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11062_ (.A(_05420_),
-    .X(_05421_),
+ sky130_fd_sc_hd__buf_2 _10860_ (.A(_05320_),
+    .X(_05321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11063_ (.A1(_05413_),
-    .A2(_05421_),
-    .B1(\u_m1_res_fifo.mem[7][29] ),
-    .B2(_05417_),
+ sky130_fd_sc_hd__and2_4 _10861_ (.A(_05321_),
+    .B(\u_spictrl.res_fifo_wdata[28] ),
+    .X(_05322_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10862_ (.A(_05322_),
+    .X(_05323_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10863_ (.A1(_05311_),
+    .A2(_05323_),
+    .B1(\u_m1_res_fifo.mem[7][28] ),
+    .B2(_05315_),
     .X(_00773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11064_ (.A(\u_spictrl.gnt[1] ),
-    .X(_05422_),
+ sky130_fd_sc_hd__buf_2 _10864_ (.A(_05310_),
+    .X(_05324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11065_ (.A(_05422_),
-    .X(_05423_),
+ sky130_fd_sc_hd__and2_4 _10865_ (.A(_05321_),
+    .B(\u_spictrl.res_fifo_wdata[27] ),
+    .X(_05325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11066_ (.A(_05423_),
-    .B(\u_spictrl.res_fifo_wdata[28] ),
-    .X(_05424_),
+ sky130_fd_sc_hd__buf_2 _10866_ (.A(_05325_),
+    .X(_05326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11067_ (.A(_05424_),
-    .X(_05425_),
+ sky130_fd_sc_hd__buf_2 _10867_ (.A(_05221_),
+    .X(_05327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11068_ (.A1(_05413_),
-    .A2(_05425_),
-    .B1(\u_m1_res_fifo.mem[7][28] ),
-    .B2(_05417_),
+ sky130_fd_sc_hd__o22a_4 _10868_ (.A1(_05324_),
+    .A2(_05326_),
+    .B1(\u_m1_res_fifo.mem[7][27] ),
+    .B2(_05327_),
     .X(_00772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11069_ (.A(_05412_),
-    .X(_05426_),
+ sky130_fd_sc_hd__and2_4 _10869_ (.A(_05321_),
+    .B(\u_spictrl.res_fifo_wdata[26] ),
+    .X(_05328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11070_ (.A(_05423_),
-    .B(\u_spictrl.res_fifo_wdata[27] ),
-    .X(_05427_),
+ sky130_fd_sc_hd__buf_2 _10870_ (.A(_05328_),
+    .X(_05329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11071_ (.A(_05427_),
-    .X(_05428_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11072_ (.A(_05371_),
-    .X(_05429_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11073_ (.A1(_05426_),
-    .A2(_05428_),
-    .B1(\u_m1_res_fifo.mem[7][27] ),
-    .B2(_05429_),
+ sky130_fd_sc_hd__o22a_4 _10871_ (.A1(_05324_),
+    .A2(_05329_),
+    .B1(\u_m1_res_fifo.mem[7][26] ),
+    .B2(_05327_),
     .X(_00771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11074_ (.A(_05423_),
-    .B(\u_spictrl.res_fifo_wdata[26] ),
-    .X(_05430_),
+ sky130_fd_sc_hd__and2_4 _10872_ (.A(_05321_),
+    .B(\u_spictrl.res_fifo_wdata[25] ),
+    .X(_05330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11075_ (.A(_05430_),
-    .X(_05431_),
+ sky130_fd_sc_hd__buf_2 _10873_ (.A(_05330_),
+    .X(_05331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11076_ (.A1(_05426_),
-    .A2(_05431_),
-    .B1(\u_m1_res_fifo.mem[7][26] ),
-    .B2(_05429_),
+ sky130_fd_sc_hd__o22a_4 _10874_ (.A1(_05324_),
+    .A2(_05331_),
+    .B1(\u_m1_res_fifo.mem[7][25] ),
+    .B2(_05327_),
     .X(_00770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11077_ (.A(_05423_),
-    .B(\u_spictrl.res_fifo_wdata[25] ),
-    .X(_05432_),
+ sky130_fd_sc_hd__buf_2 _10875_ (.A(_05320_),
+    .X(_05332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11078_ (.A(_05432_),
-    .X(_05433_),
+ sky130_fd_sc_hd__and2_4 _10876_ (.A(_05332_),
+    .B(\u_spictrl.res_fifo_wdata[24] ),
+    .X(_05333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11079_ (.A1(_05426_),
-    .A2(_05433_),
-    .B1(\u_m1_res_fifo.mem[7][25] ),
-    .B2(_05429_),
+ sky130_fd_sc_hd__buf_2 _10877_ (.A(_05333_),
+    .X(_05334_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10878_ (.A1(_05324_),
+    .A2(_05334_),
+    .B1(\u_m1_res_fifo.mem[7][24] ),
+    .B2(_05327_),
     .X(_00769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11080_ (.A(_05422_),
-    .X(_05434_),
+ sky130_fd_sc_hd__buf_2 _10879_ (.A(_05310_),
+    .X(_05335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11081_ (.A(_05434_),
-    .B(\u_spictrl.res_fifo_wdata[24] ),
-    .X(_05435_),
+ sky130_fd_sc_hd__and2_4 _10880_ (.A(_05332_),
+    .B(\u_spictrl.res_fifo_wdata[23] ),
+    .X(_05336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11082_ (.A(_05435_),
-    .X(_05436_),
+ sky130_fd_sc_hd__buf_2 _10881_ (.A(_05336_),
+    .X(_05337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11083_ (.A1(_05426_),
-    .A2(_05436_),
-    .B1(\u_m1_res_fifo.mem[7][24] ),
-    .B2(_05429_),
+ sky130_fd_sc_hd__buf_2 _10882_ (.A(_05221_),
+    .X(_05338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10883_ (.A1(_05335_),
+    .A2(_05337_),
+    .B1(\u_m1_res_fifo.mem[7][23] ),
+    .B2(_05338_),
     .X(_00768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11084_ (.A(_05412_),
-    .X(_05437_),
+ sky130_fd_sc_hd__and2_4 _10884_ (.A(_05332_),
+    .B(\u_spictrl.res_fifo_wdata[22] ),
+    .X(_05339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11085_ (.A(_05434_),
-    .B(\u_spictrl.res_fifo_wdata[23] ),
-    .X(_05438_),
+ sky130_fd_sc_hd__buf_2 _10885_ (.A(_05339_),
+    .X(_05340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11086_ (.A(_05438_),
-    .X(_05439_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11087_ (.A(_05371_),
-    .X(_05440_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11088_ (.A1(_05437_),
-    .A2(_05439_),
-    .B1(\u_m1_res_fifo.mem[7][23] ),
-    .B2(_05440_),
+ sky130_fd_sc_hd__o22a_4 _10886_ (.A1(_05335_),
+    .A2(_05340_),
+    .B1(\u_m1_res_fifo.mem[7][22] ),
+    .B2(_05338_),
     .X(_00767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11089_ (.A(_05434_),
-    .B(\u_spictrl.res_fifo_wdata[22] ),
-    .X(_05441_),
+ sky130_fd_sc_hd__and2_4 _10887_ (.A(_05332_),
+    .B(\u_spictrl.res_fifo_wdata[21] ),
+    .X(_05341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11090_ (.A(_05441_),
-    .X(_05442_),
+ sky130_fd_sc_hd__buf_2 _10888_ (.A(_05341_),
+    .X(_05342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11091_ (.A1(_05437_),
-    .A2(_05442_),
-    .B1(\u_m1_res_fifo.mem[7][22] ),
-    .B2(_05440_),
+ sky130_fd_sc_hd__o22a_4 _10889_ (.A1(_05335_),
+    .A2(_05342_),
+    .B1(\u_m1_res_fifo.mem[7][21] ),
+    .B2(_05338_),
     .X(_00766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11092_ (.A(_05434_),
-    .B(\u_spictrl.res_fifo_wdata[21] ),
-    .X(_05443_),
+ sky130_fd_sc_hd__buf_2 _10890_ (.A(_05320_),
+    .X(_05343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11093_ (.A(_05443_),
-    .X(_05444_),
+ sky130_fd_sc_hd__and2_4 _10891_ (.A(_05343_),
+    .B(\u_spictrl.res_fifo_wdata[20] ),
+    .X(_05344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11094_ (.A1(_05437_),
-    .A2(_05444_),
-    .B1(\u_m1_res_fifo.mem[7][21] ),
-    .B2(_05440_),
+ sky130_fd_sc_hd__buf_2 _10892_ (.A(_05344_),
+    .X(_05345_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10893_ (.A1(_05335_),
+    .A2(_05345_),
+    .B1(\u_m1_res_fifo.mem[7][20] ),
+    .B2(_05338_),
     .X(_00765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11095_ (.A(_05422_),
-    .X(_05445_),
+ sky130_fd_sc_hd__buf_2 _10894_ (.A(_05310_),
+    .X(_05346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11096_ (.A(_05445_),
-    .B(\u_spictrl.res_fifo_wdata[20] ),
-    .X(_05446_),
+ sky130_fd_sc_hd__and2_4 _10895_ (.A(_05343_),
+    .B(\u_spictrl.res_fifo_wdata[19] ),
+    .X(_05347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11097_ (.A(_05446_),
-    .X(_05447_),
+ sky130_fd_sc_hd__buf_2 _10896_ (.A(_05347_),
+    .X(_05348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11098_ (.A1(_05437_),
-    .A2(_05447_),
-    .B1(\u_m1_res_fifo.mem[7][20] ),
-    .B2(_05440_),
+ sky130_fd_sc_hd__buf_2 _10897_ (.A(_05218_),
+    .X(_05349_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10898_ (.A(_05349_),
+    .X(_05350_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10899_ (.A1(_05346_),
+    .A2(_05348_),
+    .B1(\u_m1_res_fifo.mem[7][19] ),
+    .B2(_05350_),
     .X(_00764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11099_ (.A(_05412_),
-    .X(_05448_),
+ sky130_fd_sc_hd__and2_4 _10900_ (.A(_05343_),
+    .B(\u_spictrl.res_fifo_wdata[18] ),
+    .X(_05351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11100_ (.A(_05445_),
-    .B(\u_spictrl.res_fifo_wdata[19] ),
-    .X(_05449_),
+ sky130_fd_sc_hd__buf_2 _10901_ (.A(_05351_),
+    .X(_05352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11101_ (.A(_05449_),
-    .X(_05450_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11102_ (.A(_05368_),
-    .X(_05451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11103_ (.A(_05451_),
-    .X(_05452_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11104_ (.A1(_05448_),
-    .A2(_05450_),
-    .B1(\u_m1_res_fifo.mem[7][19] ),
-    .B2(_05452_),
+ sky130_fd_sc_hd__o22a_4 _10902_ (.A1(_05346_),
+    .A2(_05352_),
+    .B1(\u_m1_res_fifo.mem[7][18] ),
+    .B2(_05350_),
     .X(_00763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11105_ (.A(_05445_),
-    .B(\u_spictrl.res_fifo_wdata[18] ),
-    .X(_05453_),
+ sky130_fd_sc_hd__and2_4 _10903_ (.A(_05343_),
+    .B(\u_spictrl.res_fifo_wdata[17] ),
+    .X(_05353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11106_ (.A(_05453_),
-    .X(_05454_),
+ sky130_fd_sc_hd__buf_2 _10904_ (.A(_05353_),
+    .X(_05354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11107_ (.A1(_05448_),
-    .A2(_05454_),
-    .B1(\u_m1_res_fifo.mem[7][18] ),
-    .B2(_05452_),
+ sky130_fd_sc_hd__o22a_4 _10905_ (.A1(_05346_),
+    .A2(_05354_),
+    .B1(\u_m1_res_fifo.mem[7][17] ),
+    .B2(_05350_),
     .X(_00762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11108_ (.A(_05445_),
-    .B(\u_spictrl.res_fifo_wdata[17] ),
-    .X(_05455_),
+ sky130_fd_sc_hd__buf_2 _10906_ (.A(\u_spictrl.gnt[1] ),
+    .X(_05355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11109_ (.A(_05455_),
-    .X(_05456_),
+ sky130_fd_sc_hd__buf_2 _10907_ (.A(_05355_),
+    .X(_05356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11110_ (.A1(_05448_),
-    .A2(_05456_),
-    .B1(\u_m1_res_fifo.mem[7][17] ),
-    .B2(_05452_),
+ sky130_fd_sc_hd__and2_4 _10908_ (.A(_05356_),
+    .B(\u_spictrl.res_fifo_wdata[16] ),
+    .X(_05357_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10909_ (.A(_05357_),
+    .X(_05358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10910_ (.A1(_05346_),
+    .A2(_05358_),
+    .B1(\u_m1_res_fifo.mem[7][16] ),
+    .B2(_05350_),
     .X(_00761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11111_ (.A(\u_spictrl.gnt[1] ),
-    .X(_05457_),
+ sky130_fd_sc_hd__buf_2 _10911_ (.A(_05217_),
+    .X(_05359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11112_ (.A(_05457_),
-    .X(_05458_),
+ sky130_fd_sc_hd__buf_2 _10912_ (.A(_05359_),
+    .X(_05360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11113_ (.A(_05458_),
-    .B(\u_spictrl.res_fifo_wdata[16] ),
-    .X(_05459_),
+ sky130_fd_sc_hd__and2_4 _10913_ (.A(_05356_),
+    .B(\u_spictrl.res_fifo_wdata[15] ),
+    .X(_05361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11114_ (.A(_05459_),
-    .X(_05460_),
+ sky130_fd_sc_hd__buf_2 _10914_ (.A(_05361_),
+    .X(_05362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11115_ (.A1(_05448_),
-    .A2(_05460_),
-    .B1(\u_m1_res_fifo.mem[7][16] ),
-    .B2(_05452_),
+ sky130_fd_sc_hd__buf_2 _10915_ (.A(_05349_),
+    .X(_05363_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10916_ (.A1(_05360_),
+    .A2(_05362_),
+    .B1(\u_m1_res_fifo.mem[7][15] ),
+    .B2(_05363_),
     .X(_00760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11116_ (.A(_05367_),
-    .X(_05461_),
+ sky130_fd_sc_hd__and2_4 _10917_ (.A(_05356_),
+    .B(\u_spictrl.res_fifo_wdata[14] ),
+    .X(_05364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11117_ (.A(_05461_),
-    .X(_05462_),
+ sky130_fd_sc_hd__buf_2 _10918_ (.A(_05364_),
+    .X(_05365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11118_ (.A(_05458_),
-    .B(\u_spictrl.res_fifo_wdata[15] ),
-    .X(_05463_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11119_ (.A(_05463_),
-    .X(_05464_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11120_ (.A(_05451_),
-    .X(_05465_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11121_ (.A1(_05462_),
-    .A2(_05464_),
-    .B1(\u_m1_res_fifo.mem[7][15] ),
-    .B2(_05465_),
+ sky130_fd_sc_hd__o22a_4 _10919_ (.A1(_05360_),
+    .A2(_05365_),
+    .B1(\u_m1_res_fifo.mem[7][14] ),
+    .B2(_05363_),
     .X(_00759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11122_ (.A(_05458_),
-    .B(\u_spictrl.res_fifo_wdata[14] ),
-    .X(_05466_),
+ sky130_fd_sc_hd__and2_4 _10920_ (.A(_05356_),
+    .B(\u_spictrl.res_fifo_wdata[13] ),
+    .X(_05366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11123_ (.A(_05466_),
-    .X(_05467_),
+ sky130_fd_sc_hd__buf_2 _10921_ (.A(_05366_),
+    .X(_05367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11124_ (.A1(_05462_),
-    .A2(_05467_),
-    .B1(\u_m1_res_fifo.mem[7][14] ),
-    .B2(_05465_),
+ sky130_fd_sc_hd__o22a_4 _10922_ (.A1(_05360_),
+    .A2(_05367_),
+    .B1(\u_m1_res_fifo.mem[7][13] ),
+    .B2(_05363_),
     .X(_00758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11125_ (.A(_05458_),
-    .B(\u_spictrl.res_fifo_wdata[13] ),
-    .X(_05468_),
+ sky130_fd_sc_hd__buf_2 _10923_ (.A(_05355_),
+    .X(_05368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11126_ (.A(_05468_),
-    .X(_05469_),
+ sky130_fd_sc_hd__and2_4 _10924_ (.A(_05368_),
+    .B(\u_spictrl.res_fifo_wdata[12] ),
+    .X(_05369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11127_ (.A1(_05462_),
-    .A2(_05469_),
-    .B1(\u_m1_res_fifo.mem[7][13] ),
-    .B2(_05465_),
+ sky130_fd_sc_hd__buf_2 _10925_ (.A(_05369_),
+    .X(_05370_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10926_ (.A1(_05360_),
+    .A2(_05370_),
+    .B1(\u_m1_res_fifo.mem[7][12] ),
+    .B2(_05363_),
     .X(_00757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11128_ (.A(_05457_),
-    .X(_05470_),
+ sky130_fd_sc_hd__buf_2 _10927_ (.A(_05359_),
+    .X(_05371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11129_ (.A(_05470_),
-    .B(\u_spictrl.res_fifo_wdata[12] ),
-    .X(_05471_),
+ sky130_fd_sc_hd__and2_4 _10928_ (.A(_05368_),
+    .B(\u_spictrl.res_fifo_wdata[11] ),
+    .X(_05372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11130_ (.A(_05471_),
-    .X(_05472_),
+ sky130_fd_sc_hd__buf_2 _10929_ (.A(_05372_),
+    .X(_05373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11131_ (.A1(_05462_),
-    .A2(_05472_),
-    .B1(\u_m1_res_fifo.mem[7][12] ),
-    .B2(_05465_),
+ sky130_fd_sc_hd__buf_2 _10930_ (.A(_05349_),
+    .X(_05374_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10931_ (.A1(_05371_),
+    .A2(_05373_),
+    .B1(\u_m1_res_fifo.mem[7][11] ),
+    .B2(_05374_),
     .X(_00756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11132_ (.A(_05461_),
-    .X(_05473_),
+ sky130_fd_sc_hd__and2_4 _10932_ (.A(_05368_),
+    .B(\u_spictrl.res_fifo_wdata[10] ),
+    .X(_05375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11133_ (.A(_05470_),
-    .B(\u_spictrl.res_fifo_wdata[11] ),
-    .X(_05474_),
+ sky130_fd_sc_hd__buf_2 _10933_ (.A(_05375_),
+    .X(_05376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11134_ (.A(_05474_),
-    .X(_05475_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11135_ (.A(_05451_),
-    .X(_05476_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11136_ (.A1(_05473_),
-    .A2(_05475_),
-    .B1(\u_m1_res_fifo.mem[7][11] ),
-    .B2(_05476_),
+ sky130_fd_sc_hd__o22a_4 _10934_ (.A1(_05371_),
+    .A2(_05376_),
+    .B1(\u_m1_res_fifo.mem[7][10] ),
+    .B2(_05374_),
     .X(_00755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11137_ (.A(_05470_),
-    .B(\u_spictrl.res_fifo_wdata[10] ),
-    .X(_05477_),
+ sky130_fd_sc_hd__and2_4 _10935_ (.A(_05368_),
+    .B(\u_spictrl.res_fifo_wdata[9] ),
+    .X(_05377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11138_ (.A(_05477_),
-    .X(_05478_),
+ sky130_fd_sc_hd__buf_2 _10936_ (.A(_05377_),
+    .X(_05378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11139_ (.A1(_05473_),
-    .A2(_05478_),
-    .B1(\u_m1_res_fifo.mem[7][10] ),
-    .B2(_05476_),
+ sky130_fd_sc_hd__o22a_4 _10937_ (.A1(_05371_),
+    .A2(_05378_),
+    .B1(\u_m1_res_fifo.mem[7][9] ),
+    .B2(_05374_),
     .X(_00754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11140_ (.A(_05470_),
-    .B(\u_spictrl.res_fifo_wdata[9] ),
-    .X(_05479_),
+ sky130_fd_sc_hd__buf_2 _10938_ (.A(_05355_),
+    .X(_05379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11141_ (.A(_05479_),
-    .X(_05480_),
+ sky130_fd_sc_hd__and2_4 _10939_ (.A(_05379_),
+    .B(\u_spictrl.res_fifo_wdata[8] ),
+    .X(_05380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11142_ (.A1(_05473_),
-    .A2(_05480_),
-    .B1(\u_m1_res_fifo.mem[7][9] ),
-    .B2(_05476_),
+ sky130_fd_sc_hd__buf_2 _10940_ (.A(_05380_),
+    .X(_05381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10941_ (.A1(_05371_),
+    .A2(_05381_),
+    .B1(\u_m1_res_fifo.mem[7][8] ),
+    .B2(_05374_),
     .X(_00753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11143_ (.A(_05457_),
-    .X(_05481_),
+ sky130_fd_sc_hd__buf_2 _10942_ (.A(_05359_),
+    .X(_05382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11144_ (.A(_05481_),
-    .B(\u_spictrl.res_fifo_wdata[8] ),
-    .X(_05482_),
+ sky130_fd_sc_hd__and2_4 _10943_ (.A(_05379_),
+    .B(\u_spictrl.res_fifo_wdata[7] ),
+    .X(_05383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11145_ (.A(_05482_),
-    .X(_05483_),
+ sky130_fd_sc_hd__buf_2 _10944_ (.A(_05383_),
+    .X(_05384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11146_ (.A1(_05473_),
-    .A2(_05483_),
-    .B1(\u_m1_res_fifo.mem[7][8] ),
-    .B2(_05476_),
+ sky130_fd_sc_hd__buf_2 _10945_ (.A(_05349_),
+    .X(_05385_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10946_ (.A1(_05382_),
+    .A2(_05384_),
+    .B1(\u_m1_res_fifo.mem[7][7] ),
+    .B2(_05385_),
     .X(_00752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11147_ (.A(_05461_),
-    .X(_05484_),
+ sky130_fd_sc_hd__and2_4 _10947_ (.A(_05379_),
+    .B(\u_spictrl.res_fifo_wdata[6] ),
+    .X(_05386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11148_ (.A(_05481_),
-    .B(\u_spictrl.res_fifo_wdata[7] ),
-    .X(_05485_),
+ sky130_fd_sc_hd__buf_2 _10948_ (.A(_05386_),
+    .X(_05387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11149_ (.A(_05485_),
-    .X(_05486_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11150_ (.A(_05451_),
-    .X(_05487_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11151_ (.A1(_05484_),
-    .A2(_05486_),
-    .B1(\u_m1_res_fifo.mem[7][7] ),
-    .B2(_05487_),
+ sky130_fd_sc_hd__o22a_4 _10949_ (.A1(_05382_),
+    .A2(_05387_),
+    .B1(\u_m1_res_fifo.mem[7][6] ),
+    .B2(_05385_),
     .X(_00751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11152_ (.A(_05481_),
-    .B(\u_spictrl.res_fifo_wdata[6] ),
-    .X(_05488_),
+ sky130_fd_sc_hd__and2_4 _10950_ (.A(_05379_),
+    .B(\u_spictrl.res_fifo_wdata[5] ),
+    .X(_05388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11153_ (.A(_05488_),
-    .X(_05489_),
+ sky130_fd_sc_hd__buf_2 _10951_ (.A(_05388_),
+    .X(_05389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11154_ (.A1(_05484_),
-    .A2(_05489_),
-    .B1(\u_m1_res_fifo.mem[7][6] ),
-    .B2(_05487_),
+ sky130_fd_sc_hd__o22a_4 _10952_ (.A1(_05382_),
+    .A2(_05389_),
+    .B1(\u_m1_res_fifo.mem[7][5] ),
+    .B2(_05385_),
     .X(_00750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11155_ (.A(_05481_),
-    .B(\u_spictrl.res_fifo_wdata[5] ),
-    .X(_05490_),
+ sky130_fd_sc_hd__buf_2 _10953_ (.A(_05355_),
+    .X(_05390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11156_ (.A(_05490_),
-    .X(_05491_),
+ sky130_fd_sc_hd__and2_4 _10954_ (.A(_05390_),
+    .B(\u_spictrl.res_fifo_wdata[4] ),
+    .X(_05391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11157_ (.A1(_05484_),
-    .A2(_05491_),
-    .B1(\u_m1_res_fifo.mem[7][5] ),
-    .B2(_05487_),
+ sky130_fd_sc_hd__buf_2 _10955_ (.A(_05391_),
+    .X(_05392_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10956_ (.A1(_05382_),
+    .A2(_05392_),
+    .B1(\u_m1_res_fifo.mem[7][4] ),
+    .B2(_05385_),
     .X(_00749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11158_ (.A(_05457_),
-    .X(_05492_),
+ sky130_fd_sc_hd__buf_2 _10957_ (.A(_05359_),
+    .X(_05393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11159_ (.A(_05492_),
-    .B(\u_spictrl.res_fifo_wdata[4] ),
-    .X(_05493_),
+ sky130_fd_sc_hd__and2_4 _10958_ (.A(_05390_),
+    .B(\u_spictrl.res_fifo_wdata[3] ),
+    .X(_05394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11160_ (.A(_05493_),
-    .X(_05494_),
+ sky130_fd_sc_hd__buf_2 _10959_ (.A(_05394_),
+    .X(_05395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11161_ (.A1(_05484_),
-    .A2(_05494_),
-    .B1(\u_m1_res_fifo.mem[7][4] ),
-    .B2(_05487_),
+ sky130_fd_sc_hd__buf_2 _10960_ (.A(_05218_),
+    .X(_05396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10961_ (.A1(_05393_),
+    .A2(_05395_),
+    .B1(\u_m1_res_fifo.mem[7][3] ),
+    .B2(_05396_),
     .X(_00748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11162_ (.A(_05461_),
-    .X(_05495_),
+ sky130_fd_sc_hd__and2_4 _10962_ (.A(_05390_),
+    .B(\u_spictrl.res_fifo_wdata[2] ),
+    .X(_05397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11163_ (.A(_05492_),
-    .B(\u_spictrl.res_fifo_wdata[3] ),
-    .X(_05496_),
+ sky130_fd_sc_hd__buf_2 _10963_ (.A(_05397_),
+    .X(_05398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11164_ (.A(_05496_),
-    .X(_05497_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11165_ (.A(_05368_),
-    .X(_05498_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11166_ (.A1(_05495_),
-    .A2(_05497_),
-    .B1(\u_m1_res_fifo.mem[7][3] ),
-    .B2(_05498_),
+ sky130_fd_sc_hd__o22a_4 _10964_ (.A1(_05393_),
+    .A2(_05398_),
+    .B1(\u_m1_res_fifo.mem[7][2] ),
+    .B2(_05396_),
     .X(_00747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11167_ (.A(_05492_),
-    .B(\u_spictrl.res_fifo_wdata[2] ),
-    .X(_05499_),
+ sky130_fd_sc_hd__and2_4 _10965_ (.A(_05390_),
+    .B(\u_spictrl.res_fifo_wdata[1] ),
+    .X(_05399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11168_ (.A(_05499_),
-    .X(_05500_),
+ sky130_fd_sc_hd__buf_2 _10966_ (.A(_05399_),
+    .X(_05400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11169_ (.A1(_05495_),
-    .A2(_05500_),
-    .B1(\u_m1_res_fifo.mem[7][2] ),
-    .B2(_05498_),
+ sky130_fd_sc_hd__o22a_4 _10967_ (.A1(_05393_),
+    .A2(_05400_),
+    .B1(\u_m1_res_fifo.mem[7][1] ),
+    .B2(_05396_),
     .X(_00746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11170_ (.A(_05492_),
-    .B(\u_spictrl.res_fifo_wdata[1] ),
-    .X(_05501_),
+ sky130_fd_sc_hd__and2_4 _10968_ (.A(_05320_),
+    .B(\u_spictrl.res_fifo_wdata[0] ),
+    .X(_05401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11171_ (.A(_05501_),
-    .X(_05502_),
+ sky130_fd_sc_hd__buf_2 _10969_ (.A(_05401_),
+    .X(_05402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11172_ (.A1(_05495_),
-    .A2(_05502_),
-    .B1(\u_m1_res_fifo.mem[7][1] ),
-    .B2(_05498_),
+ sky130_fd_sc_hd__o22a_4 _10970_ (.A1(_05393_),
+    .A2(_05402_),
+    .B1(\u_m1_res_fifo.mem[7][0] ),
+    .B2(_05396_),
     .X(_00745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11173_ (.A(_05422_),
-    .B(\u_spictrl.res_fifo_wdata[0] ),
-    .X(_05503_),
+ sky130_fd_sc_hd__buf_2 _10971_ (.A(\u_m0_res_fifo.wr_ptr[0] ),
+    .X(_05403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11174_ (.A(_05503_),
-    .X(_05504_),
+ sky130_fd_sc_hd__buf_2 _10972_ (.A(\u_m0_res_fifo.wr_ptr[2] ),
+    .X(_05404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11175_ (.A1(_05495_),
-    .A2(_05504_),
-    .B1(\u_m1_res_fifo.mem[7][0] ),
-    .B2(_05498_),
+ sky130_fd_sc_hd__or4_4 _10973_ (.A(_05403_),
+    .B(_05300_),
+    .C(_05404_),
+    .D(_05296_),
+    .X(_05405_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10974_ (.A(_05405_),
+    .X(_05406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10975_ (.A(_05406_),
+    .X(_05407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and2_4 _10976_ (.A(_05207_),
+    .B(\u_spictrl.res_fifo_wdata[31] ),
+    .X(_05408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10977_ (.A(_05408_),
+    .X(_05409_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _10978_ (.A(_05405_),
+    .Y(_05410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10979_ (.A(_05410_),
+    .X(_05411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _10980_ (.A(_05411_),
+    .X(_05412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10981_ (.A1(_05407_),
+    .A2(_05409_),
+    .B1(\u_m0_res_fifo.mem[0][31] ),
+    .B2(_05412_),
     .X(_00744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11176_ (.A(\u_m0_res_fifo.wr_ptr[0] ),
-    .X(_05505_),
+ sky130_fd_sc_hd__and2_4 _10982_ (.A(_05207_),
+    .B(\u_spictrl.res_fifo_wdata[30] ),
+    .X(_05413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11177_ (.A(\u_m0_res_fifo.wr_ptr[2] ),
-    .X(_05506_),
+ sky130_fd_sc_hd__buf_2 _10983_ (.A(_05413_),
+    .X(_05414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _11178_ (.A(_05505_),
-    .B(_05402_),
-    .C(_05506_),
-    .D(_05398_),
-    .X(_05507_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11179_ (.A(_05507_),
-    .X(_05508_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11180_ (.A(_05508_),
-    .X(_05509_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11181_ (.A(_05357_),
-    .B(\u_spictrl.res_fifo_wdata[31] ),
-    .X(_05510_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11182_ (.A(_05510_),
-    .X(_05511_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11183_ (.A(_05507_),
-    .Y(_05512_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11184_ (.A(_05512_),
-    .X(_05513_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11185_ (.A(_05513_),
-    .X(_05514_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11186_ (.A1(_05509_),
-    .A2(_05511_),
-    .B1(\u_m0_res_fifo.mem[0][31] ),
-    .B2(_05514_),
+ sky130_fd_sc_hd__o22a_4 _10984_ (.A1(_05407_),
+    .A2(_05414_),
+    .B1(\u_m0_res_fifo.mem[0][30] ),
+    .B2(_05412_),
     .X(_00743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11187_ (.A(_05357_),
-    .B(\u_spictrl.res_fifo_wdata[30] ),
-    .X(_05515_),
+ sky130_fd_sc_hd__and2_4 _10985_ (.A(_05207_),
+    .B(\u_spictrl.res_fifo_wdata[29] ),
+    .X(_05415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11188_ (.A(_05515_),
-    .X(_05516_),
+ sky130_fd_sc_hd__buf_2 _10986_ (.A(_05415_),
+    .X(_05416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11189_ (.A1(_05509_),
-    .A2(_05516_),
-    .B1(\u_m0_res_fifo.mem[0][30] ),
-    .B2(_05514_),
+ sky130_fd_sc_hd__o22a_4 _10987_ (.A1(_05407_),
+    .A2(_05416_),
+    .B1(\u_m0_res_fifo.mem[0][29] ),
+    .B2(_05412_),
     .X(_00742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11190_ (.A(_05357_),
-    .B(\u_spictrl.res_fifo_wdata[29] ),
-    .X(_05517_),
+ sky130_fd_sc_hd__buf_2 _10988_ (.A(_05202_),
+    .X(_05417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11191_ (.A(_05517_),
-    .X(_05518_),
+ sky130_fd_sc_hd__and2_4 _10989_ (.A(_05417_),
+    .B(\u_spictrl.res_fifo_wdata[28] ),
+    .X(_05418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11192_ (.A1(_05509_),
-    .A2(_05518_),
-    .B1(\u_m0_res_fifo.mem[0][29] ),
-    .B2(_05514_),
+ sky130_fd_sc_hd__buf_2 _10990_ (.A(_05418_),
+    .X(_05419_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10991_ (.A1(_05407_),
+    .A2(_05419_),
+    .B1(\u_m0_res_fifo.mem[0][28] ),
+    .B2(_05412_),
     .X(_00741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11193_ (.A(_05352_),
-    .X(_05519_),
+ sky130_fd_sc_hd__buf_2 _10992_ (.A(_05406_),
+    .X(_05420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11194_ (.A(_05519_),
-    .B(\u_spictrl.res_fifo_wdata[28] ),
-    .X(_05520_),
+ sky130_fd_sc_hd__and2_4 _10993_ (.A(_05417_),
+    .B(\u_spictrl.res_fifo_wdata[27] ),
+    .X(_05421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11195_ (.A(_05520_),
-    .X(_05521_),
+ sky130_fd_sc_hd__buf_2 _10994_ (.A(_05421_),
+    .X(_05422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11196_ (.A1(_05509_),
-    .A2(_05521_),
-    .B1(\u_m0_res_fifo.mem[0][28] ),
-    .B2(_05514_),
+ sky130_fd_sc_hd__buf_2 _10995_ (.A(_05411_),
+    .X(_05423_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _10996_ (.A1(_05420_),
+    .A2(_05422_),
+    .B1(\u_m0_res_fifo.mem[0][27] ),
+    .B2(_05423_),
     .X(_00740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11197_ (.A(_05508_),
-    .X(_05522_),
+ sky130_fd_sc_hd__and2_4 _10997_ (.A(_05417_),
+    .B(\u_spictrl.res_fifo_wdata[26] ),
+    .X(_05424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11198_ (.A(_05519_),
-    .B(\u_spictrl.res_fifo_wdata[27] ),
-    .X(_05523_),
+ sky130_fd_sc_hd__buf_2 _10998_ (.A(_05424_),
+    .X(_05425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11199_ (.A(_05523_),
-    .X(_05524_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11200_ (.A(_05513_),
-    .X(_05525_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11201_ (.A1(_05522_),
-    .A2(_05524_),
-    .B1(\u_m0_res_fifo.mem[0][27] ),
-    .B2(_05525_),
+ sky130_fd_sc_hd__o22a_4 _10999_ (.A1(_05420_),
+    .A2(_05425_),
+    .B1(\u_m0_res_fifo.mem[0][26] ),
+    .B2(_05423_),
     .X(_00739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11202_ (.A(_05519_),
-    .B(\u_spictrl.res_fifo_wdata[26] ),
-    .X(_05526_),
+ sky130_fd_sc_hd__and2_4 _11000_ (.A(_05417_),
+    .B(\u_spictrl.res_fifo_wdata[25] ),
+    .X(_05426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11203_ (.A(_05526_),
-    .X(_05527_),
+ sky130_fd_sc_hd__buf_2 _11001_ (.A(_05426_),
+    .X(_05427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11204_ (.A1(_05522_),
-    .A2(_05527_),
-    .B1(\u_m0_res_fifo.mem[0][26] ),
-    .B2(_05525_),
+ sky130_fd_sc_hd__o22a_4 _11002_ (.A1(_05420_),
+    .A2(_05427_),
+    .B1(\u_m0_res_fifo.mem[0][25] ),
+    .B2(_05423_),
     .X(_00738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11205_ (.A(_05519_),
-    .B(\u_spictrl.res_fifo_wdata[25] ),
-    .X(_05528_),
+ sky130_fd_sc_hd__buf_2 _11003_ (.A(_05202_),
+    .X(_05428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11206_ (.A(_05528_),
-    .X(_05529_),
+ sky130_fd_sc_hd__and2_4 _11004_ (.A(_05428_),
+    .B(\u_spictrl.res_fifo_wdata[24] ),
+    .X(_05429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11207_ (.A1(_05522_),
-    .A2(_05529_),
-    .B1(\u_m0_res_fifo.mem[0][25] ),
-    .B2(_05525_),
+ sky130_fd_sc_hd__buf_2 _11005_ (.A(_05429_),
+    .X(_05430_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11006_ (.A1(_05420_),
+    .A2(_05430_),
+    .B1(\u_m0_res_fifo.mem[0][24] ),
+    .B2(_05423_),
     .X(_00737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11208_ (.A(_05352_),
-    .X(_05530_),
+ sky130_fd_sc_hd__buf_2 _11007_ (.A(_05406_),
+    .X(_05431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11209_ (.A(_05530_),
-    .B(\u_spictrl.res_fifo_wdata[24] ),
-    .X(_05531_),
+ sky130_fd_sc_hd__and2_4 _11008_ (.A(_05428_),
+    .B(\u_spictrl.res_fifo_wdata[23] ),
+    .X(_05432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11210_ (.A(_05531_),
-    .X(_05532_),
+ sky130_fd_sc_hd__buf_2 _11009_ (.A(_05432_),
+    .X(_05433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11211_ (.A1(_05522_),
-    .A2(_05532_),
-    .B1(\u_m0_res_fifo.mem[0][24] ),
-    .B2(_05525_),
+ sky130_fd_sc_hd__buf_2 _11010_ (.A(_05411_),
+    .X(_05434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11011_ (.A1(_05431_),
+    .A2(_05433_),
+    .B1(\u_m0_res_fifo.mem[0][23] ),
+    .B2(_05434_),
     .X(_00736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11212_ (.A(_05508_),
-    .X(_05533_),
+ sky130_fd_sc_hd__and2_4 _11012_ (.A(_05428_),
+    .B(\u_spictrl.res_fifo_wdata[22] ),
+    .X(_05435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11213_ (.A(_05530_),
-    .B(\u_spictrl.res_fifo_wdata[23] ),
-    .X(_05534_),
+ sky130_fd_sc_hd__buf_2 _11013_ (.A(_05435_),
+    .X(_05436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11214_ (.A(_05534_),
-    .X(_05535_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11215_ (.A(_05513_),
-    .X(_05536_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11216_ (.A1(_05533_),
-    .A2(_05535_),
-    .B1(\u_m0_res_fifo.mem[0][23] ),
-    .B2(_05536_),
+ sky130_fd_sc_hd__o22a_4 _11014_ (.A1(_05431_),
+    .A2(_05436_),
+    .B1(\u_m0_res_fifo.mem[0][22] ),
+    .B2(_05434_),
     .X(_00735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11217_ (.A(_05530_),
-    .B(\u_spictrl.res_fifo_wdata[22] ),
-    .X(_05537_),
+ sky130_fd_sc_hd__and2_4 _11015_ (.A(_05428_),
+    .B(\u_spictrl.res_fifo_wdata[21] ),
+    .X(_05437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11218_ (.A(_05537_),
-    .X(_05538_),
+ sky130_fd_sc_hd__buf_2 _11016_ (.A(_05437_),
+    .X(_05438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11219_ (.A1(_05533_),
-    .A2(_05538_),
-    .B1(\u_m0_res_fifo.mem[0][22] ),
-    .B2(_05536_),
+ sky130_fd_sc_hd__o22a_4 _11017_ (.A1(_05431_),
+    .A2(_05438_),
+    .B1(\u_m0_res_fifo.mem[0][21] ),
+    .B2(_05434_),
     .X(_00734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11220_ (.A(_05530_),
-    .B(\u_spictrl.res_fifo_wdata[21] ),
-    .X(_05539_),
+ sky130_fd_sc_hd__buf_2 _11018_ (.A(_05201_),
+    .X(_05439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11221_ (.A(_05539_),
-    .X(_05540_),
+ sky130_fd_sc_hd__buf_2 _11019_ (.A(_05439_),
+    .X(_05440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11222_ (.A1(_05533_),
-    .A2(_05540_),
-    .B1(\u_m0_res_fifo.mem[0][21] ),
-    .B2(_05536_),
+ sky130_fd_sc_hd__and2_4 _11020_ (.A(_05440_),
+    .B(\u_spictrl.res_fifo_wdata[20] ),
+    .X(_05441_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11021_ (.A(_05441_),
+    .X(_05442_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11022_ (.A1(_05431_),
+    .A2(_05442_),
+    .B1(\u_m0_res_fifo.mem[0][20] ),
+    .B2(_05434_),
     .X(_00733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11223_ (.A(_05351_),
-    .X(_05541_),
+ sky130_fd_sc_hd__buf_2 _11023_ (.A(_05406_),
+    .X(_05443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11224_ (.A(_05541_),
-    .X(_05542_),
+ sky130_fd_sc_hd__and2_4 _11024_ (.A(_05440_),
+    .B(\u_spictrl.res_fifo_wdata[19] ),
+    .X(_05444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11225_ (.A(_05542_),
-    .B(\u_spictrl.res_fifo_wdata[20] ),
-    .X(_05543_),
+ sky130_fd_sc_hd__buf_2 _11025_ (.A(_05444_),
+    .X(_05445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11226_ (.A(_05543_),
-    .X(_05544_),
+ sky130_fd_sc_hd__buf_2 _11026_ (.A(_05411_),
+    .X(_05446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11227_ (.A1(_05533_),
-    .A2(_05544_),
-    .B1(\u_m0_res_fifo.mem[0][20] ),
-    .B2(_05536_),
+ sky130_fd_sc_hd__o22a_4 _11027_ (.A1(_05443_),
+    .A2(_05445_),
+    .B1(\u_m0_res_fifo.mem[0][19] ),
+    .B2(_05446_),
     .X(_00732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11228_ (.A(_05508_),
-    .X(_05545_),
+ sky130_fd_sc_hd__and2_4 _11028_ (.A(_05440_),
+    .B(\u_spictrl.res_fifo_wdata[18] ),
+    .X(_05447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11229_ (.A(_05542_),
-    .B(\u_spictrl.res_fifo_wdata[19] ),
-    .X(_05546_),
+ sky130_fd_sc_hd__buf_2 _11029_ (.A(_05447_),
+    .X(_05448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11230_ (.A(_05546_),
-    .X(_05547_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11231_ (.A(_05513_),
-    .X(_05548_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11232_ (.A1(_05545_),
-    .A2(_05547_),
-    .B1(\u_m0_res_fifo.mem[0][19] ),
-    .B2(_05548_),
+ sky130_fd_sc_hd__o22a_4 _11030_ (.A1(_05443_),
+    .A2(_05448_),
+    .B1(\u_m0_res_fifo.mem[0][18] ),
+    .B2(_05446_),
     .X(_00731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11233_ (.A(_05542_),
-    .B(\u_spictrl.res_fifo_wdata[18] ),
-    .X(_05549_),
+ sky130_fd_sc_hd__and2_4 _11031_ (.A(_05440_),
+    .B(\u_spictrl.res_fifo_wdata[17] ),
+    .X(_05449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11234_ (.A(_05549_),
-    .X(_05550_),
+ sky130_fd_sc_hd__buf_2 _11032_ (.A(_05449_),
+    .X(_05450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11235_ (.A1(_05545_),
-    .A2(_05550_),
-    .B1(\u_m0_res_fifo.mem[0][18] ),
-    .B2(_05548_),
+ sky130_fd_sc_hd__o22a_4 _11033_ (.A1(_05443_),
+    .A2(_05450_),
+    .B1(\u_m0_res_fifo.mem[0][17] ),
+    .B2(_05446_),
     .X(_00730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11236_ (.A(_05542_),
-    .B(\u_spictrl.res_fifo_wdata[17] ),
-    .X(_05551_),
+ sky130_fd_sc_hd__buf_2 _11034_ (.A(_05439_),
+    .X(_05451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11237_ (.A(_05551_),
-    .X(_05552_),
+ sky130_fd_sc_hd__and2_4 _11035_ (.A(_05451_),
+    .B(\u_spictrl.res_fifo_wdata[16] ),
+    .X(_05452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11238_ (.A1(_05545_),
-    .A2(_05552_),
-    .B1(\u_m0_res_fifo.mem[0][17] ),
-    .B2(_05548_),
+ sky130_fd_sc_hd__buf_2 _11036_ (.A(_05452_),
+    .X(_05453_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11037_ (.A1(_05443_),
+    .A2(_05453_),
+    .B1(\u_m0_res_fifo.mem[0][16] ),
+    .B2(_05446_),
     .X(_00729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11239_ (.A(_05541_),
-    .X(_05553_),
+ sky130_fd_sc_hd__buf_2 _11038_ (.A(_05405_),
+    .X(_05454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11240_ (.A(_05553_),
-    .B(\u_spictrl.res_fifo_wdata[16] ),
-    .X(_05554_),
+ sky130_fd_sc_hd__buf_2 _11039_ (.A(_05454_),
+    .X(_05455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11241_ (.A(_05554_),
-    .X(_05555_),
+ sky130_fd_sc_hd__and2_4 _11040_ (.A(_05451_),
+    .B(\u_spictrl.res_fifo_wdata[15] ),
+    .X(_05456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11242_ (.A1(_05545_),
-    .A2(_05555_),
-    .B1(\u_m0_res_fifo.mem[0][16] ),
-    .B2(_05548_),
+ sky130_fd_sc_hd__buf_2 _11041_ (.A(_05456_),
+    .X(_05457_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11042_ (.A(_05410_),
+    .X(_05458_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11043_ (.A(_05458_),
+    .X(_05459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11044_ (.A1(_05455_),
+    .A2(_05457_),
+    .B1(\u_m0_res_fifo.mem[0][15] ),
+    .B2(_05459_),
     .X(_00728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11243_ (.A(_05507_),
-    .X(_05556_),
+ sky130_fd_sc_hd__and2_4 _11045_ (.A(_05451_),
+    .B(\u_spictrl.res_fifo_wdata[14] ),
+    .X(_05460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11244_ (.A(_05556_),
-    .X(_05557_),
+ sky130_fd_sc_hd__buf_2 _11046_ (.A(_05460_),
+    .X(_05461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11245_ (.A(_05553_),
-    .B(\u_spictrl.res_fifo_wdata[15] ),
-    .X(_05558_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11246_ (.A(_05558_),
-    .X(_05559_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11247_ (.A(_05512_),
-    .X(_05560_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11248_ (.A(_05560_),
-    .X(_05561_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11249_ (.A1(_05557_),
-    .A2(_05559_),
-    .B1(\u_m0_res_fifo.mem[0][15] ),
-    .B2(_05561_),
+ sky130_fd_sc_hd__o22a_4 _11047_ (.A1(_05455_),
+    .A2(_05461_),
+    .B1(\u_m0_res_fifo.mem[0][14] ),
+    .B2(_05459_),
     .X(_00727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11250_ (.A(_05553_),
-    .B(\u_spictrl.res_fifo_wdata[14] ),
-    .X(_05562_),
+ sky130_fd_sc_hd__and2_4 _11048_ (.A(_05451_),
+    .B(\u_spictrl.res_fifo_wdata[13] ),
+    .X(_05462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11251_ (.A(_05562_),
-    .X(_05563_),
+ sky130_fd_sc_hd__buf_2 _11049_ (.A(_05462_),
+    .X(_05463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11252_ (.A1(_05557_),
-    .A2(_05563_),
-    .B1(\u_m0_res_fifo.mem[0][14] ),
-    .B2(_05561_),
+ sky130_fd_sc_hd__o22a_4 _11050_ (.A1(_05455_),
+    .A2(_05463_),
+    .B1(\u_m0_res_fifo.mem[0][13] ),
+    .B2(_05459_),
     .X(_00726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11253_ (.A(_05553_),
-    .B(\u_spictrl.res_fifo_wdata[13] ),
-    .X(_05564_),
+ sky130_fd_sc_hd__buf_2 _11051_ (.A(_05439_),
+    .X(_05464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11254_ (.A(_05564_),
-    .X(_05565_),
+ sky130_fd_sc_hd__and2_4 _11052_ (.A(_05464_),
+    .B(\u_spictrl.res_fifo_wdata[12] ),
+    .X(_05465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11255_ (.A1(_05557_),
-    .A2(_05565_),
-    .B1(\u_m0_res_fifo.mem[0][13] ),
-    .B2(_05561_),
+ sky130_fd_sc_hd__buf_2 _11053_ (.A(_05465_),
+    .X(_05466_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11054_ (.A1(_05455_),
+    .A2(_05466_),
+    .B1(\u_m0_res_fifo.mem[0][12] ),
+    .B2(_05459_),
     .X(_00725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11256_ (.A(_05541_),
-    .X(_05566_),
+ sky130_fd_sc_hd__buf_2 _11055_ (.A(_05454_),
+    .X(_05467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11257_ (.A(_05566_),
-    .B(\u_spictrl.res_fifo_wdata[12] ),
-    .X(_05567_),
+ sky130_fd_sc_hd__and2_4 _11056_ (.A(_05464_),
+    .B(\u_spictrl.res_fifo_wdata[11] ),
+    .X(_05468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11258_ (.A(_05567_),
-    .X(_05568_),
+ sky130_fd_sc_hd__buf_2 _11057_ (.A(_05468_),
+    .X(_05469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11259_ (.A1(_05557_),
-    .A2(_05568_),
-    .B1(\u_m0_res_fifo.mem[0][12] ),
-    .B2(_05561_),
+ sky130_fd_sc_hd__buf_2 _11058_ (.A(_05458_),
+    .X(_05470_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11059_ (.A1(_05467_),
+    .A2(_05469_),
+    .B1(\u_m0_res_fifo.mem[0][11] ),
+    .B2(_05470_),
     .X(_00724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11260_ (.A(_05556_),
-    .X(_05569_),
+ sky130_fd_sc_hd__and2_4 _11060_ (.A(_05464_),
+    .B(\u_spictrl.res_fifo_wdata[10] ),
+    .X(_05471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11261_ (.A(_05566_),
-    .B(\u_spictrl.res_fifo_wdata[11] ),
-    .X(_05570_),
+ sky130_fd_sc_hd__buf_2 _11061_ (.A(_05471_),
+    .X(_05472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11262_ (.A(_05570_),
-    .X(_05571_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11263_ (.A(_05560_),
-    .X(_05572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11264_ (.A1(_05569_),
-    .A2(_05571_),
-    .B1(\u_m0_res_fifo.mem[0][11] ),
-    .B2(_05572_),
+ sky130_fd_sc_hd__o22a_4 _11062_ (.A1(_05467_),
+    .A2(_05472_),
+    .B1(\u_m0_res_fifo.mem[0][10] ),
+    .B2(_05470_),
     .X(_00723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11265_ (.A(_05566_),
-    .B(\u_spictrl.res_fifo_wdata[10] ),
-    .X(_05573_),
+ sky130_fd_sc_hd__and2_4 _11063_ (.A(_05464_),
+    .B(\u_spictrl.res_fifo_wdata[9] ),
+    .X(_05473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11266_ (.A(_05573_),
-    .X(_05574_),
+ sky130_fd_sc_hd__buf_2 _11064_ (.A(_05473_),
+    .X(_05474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11267_ (.A1(_05569_),
-    .A2(_05574_),
-    .B1(\u_m0_res_fifo.mem[0][10] ),
-    .B2(_05572_),
+ sky130_fd_sc_hd__o22a_4 _11065_ (.A1(_05467_),
+    .A2(_05474_),
+    .B1(\u_m0_res_fifo.mem[0][9] ),
+    .B2(_05470_),
     .X(_00722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11268_ (.A(_05566_),
-    .B(\u_spictrl.res_fifo_wdata[9] ),
-    .X(_05575_),
+ sky130_fd_sc_hd__buf_2 _11066_ (.A(_05439_),
+    .X(_05475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11269_ (.A(_05575_),
-    .X(_05576_),
+ sky130_fd_sc_hd__and2_4 _11067_ (.A(_05475_),
+    .B(\u_spictrl.res_fifo_wdata[8] ),
+    .X(_05476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11270_ (.A1(_05569_),
-    .A2(_05576_),
-    .B1(\u_m0_res_fifo.mem[0][9] ),
-    .B2(_05572_),
+ sky130_fd_sc_hd__buf_2 _11068_ (.A(_05476_),
+    .X(_05477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11069_ (.A1(_05467_),
+    .A2(_05477_),
+    .B1(\u_m0_res_fifo.mem[0][8] ),
+    .B2(_05470_),
     .X(_00721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11271_ (.A(_05541_),
-    .X(_05577_),
+ sky130_fd_sc_hd__buf_2 _11070_ (.A(_05454_),
+    .X(_05478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11272_ (.A(_05577_),
-    .B(\u_spictrl.res_fifo_wdata[8] ),
-    .X(_05578_),
+ sky130_fd_sc_hd__and2_4 _11071_ (.A(_05475_),
+    .B(\u_spictrl.res_fifo_wdata[7] ),
+    .X(_05479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11273_ (.A(_05578_),
-    .X(_05579_),
+ sky130_fd_sc_hd__buf_2 _11072_ (.A(_05479_),
+    .X(_05480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11274_ (.A1(_05569_),
-    .A2(_05579_),
-    .B1(\u_m0_res_fifo.mem[0][8] ),
-    .B2(_05572_),
+ sky130_fd_sc_hd__buf_2 _11073_ (.A(_05458_),
+    .X(_05481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11074_ (.A1(_05478_),
+    .A2(_05480_),
+    .B1(\u_m0_res_fifo.mem[0][7] ),
+    .B2(_05481_),
     .X(_00720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11275_ (.A(_05556_),
-    .X(_05580_),
+ sky130_fd_sc_hd__and2_4 _11075_ (.A(_05475_),
+    .B(\u_spictrl.res_fifo_wdata[6] ),
+    .X(_05482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11276_ (.A(_05577_),
-    .B(\u_spictrl.res_fifo_wdata[7] ),
-    .X(_05581_),
+ sky130_fd_sc_hd__buf_2 _11076_ (.A(_05482_),
+    .X(_05483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11277_ (.A(_05581_),
-    .X(_05582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11278_ (.A(_05560_),
-    .X(_05583_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11279_ (.A1(_05580_),
-    .A2(_05582_),
-    .B1(\u_m0_res_fifo.mem[0][7] ),
-    .B2(_05583_),
+ sky130_fd_sc_hd__o22a_4 _11077_ (.A1(_05478_),
+    .A2(_05483_),
+    .B1(\u_m0_res_fifo.mem[0][6] ),
+    .B2(_05481_),
     .X(_00719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11280_ (.A(_05577_),
-    .B(\u_spictrl.res_fifo_wdata[6] ),
-    .X(_05584_),
+ sky130_fd_sc_hd__and2_4 _11078_ (.A(_05475_),
+    .B(\u_spictrl.res_fifo_wdata[5] ),
+    .X(_05484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11281_ (.A(_05584_),
-    .X(_05585_),
+ sky130_fd_sc_hd__buf_2 _11079_ (.A(_05484_),
+    .X(_05485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11282_ (.A1(_05580_),
-    .A2(_05585_),
-    .B1(\u_m0_res_fifo.mem[0][6] ),
-    .B2(_05583_),
+ sky130_fd_sc_hd__o22a_4 _11080_ (.A1(_05478_),
+    .A2(_05485_),
+    .B1(\u_m0_res_fifo.mem[0][5] ),
+    .B2(_05481_),
     .X(_00718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11283_ (.A(_05577_),
-    .B(\u_spictrl.res_fifo_wdata[5] ),
-    .X(_05586_),
+ sky130_fd_sc_hd__buf_2 _11081_ (.A(_05201_),
+    .X(_05486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11284_ (.A(_05586_),
-    .X(_05587_),
+ sky130_fd_sc_hd__and2_4 _11082_ (.A(_05486_),
+    .B(\u_spictrl.res_fifo_wdata[4] ),
+    .X(_05487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11285_ (.A1(_05580_),
-    .A2(_05587_),
-    .B1(\u_m0_res_fifo.mem[0][5] ),
-    .B2(_05583_),
+ sky130_fd_sc_hd__buf_2 _11083_ (.A(_05487_),
+    .X(_05488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11084_ (.A1(_05478_),
+    .A2(_05488_),
+    .B1(\u_m0_res_fifo.mem[0][4] ),
+    .B2(_05481_),
     .X(_00717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11286_ (.A(_05351_),
-    .X(_05588_),
+ sky130_fd_sc_hd__buf_2 _11085_ (.A(_05454_),
+    .X(_05489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11287_ (.A(_05588_),
-    .B(\u_spictrl.res_fifo_wdata[4] ),
-    .X(_05589_),
+ sky130_fd_sc_hd__and2_4 _11086_ (.A(_05486_),
+    .B(\u_spictrl.res_fifo_wdata[3] ),
+    .X(_05490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11288_ (.A(_05589_),
-    .X(_05590_),
+ sky130_fd_sc_hd__buf_2 _11087_ (.A(_05490_),
+    .X(_05491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11289_ (.A1(_05580_),
-    .A2(_05590_),
-    .B1(\u_m0_res_fifo.mem[0][4] ),
-    .B2(_05583_),
+ sky130_fd_sc_hd__buf_2 _11088_ (.A(_05458_),
+    .X(_05492_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11089_ (.A1(_05489_),
+    .A2(_05491_),
+    .B1(\u_m0_res_fifo.mem[0][3] ),
+    .B2(_05492_),
     .X(_00716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11290_ (.A(_05556_),
-    .X(_05591_),
+ sky130_fd_sc_hd__and2_4 _11090_ (.A(_05486_),
+    .B(\u_spictrl.res_fifo_wdata[2] ),
+    .X(_05493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11291_ (.A(_05588_),
-    .B(\u_spictrl.res_fifo_wdata[3] ),
-    .X(_05592_),
+ sky130_fd_sc_hd__buf_2 _11091_ (.A(_05493_),
+    .X(_05494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11292_ (.A(_05592_),
-    .X(_05593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11293_ (.A(_05560_),
-    .X(_05594_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11294_ (.A1(_05591_),
-    .A2(_05593_),
-    .B1(\u_m0_res_fifo.mem[0][3] ),
-    .B2(_05594_),
+ sky130_fd_sc_hd__o22a_4 _11092_ (.A1(_05489_),
+    .A2(_05494_),
+    .B1(\u_m0_res_fifo.mem[0][2] ),
+    .B2(_05492_),
     .X(_00715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11295_ (.A(_05588_),
-    .B(\u_spictrl.res_fifo_wdata[2] ),
-    .X(_05595_),
+ sky130_fd_sc_hd__and2_4 _11093_ (.A(_05486_),
+    .B(\u_spictrl.res_fifo_wdata[1] ),
+    .X(_05495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11296_ (.A(_05595_),
-    .X(_05596_),
+ sky130_fd_sc_hd__buf_2 _11094_ (.A(_05495_),
+    .X(_05496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11297_ (.A1(_05591_),
-    .A2(_05596_),
-    .B1(\u_m0_res_fifo.mem[0][2] ),
-    .B2(_05594_),
+ sky130_fd_sc_hd__o22a_4 _11095_ (.A1(_05489_),
+    .A2(_05496_),
+    .B1(\u_m0_res_fifo.mem[0][1] ),
+    .B2(_05492_),
     .X(_00714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11298_ (.A(_05588_),
-    .B(\u_spictrl.res_fifo_wdata[1] ),
-    .X(_05597_),
+ sky130_fd_sc_hd__and2_4 _11096_ (.A(_05202_),
+    .B(\u_spictrl.res_fifo_wdata[0] ),
+    .X(_05497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11299_ (.A(_05597_),
-    .X(_05598_),
+ sky130_fd_sc_hd__buf_2 _11097_ (.A(_05497_),
+    .X(_05498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11300_ (.A1(_05591_),
-    .A2(_05598_),
-    .B1(\u_m0_res_fifo.mem[0][1] ),
-    .B2(_05594_),
+ sky130_fd_sc_hd__o22a_4 _11098_ (.A1(_05489_),
+    .A2(_05498_),
+    .B1(\u_m0_res_fifo.mem[0][0] ),
+    .B2(_05492_),
     .X(_00713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _11301_ (.A(_05352_),
-    .B(\u_spictrl.res_fifo_wdata[0] ),
-    .X(_05599_),
+ sky130_fd_sc_hd__or4_4 _11099_ (.A(_05286_),
+    .B(_05300_),
+    .C(_05404_),
+    .D(_05296_),
+    .X(_05499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11302_ (.A(_05599_),
-    .X(_05600_),
+ sky130_fd_sc_hd__buf_2 _11100_ (.A(_05499_),
+    .X(_05500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11303_ (.A1(_05591_),
-    .A2(_05600_),
-    .B1(\u_m0_res_fifo.mem[0][0] ),
-    .B2(_05594_),
+ sky130_fd_sc_hd__buf_2 _11101_ (.A(_05500_),
+    .X(_05501_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11102_ (.A(_05499_),
+    .Y(_05502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11103_ (.A(_05502_),
+    .X(_05503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11104_ (.A(_05503_),
+    .X(_05504_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11105_ (.A1(_05409_),
+    .A2(_05501_),
+    .B1(\u_m0_res_fifo.mem[1][31] ),
+    .B2(_05504_),
     .X(_00712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _11304_ (.A(_05388_),
-    .B(_05402_),
-    .C(_05506_),
-    .D(_05398_),
-    .X(_05601_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11305_ (.A(_05601_),
-    .X(_05602_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11306_ (.A(_05602_),
-    .X(_05603_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11307_ (.A(_05601_),
-    .Y(_05604_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11308_ (.A(_05604_),
-    .X(_05605_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11309_ (.A(_05605_),
-    .X(_05606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11310_ (.A1(_05511_),
-    .A2(_05603_),
-    .B1(\u_m0_res_fifo.mem[1][31] ),
-    .B2(_05606_),
+ sky130_fd_sc_hd__o22a_4 _11106_ (.A1(_05414_),
+    .A2(_05501_),
+    .B1(\u_m0_res_fifo.mem[1][30] ),
+    .B2(_05504_),
     .X(_00711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11311_ (.A1(_05516_),
-    .A2(_05603_),
-    .B1(\u_m0_res_fifo.mem[1][30] ),
-    .B2(_05606_),
+ sky130_fd_sc_hd__o22a_4 _11107_ (.A1(_05416_),
+    .A2(_05501_),
+    .B1(\u_m0_res_fifo.mem[1][29] ),
+    .B2(_05504_),
     .X(_00710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11312_ (.A1(_05518_),
-    .A2(_05603_),
-    .B1(\u_m0_res_fifo.mem[1][29] ),
-    .B2(_05606_),
+ sky130_fd_sc_hd__o22a_4 _11108_ (.A1(_05419_),
+    .A2(_05501_),
+    .B1(\u_m0_res_fifo.mem[1][28] ),
+    .B2(_05504_),
     .X(_00709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11313_ (.A1(_05521_),
-    .A2(_05603_),
-    .B1(\u_m0_res_fifo.mem[1][28] ),
-    .B2(_05606_),
+ sky130_fd_sc_hd__buf_2 _11109_ (.A(_05500_),
+    .X(_05505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11110_ (.A(_05503_),
+    .X(_05506_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11111_ (.A1(_05422_),
+    .A2(_05505_),
+    .B1(\u_m0_res_fifo.mem[1][27] ),
+    .B2(_05506_),
     .X(_00708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11314_ (.A(_05602_),
-    .X(_05607_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11315_ (.A(_05605_),
-    .X(_05608_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11316_ (.A1(_05524_),
-    .A2(_05607_),
-    .B1(\u_m0_res_fifo.mem[1][27] ),
-    .B2(_05608_),
+ sky130_fd_sc_hd__o22a_4 _11112_ (.A1(_05425_),
+    .A2(_05505_),
+    .B1(\u_m0_res_fifo.mem[1][26] ),
+    .B2(_05506_),
     .X(_00707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11317_ (.A1(_05527_),
-    .A2(_05607_),
-    .B1(\u_m0_res_fifo.mem[1][26] ),
-    .B2(_05608_),
+ sky130_fd_sc_hd__o22a_4 _11113_ (.A1(_05427_),
+    .A2(_05505_),
+    .B1(\u_m0_res_fifo.mem[1][25] ),
+    .B2(_05506_),
     .X(_00706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11318_ (.A1(_05529_),
-    .A2(_05607_),
-    .B1(\u_m0_res_fifo.mem[1][25] ),
-    .B2(_05608_),
+ sky130_fd_sc_hd__o22a_4 _11114_ (.A1(_05430_),
+    .A2(_05505_),
+    .B1(\u_m0_res_fifo.mem[1][24] ),
+    .B2(_05506_),
     .X(_00705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11319_ (.A1(_05532_),
-    .A2(_05607_),
-    .B1(\u_m0_res_fifo.mem[1][24] ),
-    .B2(_05608_),
+ sky130_fd_sc_hd__buf_2 _11115_ (.A(_05500_),
+    .X(_05507_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11116_ (.A(_05503_),
+    .X(_05508_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11117_ (.A1(_05433_),
+    .A2(_05507_),
+    .B1(\u_m0_res_fifo.mem[1][23] ),
+    .B2(_05508_),
     .X(_00704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11320_ (.A(_05602_),
-    .X(_05609_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11321_ (.A(_05605_),
-    .X(_05610_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11322_ (.A1(_05535_),
-    .A2(_05609_),
-    .B1(\u_m0_res_fifo.mem[1][23] ),
-    .B2(_05610_),
+ sky130_fd_sc_hd__o22a_4 _11118_ (.A1(_05436_),
+    .A2(_05507_),
+    .B1(\u_m0_res_fifo.mem[1][22] ),
+    .B2(_05508_),
     .X(_00703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11323_ (.A1(_05538_),
-    .A2(_05609_),
-    .B1(\u_m0_res_fifo.mem[1][22] ),
-    .B2(_05610_),
+ sky130_fd_sc_hd__o22a_4 _11119_ (.A1(_05438_),
+    .A2(_05507_),
+    .B1(\u_m0_res_fifo.mem[1][21] ),
+    .B2(_05508_),
     .X(_00702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11324_ (.A1(_05540_),
-    .A2(_05609_),
-    .B1(\u_m0_res_fifo.mem[1][21] ),
-    .B2(_05610_),
+ sky130_fd_sc_hd__o22a_4 _11120_ (.A1(_05442_),
+    .A2(_05507_),
+    .B1(\u_m0_res_fifo.mem[1][20] ),
+    .B2(_05508_),
     .X(_00701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11325_ (.A1(_05544_),
-    .A2(_05609_),
-    .B1(\u_m0_res_fifo.mem[1][20] ),
-    .B2(_05610_),
+ sky130_fd_sc_hd__buf_2 _11121_ (.A(_05500_),
+    .X(_05509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11122_ (.A(_05503_),
+    .X(_05510_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11123_ (.A1(_05445_),
+    .A2(_05509_),
+    .B1(\u_m0_res_fifo.mem[1][19] ),
+    .B2(_05510_),
     .X(_00700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11326_ (.A(_05602_),
-    .X(_05611_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11327_ (.A(_05605_),
-    .X(_05612_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11328_ (.A1(_05547_),
-    .A2(_05611_),
-    .B1(\u_m0_res_fifo.mem[1][19] ),
-    .B2(_05612_),
+ sky130_fd_sc_hd__o22a_4 _11124_ (.A1(_05448_),
+    .A2(_05509_),
+    .B1(\u_m0_res_fifo.mem[1][18] ),
+    .B2(_05510_),
     .X(_00699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11329_ (.A1(_05550_),
-    .A2(_05611_),
-    .B1(\u_m0_res_fifo.mem[1][18] ),
-    .B2(_05612_),
+ sky130_fd_sc_hd__o22a_4 _11125_ (.A1(_05450_),
+    .A2(_05509_),
+    .B1(\u_m0_res_fifo.mem[1][17] ),
+    .B2(_05510_),
     .X(_00698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11330_ (.A1(_05552_),
-    .A2(_05611_),
-    .B1(\u_m0_res_fifo.mem[1][17] ),
-    .B2(_05612_),
+ sky130_fd_sc_hd__o22a_4 _11126_ (.A1(_05453_),
+    .A2(_05509_),
+    .B1(\u_m0_res_fifo.mem[1][16] ),
+    .B2(_05510_),
     .X(_00697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11331_ (.A1(_05555_),
-    .A2(_05611_),
-    .B1(\u_m0_res_fifo.mem[1][16] ),
-    .B2(_05612_),
+ sky130_fd_sc_hd__buf_2 _11127_ (.A(_05499_),
+    .X(_05511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11128_ (.A(_05511_),
+    .X(_05512_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11129_ (.A(_05502_),
+    .X(_05513_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11130_ (.A(_05513_),
+    .X(_05514_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11131_ (.A1(_05457_),
+    .A2(_05512_),
+    .B1(\u_m0_res_fifo.mem[1][15] ),
+    .B2(_05514_),
     .X(_00696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11332_ (.A(_05601_),
-    .X(_05613_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11333_ (.A(_05613_),
-    .X(_05614_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11334_ (.A(_05604_),
-    .X(_05615_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11335_ (.A(_05615_),
-    .X(_05616_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11336_ (.A1(_05559_),
-    .A2(_05614_),
-    .B1(\u_m0_res_fifo.mem[1][15] ),
-    .B2(_05616_),
+ sky130_fd_sc_hd__o22a_4 _11132_ (.A1(_05461_),
+    .A2(_05512_),
+    .B1(\u_m0_res_fifo.mem[1][14] ),
+    .B2(_05514_),
     .X(_00695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11337_ (.A1(_05563_),
-    .A2(_05614_),
-    .B1(\u_m0_res_fifo.mem[1][14] ),
-    .B2(_05616_),
+ sky130_fd_sc_hd__o22a_4 _11133_ (.A1(_05463_),
+    .A2(_05512_),
+    .B1(\u_m0_res_fifo.mem[1][13] ),
+    .B2(_05514_),
     .X(_00694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11338_ (.A1(_05565_),
-    .A2(_05614_),
-    .B1(\u_m0_res_fifo.mem[1][13] ),
-    .B2(_05616_),
+ sky130_fd_sc_hd__o22a_4 _11134_ (.A1(_05466_),
+    .A2(_05512_),
+    .B1(\u_m0_res_fifo.mem[1][12] ),
+    .B2(_05514_),
     .X(_00693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11339_ (.A1(_05568_),
-    .A2(_05614_),
-    .B1(\u_m0_res_fifo.mem[1][12] ),
-    .B2(_05616_),
+ sky130_fd_sc_hd__buf_2 _11135_ (.A(_05511_),
+    .X(_05515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11136_ (.A(_05513_),
+    .X(_05516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11137_ (.A1(_05469_),
+    .A2(_05515_),
+    .B1(\u_m0_res_fifo.mem[1][11] ),
+    .B2(_05516_),
     .X(_00692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11340_ (.A(_05613_),
-    .X(_05617_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11341_ (.A(_05615_),
-    .X(_05618_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11342_ (.A1(_05571_),
-    .A2(_05617_),
-    .B1(\u_m0_res_fifo.mem[1][11] ),
-    .B2(_05618_),
+ sky130_fd_sc_hd__o22a_4 _11138_ (.A1(_05472_),
+    .A2(_05515_),
+    .B1(\u_m0_res_fifo.mem[1][10] ),
+    .B2(_05516_),
     .X(_00691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11343_ (.A1(_05574_),
-    .A2(_05617_),
-    .B1(\u_m0_res_fifo.mem[1][10] ),
-    .B2(_05618_),
+ sky130_fd_sc_hd__o22a_4 _11139_ (.A1(_05474_),
+    .A2(_05515_),
+    .B1(\u_m0_res_fifo.mem[1][9] ),
+    .B2(_05516_),
     .X(_00690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11344_ (.A1(_05576_),
-    .A2(_05617_),
-    .B1(\u_m0_res_fifo.mem[1][9] ),
-    .B2(_05618_),
+ sky130_fd_sc_hd__o22a_4 _11140_ (.A1(_05477_),
+    .A2(_05515_),
+    .B1(\u_m0_res_fifo.mem[1][8] ),
+    .B2(_05516_),
     .X(_00689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11345_ (.A1(_05579_),
-    .A2(_05617_),
-    .B1(\u_m0_res_fifo.mem[1][8] ),
-    .B2(_05618_),
+ sky130_fd_sc_hd__buf_2 _11141_ (.A(_05511_),
+    .X(_05517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11142_ (.A(_05513_),
+    .X(_05518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11143_ (.A1(_05480_),
+    .A2(_05517_),
+    .B1(\u_m0_res_fifo.mem[1][7] ),
+    .B2(_05518_),
     .X(_00688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11346_ (.A(_05613_),
-    .X(_05619_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11347_ (.A(_05615_),
-    .X(_05620_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11348_ (.A1(_05582_),
-    .A2(_05619_),
-    .B1(\u_m0_res_fifo.mem[1][7] ),
-    .B2(_05620_),
+ sky130_fd_sc_hd__o22a_4 _11144_ (.A1(_05483_),
+    .A2(_05517_),
+    .B1(\u_m0_res_fifo.mem[1][6] ),
+    .B2(_05518_),
     .X(_00687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11349_ (.A1(_05585_),
-    .A2(_05619_),
-    .B1(\u_m0_res_fifo.mem[1][6] ),
-    .B2(_05620_),
+ sky130_fd_sc_hd__o22a_4 _11145_ (.A1(_05485_),
+    .A2(_05517_),
+    .B1(\u_m0_res_fifo.mem[1][5] ),
+    .B2(_05518_),
     .X(_00686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11350_ (.A1(_05587_),
-    .A2(_05619_),
-    .B1(\u_m0_res_fifo.mem[1][5] ),
-    .B2(_05620_),
+ sky130_fd_sc_hd__o22a_4 _11146_ (.A1(_05488_),
+    .A2(_05517_),
+    .B1(\u_m0_res_fifo.mem[1][4] ),
+    .B2(_05518_),
     .X(_00685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11351_ (.A1(_05590_),
-    .A2(_05619_),
-    .B1(\u_m0_res_fifo.mem[1][4] ),
-    .B2(_05620_),
+ sky130_fd_sc_hd__buf_2 _11147_ (.A(_05511_),
+    .X(_05519_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11148_ (.A(_05513_),
+    .X(_05520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11149_ (.A1(_05491_),
+    .A2(_05519_),
+    .B1(\u_m0_res_fifo.mem[1][3] ),
+    .B2(_05520_),
     .X(_00684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11352_ (.A(_05613_),
-    .X(_05621_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11353_ (.A(_05615_),
-    .X(_05622_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11354_ (.A1(_05593_),
-    .A2(_05621_),
-    .B1(\u_m0_res_fifo.mem[1][3] ),
-    .B2(_05622_),
+ sky130_fd_sc_hd__o22a_4 _11150_ (.A1(_05494_),
+    .A2(_05519_),
+    .B1(\u_m0_res_fifo.mem[1][2] ),
+    .B2(_05520_),
     .X(_00683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11355_ (.A1(_05596_),
-    .A2(_05621_),
-    .B1(\u_m0_res_fifo.mem[1][2] ),
-    .B2(_05622_),
+ sky130_fd_sc_hd__o22a_4 _11151_ (.A1(_05496_),
+    .A2(_05519_),
+    .B1(\u_m0_res_fifo.mem[1][1] ),
+    .B2(_05520_),
     .X(_00682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11356_ (.A1(_05598_),
-    .A2(_05621_),
-    .B1(\u_m0_res_fifo.mem[1][1] ),
-    .B2(_05622_),
+ sky130_fd_sc_hd__o22a_4 _11152_ (.A1(_05498_),
+    .A2(_05519_),
+    .B1(\u_m0_res_fifo.mem[1][0] ),
+    .B2(_05520_),
     .X(_00681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11357_ (.A1(_05600_),
-    .A2(_05621_),
-    .B1(\u_m0_res_fifo.mem[1][0] ),
-    .B2(_05622_),
+ sky130_fd_sc_hd__or4_4 _11153_ (.A(_05403_),
+    .B(_05300_),
+    .C(_01418_),
+    .D(_05404_),
+    .X(_05521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11154_ (.A(_05521_),
+    .X(_05522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11155_ (.A(_05522_),
+    .X(_05523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11156_ (.A(_05521_),
+    .Y(_05524_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11157_ (.A(_05524_),
+    .X(_05525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11158_ (.A(_05525_),
+    .X(_05526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11159_ (.A1(_05409_),
+    .A2(_05523_),
+    .B1(\u_m0_res_fifo.mem[2][31] ),
+    .B2(_05526_),
     .X(_00680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11358_ (.A(_02623_),
-    .B(_02650_),
-    .X(_05623_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11359_ (.A(_05623_),
-    .X(_05624_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11360_ (.A(_05623_),
-    .Y(_05625_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11361_ (.A(_05625_),
-    .X(_05626_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11362_ (.A1(_02621_),
-    .A2(_05624_),
-    .B1(\u_spim_regs.cfg_m1_addr[15] ),
-    .B2(_05626_),
+ sky130_fd_sc_hd__o22a_4 _11160_ (.A1(_05414_),
+    .A2(_05523_),
+    .B1(\u_m0_res_fifo.mem[2][30] ),
+    .B2(_05526_),
     .X(_00679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11363_ (.A1(_02631_),
-    .A2(_05624_),
-    .B1(\u_spim_regs.cfg_m1_addr[14] ),
-    .B2(_05626_),
+ sky130_fd_sc_hd__o22a_4 _11161_ (.A1(_05416_),
+    .A2(_05523_),
+    .B1(\u_m0_res_fifo.mem[2][29] ),
+    .B2(_05526_),
     .X(_00678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11364_ (.A1(_02633_),
-    .A2(_05624_),
-    .B1(\u_spim_regs.cfg_m1_addr[13] ),
-    .B2(_05626_),
+ sky130_fd_sc_hd__o22a_4 _11162_ (.A1(_05419_),
+    .A2(_05523_),
+    .B1(\u_m0_res_fifo.mem[2][28] ),
+    .B2(_05526_),
     .X(_00677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11365_ (.A1(_02636_),
-    .A2(_05624_),
-    .B1(\u_spim_regs.cfg_m1_addr[12] ),
-    .B2(_05626_),
+ sky130_fd_sc_hd__buf_2 _11163_ (.A(_05522_),
+    .X(_05527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11164_ (.A(_05525_),
+    .X(_05528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11165_ (.A1(_05422_),
+    .A2(_05527_),
+    .B1(\u_m0_res_fifo.mem[2][27] ),
+    .B2(_05528_),
     .X(_00676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11366_ (.A(_05623_),
-    .X(_05627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11367_ (.A(_05625_),
-    .X(_05628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11368_ (.A1(_02639_),
-    .A2(_05627_),
-    .B1(\u_spim_regs.cfg_m1_addr[11] ),
-    .B2(_05628_),
+ sky130_fd_sc_hd__o22a_4 _11166_ (.A1(_05425_),
+    .A2(_05527_),
+    .B1(\u_m0_res_fifo.mem[2][26] ),
+    .B2(_05528_),
     .X(_00675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11369_ (.A1(_02642_),
-    .A2(_05627_),
-    .B1(\u_spim_regs.cfg_m1_addr[10] ),
-    .B2(_05628_),
+ sky130_fd_sc_hd__o22a_4 _11167_ (.A1(_05427_),
+    .A2(_05527_),
+    .B1(\u_m0_res_fifo.mem[2][25] ),
+    .B2(_05528_),
     .X(_00674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11370_ (.A1(_02644_),
-    .A2(_05627_),
-    .B1(\u_spim_regs.cfg_m1_addr[9] ),
-    .B2(_05628_),
+ sky130_fd_sc_hd__o22a_4 _11168_ (.A1(_05430_),
+    .A2(_05527_),
+    .B1(\u_m0_res_fifo.mem[2][24] ),
+    .B2(_05528_),
     .X(_00673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11371_ (.A1(_02646_),
-    .A2(_05627_),
-    .B1(\u_spim_regs.cfg_m1_addr[8] ),
-    .B2(_05628_),
+ sky130_fd_sc_hd__buf_2 _11169_ (.A(_05522_),
+    .X(_05529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11170_ (.A(_05525_),
+    .X(_05530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11171_ (.A1(_05433_),
+    .A2(_05529_),
+    .B1(\u_m0_res_fifo.mem[2][23] ),
+    .B2(_05530_),
     .X(_00672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _11372_ (.A(_05505_),
-    .B(_05402_),
-    .C(_01416_),
-    .D(_05506_),
-    .X(_05629_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11373_ (.A(_05629_),
-    .X(_05630_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11374_ (.A(_05630_),
-    .X(_05631_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11375_ (.A(_05629_),
-    .Y(_05632_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11376_ (.A(_05632_),
-    .X(_05633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11377_ (.A(_05633_),
-    .X(_05634_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11378_ (.A1(_05511_),
-    .A2(_05631_),
-    .B1(\u_m0_res_fifo.mem[2][31] ),
-    .B2(_05634_),
+ sky130_fd_sc_hd__o22a_4 _11172_ (.A1(_05436_),
+    .A2(_05529_),
+    .B1(\u_m0_res_fifo.mem[2][22] ),
+    .B2(_05530_),
     .X(_00671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11379_ (.A1(_05516_),
-    .A2(_05631_),
-    .B1(\u_m0_res_fifo.mem[2][30] ),
-    .B2(_05634_),
+ sky130_fd_sc_hd__o22a_4 _11173_ (.A1(_05438_),
+    .A2(_05529_),
+    .B1(\u_m0_res_fifo.mem[2][21] ),
+    .B2(_05530_),
     .X(_00670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11380_ (.A1(_05518_),
-    .A2(_05631_),
-    .B1(\u_m0_res_fifo.mem[2][29] ),
-    .B2(_05634_),
+ sky130_fd_sc_hd__o22a_4 _11174_ (.A1(_05442_),
+    .A2(_05529_),
+    .B1(\u_m0_res_fifo.mem[2][20] ),
+    .B2(_05530_),
     .X(_00669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11381_ (.A1(_05521_),
-    .A2(_05631_),
-    .B1(\u_m0_res_fifo.mem[2][28] ),
-    .B2(_05634_),
+ sky130_fd_sc_hd__buf_2 _11175_ (.A(_05522_),
+    .X(_05531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11176_ (.A(_05525_),
+    .X(_05532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11177_ (.A1(_05445_),
+    .A2(_05531_),
+    .B1(\u_m0_res_fifo.mem[2][19] ),
+    .B2(_05532_),
     .X(_00668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11382_ (.A(_05630_),
-    .X(_05635_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11383_ (.A(_05633_),
-    .X(_05636_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11384_ (.A1(_05524_),
-    .A2(_05635_),
-    .B1(\u_m0_res_fifo.mem[2][27] ),
-    .B2(_05636_),
+ sky130_fd_sc_hd__o22a_4 _11178_ (.A1(_05448_),
+    .A2(_05531_),
+    .B1(\u_m0_res_fifo.mem[2][18] ),
+    .B2(_05532_),
     .X(_00667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11385_ (.A1(_05527_),
-    .A2(_05635_),
-    .B1(\u_m0_res_fifo.mem[2][26] ),
-    .B2(_05636_),
+ sky130_fd_sc_hd__o22a_4 _11179_ (.A1(_05450_),
+    .A2(_05531_),
+    .B1(\u_m0_res_fifo.mem[2][17] ),
+    .B2(_05532_),
     .X(_00666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11386_ (.A1(_05529_),
-    .A2(_05635_),
-    .B1(\u_m0_res_fifo.mem[2][25] ),
-    .B2(_05636_),
+ sky130_fd_sc_hd__o22a_4 _11180_ (.A1(_05453_),
+    .A2(_05531_),
+    .B1(\u_m0_res_fifo.mem[2][16] ),
+    .B2(_05532_),
     .X(_00665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11387_ (.A1(_05532_),
-    .A2(_05635_),
-    .B1(\u_m0_res_fifo.mem[2][24] ),
-    .B2(_05636_),
+ sky130_fd_sc_hd__buf_2 _11181_ (.A(_05521_),
+    .X(_05533_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11182_ (.A(_05533_),
+    .X(_05534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11183_ (.A(_05524_),
+    .X(_05535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11184_ (.A(_05535_),
+    .X(_05536_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11185_ (.A1(_05457_),
+    .A2(_05534_),
+    .B1(\u_m0_res_fifo.mem[2][15] ),
+    .B2(_05536_),
     .X(_00664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11388_ (.A(_05630_),
-    .X(_05637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11389_ (.A(_05633_),
-    .X(_05638_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11390_ (.A1(_05535_),
-    .A2(_05637_),
-    .B1(\u_m0_res_fifo.mem[2][23] ),
-    .B2(_05638_),
+ sky130_fd_sc_hd__o22a_4 _11186_ (.A1(_05461_),
+    .A2(_05534_),
+    .B1(\u_m0_res_fifo.mem[2][14] ),
+    .B2(_05536_),
     .X(_00663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11391_ (.A1(_05538_),
-    .A2(_05637_),
-    .B1(\u_m0_res_fifo.mem[2][22] ),
-    .B2(_05638_),
+ sky130_fd_sc_hd__o22a_4 _11187_ (.A1(_05463_),
+    .A2(_05534_),
+    .B1(\u_m0_res_fifo.mem[2][13] ),
+    .B2(_05536_),
     .X(_00662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11392_ (.A1(_05540_),
-    .A2(_05637_),
-    .B1(\u_m0_res_fifo.mem[2][21] ),
-    .B2(_05638_),
+ sky130_fd_sc_hd__o22a_4 _11188_ (.A1(_05466_),
+    .A2(_05534_),
+    .B1(\u_m0_res_fifo.mem[2][12] ),
+    .B2(_05536_),
     .X(_00661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11393_ (.A1(_05544_),
-    .A2(_05637_),
-    .B1(\u_m0_res_fifo.mem[2][20] ),
-    .B2(_05638_),
+ sky130_fd_sc_hd__buf_2 _11189_ (.A(_05533_),
+    .X(_05537_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11190_ (.A(_05535_),
+    .X(_05538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11191_ (.A1(_05469_),
+    .A2(_05537_),
+    .B1(\u_m0_res_fifo.mem[2][11] ),
+    .B2(_05538_),
     .X(_00660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11394_ (.A(_05630_),
-    .X(_05639_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11395_ (.A(_05633_),
-    .X(_05640_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11396_ (.A1(_05547_),
-    .A2(_05639_),
-    .B1(\u_m0_res_fifo.mem[2][19] ),
-    .B2(_05640_),
+ sky130_fd_sc_hd__o22a_4 _11192_ (.A1(_05472_),
+    .A2(_05537_),
+    .B1(\u_m0_res_fifo.mem[2][10] ),
+    .B2(_05538_),
     .X(_00659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11397_ (.A1(_05550_),
-    .A2(_05639_),
-    .B1(\u_m0_res_fifo.mem[2][18] ),
-    .B2(_05640_),
+ sky130_fd_sc_hd__o22a_4 _11193_ (.A1(_05474_),
+    .A2(_05537_),
+    .B1(\u_m0_res_fifo.mem[2][9] ),
+    .B2(_05538_),
     .X(_00658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11398_ (.A1(_05552_),
-    .A2(_05639_),
-    .B1(\u_m0_res_fifo.mem[2][17] ),
-    .B2(_05640_),
+ sky130_fd_sc_hd__o22a_4 _11194_ (.A1(_05477_),
+    .A2(_05537_),
+    .B1(\u_m0_res_fifo.mem[2][8] ),
+    .B2(_05538_),
     .X(_00657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11399_ (.A1(_05555_),
-    .A2(_05639_),
-    .B1(\u_m0_res_fifo.mem[2][16] ),
-    .B2(_05640_),
+ sky130_fd_sc_hd__buf_2 _11195_ (.A(_05533_),
+    .X(_05539_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11196_ (.A(_05535_),
+    .X(_05540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11197_ (.A1(_05480_),
+    .A2(_05539_),
+    .B1(\u_m0_res_fifo.mem[2][7] ),
+    .B2(_05540_),
     .X(_00656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11400_ (.A(_05629_),
-    .X(_05641_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11401_ (.A(_05641_),
-    .X(_05642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11402_ (.A(_05632_),
-    .X(_05643_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11403_ (.A(_05643_),
-    .X(_05644_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11404_ (.A1(_05559_),
-    .A2(_05642_),
-    .B1(\u_m0_res_fifo.mem[2][15] ),
-    .B2(_05644_),
+ sky130_fd_sc_hd__o22a_4 _11198_ (.A1(_05483_),
+    .A2(_05539_),
+    .B1(\u_m0_res_fifo.mem[2][6] ),
+    .B2(_05540_),
     .X(_00655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11405_ (.A1(_05563_),
-    .A2(_05642_),
-    .B1(\u_m0_res_fifo.mem[2][14] ),
-    .B2(_05644_),
+ sky130_fd_sc_hd__o22a_4 _11199_ (.A1(_05485_),
+    .A2(_05539_),
+    .B1(\u_m0_res_fifo.mem[2][5] ),
+    .B2(_05540_),
     .X(_00654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11406_ (.A1(_05565_),
-    .A2(_05642_),
-    .B1(\u_m0_res_fifo.mem[2][13] ),
-    .B2(_05644_),
+ sky130_fd_sc_hd__o22a_4 _11200_ (.A1(_05488_),
+    .A2(_05539_),
+    .B1(\u_m0_res_fifo.mem[2][4] ),
+    .B2(_05540_),
     .X(_00653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11407_ (.A1(_05568_),
-    .A2(_05642_),
-    .B1(\u_m0_res_fifo.mem[2][12] ),
-    .B2(_05644_),
+ sky130_fd_sc_hd__buf_2 _11201_ (.A(_05533_),
+    .X(_05541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11202_ (.A(_05535_),
+    .X(_05542_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11203_ (.A1(_05491_),
+    .A2(_05541_),
+    .B1(\u_m0_res_fifo.mem[2][3] ),
+    .B2(_05542_),
     .X(_00652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11408_ (.A(_05641_),
-    .X(_05645_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11409_ (.A(_05643_),
-    .X(_05646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11410_ (.A1(_05571_),
-    .A2(_05645_),
-    .B1(\u_m0_res_fifo.mem[2][11] ),
-    .B2(_05646_),
+ sky130_fd_sc_hd__o22a_4 _11204_ (.A1(_05494_),
+    .A2(_05541_),
+    .B1(\u_m0_res_fifo.mem[2][2] ),
+    .B2(_05542_),
     .X(_00651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11411_ (.A1(_05574_),
-    .A2(_05645_),
-    .B1(\u_m0_res_fifo.mem[2][10] ),
-    .B2(_05646_),
+ sky130_fd_sc_hd__o22a_4 _11205_ (.A1(_05496_),
+    .A2(_05541_),
+    .B1(\u_m0_res_fifo.mem[2][1] ),
+    .B2(_05542_),
     .X(_00650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11412_ (.A1(_05576_),
-    .A2(_05645_),
-    .B1(\u_m0_res_fifo.mem[2][9] ),
-    .B2(_05646_),
+ sky130_fd_sc_hd__o22a_4 _11206_ (.A1(_05498_),
+    .A2(_05541_),
+    .B1(\u_m0_res_fifo.mem[2][0] ),
+    .B2(_05542_),
     .X(_00649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11413_ (.A1(_05579_),
-    .A2(_05645_),
-    .B1(\u_m0_res_fifo.mem[2][8] ),
-    .B2(_05646_),
+ sky130_fd_sc_hd__or2_4 _11207_ (.A(_02558_),
+    .B(_02594_),
+    .X(_05543_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11208_ (.A(_05543_),
+    .X(_05544_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11209_ (.A(_05543_),
+    .Y(_05545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11210_ (.A(_05545_),
+    .X(_05546_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11211_ (.A1(_02554_),
+    .A2(_05544_),
+    .B1(\u_spim_regs.cfg_m1_addr[15] ),
+    .B2(_05546_),
     .X(_00648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11414_ (.A(_05641_),
-    .X(_05647_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11415_ (.A(_05643_),
-    .X(_05648_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11416_ (.A1(_05582_),
-    .A2(_05647_),
-    .B1(\u_m0_res_fifo.mem[2][7] ),
-    .B2(_05648_),
+ sky130_fd_sc_hd__o22a_4 _11212_ (.A1(_02574_),
+    .A2(_05544_),
+    .B1(\u_spim_regs.cfg_m1_addr[14] ),
+    .B2(_05546_),
     .X(_00647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11417_ (.A1(_05585_),
-    .A2(_05647_),
-    .B1(\u_m0_res_fifo.mem[2][6] ),
-    .B2(_05648_),
+ sky130_fd_sc_hd__o22a_4 _11213_ (.A1(_02578_),
+    .A2(_05544_),
+    .B1(\u_spim_regs.cfg_m1_addr[13] ),
+    .B2(_05546_),
     .X(_00646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11418_ (.A1(_05587_),
-    .A2(_05647_),
-    .B1(\u_m0_res_fifo.mem[2][5] ),
-    .B2(_05648_),
+ sky130_fd_sc_hd__o22a_4 _11214_ (.A1(_02580_),
+    .A2(_05544_),
+    .B1(\u_spim_regs.cfg_m1_addr[12] ),
+    .B2(_05546_),
     .X(_00645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11419_ (.A1(_05590_),
-    .A2(_05647_),
-    .B1(\u_m0_res_fifo.mem[2][4] ),
-    .B2(_05648_),
+ sky130_fd_sc_hd__buf_2 _11215_ (.A(_05543_),
+    .X(_05547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11216_ (.A(_05545_),
+    .X(_05548_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11217_ (.A1(_02583_),
+    .A2(_05547_),
+    .B1(\u_spim_regs.cfg_m1_addr[11] ),
+    .B2(_05548_),
     .X(_00644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11420_ (.A(_05641_),
-    .X(_05649_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11421_ (.A(_05643_),
-    .X(_05650_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11422_ (.A1(_05593_),
-    .A2(_05649_),
-    .B1(\u_m0_res_fifo.mem[2][3] ),
-    .B2(_05650_),
+ sky130_fd_sc_hd__o22a_4 _11218_ (.A1(_02586_),
+    .A2(_05547_),
+    .B1(\u_spim_regs.cfg_m1_addr[10] ),
+    .B2(_05548_),
     .X(_00643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11423_ (.A1(_05596_),
-    .A2(_05649_),
-    .B1(\u_m0_res_fifo.mem[2][2] ),
-    .B2(_05650_),
+ sky130_fd_sc_hd__o22a_4 _11219_ (.A1(_02589_),
+    .A2(_05547_),
+    .B1(\u_spim_regs.cfg_m1_addr[9] ),
+    .B2(_05548_),
     .X(_00642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11424_ (.A1(_05598_),
-    .A2(_05649_),
-    .B1(\u_m0_res_fifo.mem[2][1] ),
-    .B2(_05650_),
+ sky130_fd_sc_hd__o22a_4 _11220_ (.A1(_02590_),
+    .A2(_05547_),
+    .B1(\u_spim_regs.cfg_m1_addr[8] ),
+    .B2(_05548_),
     .X(_00641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11425_ (.A1(_05600_),
-    .A2(_05649_),
-    .B1(\u_m0_res_fifo.mem[2][0] ),
-    .B2(_05650_),
+ sky130_fd_sc_hd__buf_2 _11221_ (.A(_05408_),
+    .X(_05549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11222_ (.A(_05404_),
+    .B(_05289_),
+    .X(_05550_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11223_ (.A(_05550_),
+    .X(_05551_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11224_ (.A(_05551_),
+    .X(_05552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11225_ (.A(_05550_),
+    .Y(_05553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11226_ (.A(_05553_),
+    .X(_05554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11227_ (.A(_05554_),
+    .X(_05555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11228_ (.A1(_05549_),
+    .A2(_05552_),
+    .B1(\u_m0_res_fifo.mem[3][31] ),
+    .B2(_05555_),
     .X(_00640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11426_ (.A(_01280_),
-    .X(_05651_),
+ sky130_fd_sc_hd__buf_2 _11229_ (.A(_05413_),
+    .X(_05556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11427_ (.A(_05651_),
-    .X(_05652_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11428_ (.A(_05652_),
-    .X(_05653_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11429_ (.A(_01398_),
-    .X(_05654_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11430_ (.A(_05654_),
-    .X(_05655_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11431_ (.A1(_01300_),
-    .A2(_05653_),
-    .B1(_01505_),
-    .B2(_05655_),
-    .X(_05656_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11432_ (.A1_N(_03385_),
-    .A2_N(_05656_),
-    .B1(\u_m0_cmd_fifo.mem[1][31] ),
-    .B2(_03385_),
+ sky130_fd_sc_hd__o22a_4 _11230_ (.A1(_05556_),
+    .A2(_05552_),
+    .B1(\u_m0_res_fifo.mem[3][30] ),
+    .B2(_05555_),
     .X(_00639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11433_ (.A1(_01313_),
-    .A2(_05653_),
-    .B1(_01278_),
-    .B2(_05655_),
-    .X(_05657_),
+ sky130_fd_sc_hd__buf_2 _11231_ (.A(_05415_),
+    .X(_05557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11434_ (.A(_03382_),
-    .X(_05658_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11435_ (.A(_05658_),
-    .X(_05659_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11436_ (.A(_05659_),
-    .X(_05660_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11437_ (.A1_N(_03385_),
-    .A2_N(_05657_),
-    .B1(\u_m0_cmd_fifo.mem[1][30] ),
-    .B2(_05660_),
+ sky130_fd_sc_hd__o22a_4 _11232_ (.A1(_05557_),
+    .A2(_05552_),
+    .B1(\u_m0_res_fifo.mem[3][29] ),
+    .B2(_05555_),
     .X(_00638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11438_ (.A1(_01379_),
-    .A2(_05653_),
-    .B1(_01441_),
-    .B2(_05655_),
-    .X(_05661_),
+ sky130_fd_sc_hd__buf_2 _11233_ (.A(_05418_),
+    .X(_05558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11439_ (.A1_N(_05660_),
-    .A2_N(_05661_),
-    .B1(\u_m0_cmd_fifo.mem[1][29] ),
-    .B2(_05660_),
+ sky130_fd_sc_hd__o22a_4 _11234_ (.A1(_05558_),
+    .A2(_05552_),
+    .B1(\u_m0_res_fifo.mem[3][28] ),
+    .B2(_05555_),
     .X(_00637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _11440_ (.A1(_01446_),
-    .A2(_05655_),
-    .B1(_01465_),
-    .X(_05662_),
+ sky130_fd_sc_hd__buf_2 _11235_ (.A(_05421_),
+    .X(_05559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11441_ (.A(_05659_),
-    .X(_05663_),
+ sky130_fd_sc_hd__buf_2 _11236_ (.A(_05551_),
+    .X(_05560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11442_ (.A1_N(_05660_),
-    .A2_N(_05662_),
-    .B1(\u_m0_cmd_fifo.mem[1][28] ),
-    .B2(_05663_),
+ sky130_fd_sc_hd__buf_2 _11237_ (.A(_05554_),
+    .X(_05561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11238_ (.A1(_05559_),
+    .A2(_05560_),
+    .B1(\u_m0_res_fifo.mem[3][27] ),
+    .B2(_05561_),
     .X(_00636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11443_ (.A(_01398_),
-    .X(_05664_),
+ sky130_fd_sc_hd__buf_2 _11239_ (.A(_05424_),
+    .X(_05562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11444_ (.A(_05664_),
-    .X(_05665_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11445_ (.A1(_01337_),
-    .A2(_05653_),
-    .B1(_01451_),
-    .B2(_05665_),
-    .X(_05666_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11446_ (.A1_N(_05663_),
-    .A2_N(_05666_),
-    .B1(\u_m0_cmd_fifo.mem[1][27] ),
-    .B2(_05663_),
+ sky130_fd_sc_hd__o22a_4 _11240_ (.A1(_05562_),
+    .A2(_05560_),
+    .B1(\u_m0_res_fifo.mem[3][26] ),
+    .B2(_05561_),
     .X(_00635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11447_ (.A(_05651_),
-    .X(_05667_),
+ sky130_fd_sc_hd__buf_2 _11241_ (.A(_05426_),
+    .X(_05563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11448_ (.A(_05667_),
-    .X(_05668_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11449_ (.A1(_01371_),
-    .A2(_05668_),
-    .B1(_01758_),
-    .B2(_05665_),
-    .X(_05669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11450_ (.A(_05659_),
-    .X(_05670_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11451_ (.A1_N(_05663_),
-    .A2_N(_05669_),
-    .B1(\u_m0_cmd_fifo.mem[1][26] ),
-    .B2(_05670_),
+ sky130_fd_sc_hd__o22a_4 _11242_ (.A1(_05563_),
+    .A2(_05560_),
+    .B1(\u_m0_res_fifo.mem[3][25] ),
+    .B2(_05561_),
     .X(_00634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11452_ (.A1(_01305_),
-    .A2(_05668_),
-    .B1(_01791_),
-    .B2(_05665_),
-    .X(_05671_),
+ sky130_fd_sc_hd__buf_2 _11243_ (.A(_05429_),
+    .X(_05564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11453_ (.A1_N(_05670_),
-    .A2_N(_05671_),
-    .B1(\u_m0_cmd_fifo.mem[1][25] ),
-    .B2(_05670_),
+ sky130_fd_sc_hd__o22a_4 _11244_ (.A1(_05564_),
+    .A2(_05560_),
+    .B1(\u_m0_res_fifo.mem[3][24] ),
+    .B2(_05561_),
     .X(_00633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11454_ (.A1(_01343_),
-    .A2(_05668_),
-    .B1(_01823_),
-    .B2(_05665_),
-    .X(_05672_),
+ sky130_fd_sc_hd__buf_2 _11245_ (.A(_05432_),
+    .X(_05565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11455_ (.A(_05659_),
-    .X(_05673_),
+ sky130_fd_sc_hd__buf_2 _11246_ (.A(_05551_),
+    .X(_05566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11456_ (.A1_N(_05670_),
-    .A2_N(_05672_),
-    .B1(\u_m0_cmd_fifo.mem[1][24] ),
-    .B2(_05673_),
+ sky130_fd_sc_hd__buf_2 _11247_ (.A(_05554_),
+    .X(_05567_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11248_ (.A1(_05565_),
+    .A2(_05566_),
+    .B1(\u_m0_res_fifo.mem[3][23] ),
+    .B2(_05567_),
     .X(_00632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11457_ (.A(_05664_),
-    .X(_05674_),
+ sky130_fd_sc_hd__buf_2 _11249_ (.A(_05435_),
+    .X(_05568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11458_ (.A1(_01388_),
-    .A2(_05668_),
-    .B1(_01862_),
-    .B2(_05674_),
-    .X(_05675_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11459_ (.A1_N(_05673_),
-    .A2_N(_05675_),
-    .B1(\u_m0_cmd_fifo.mem[1][23] ),
-    .B2(_05673_),
+ sky130_fd_sc_hd__o22a_4 _11250_ (.A1(_05568_),
+    .A2(_05566_),
+    .B1(\u_m0_res_fifo.mem[3][22] ),
+    .B2(_05567_),
     .X(_00631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11460_ (.A(_05667_),
-    .X(_05676_),
+ sky130_fd_sc_hd__buf_2 _11251_ (.A(_05437_),
+    .X(_05569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11461_ (.A1(_01372_),
-    .A2(_05676_),
-    .B1(_01886_),
-    .B2(_05674_),
-    .X(_05677_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11462_ (.A(_03382_),
-    .X(_05678_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11463_ (.A(_05678_),
-    .X(_05679_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11464_ (.A1_N(_05673_),
-    .A2_N(_05677_),
-    .B1(\u_m0_cmd_fifo.mem[1][22] ),
-    .B2(_05679_),
+ sky130_fd_sc_hd__o22a_4 _11252_ (.A1(_05569_),
+    .A2(_05566_),
+    .B1(\u_m0_res_fifo.mem[3][21] ),
+    .B2(_05567_),
     .X(_00630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11465_ (.A1(_01312_),
-    .A2(_05676_),
-    .B1(_01911_),
-    .B2(_05674_),
-    .X(_05680_),
+ sky130_fd_sc_hd__buf_2 _11253_ (.A(_05441_),
+    .X(_05570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11466_ (.A1_N(_05679_),
-    .A2_N(_05680_),
-    .B1(\u_m0_cmd_fifo.mem[1][21] ),
-    .B2(_05679_),
+ sky130_fd_sc_hd__o22a_4 _11254_ (.A1(_05570_),
+    .A2(_05566_),
+    .B1(\u_m0_res_fifo.mem[3][20] ),
+    .B2(_05567_),
     .X(_00629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11467_ (.A1(_01392_),
-    .A2(_05676_),
-    .B1(_01938_),
-    .B2(_05674_),
-    .X(_05681_),
+ sky130_fd_sc_hd__buf_2 _11255_ (.A(_05444_),
+    .X(_05571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11468_ (.A(_05678_),
-    .X(_05682_),
+ sky130_fd_sc_hd__buf_2 _11256_ (.A(_05551_),
+    .X(_05572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11469_ (.A1_N(_05679_),
-    .A2_N(_05681_),
-    .B1(\u_m0_cmd_fifo.mem[1][20] ),
-    .B2(_05682_),
+ sky130_fd_sc_hd__buf_2 _11257_ (.A(_05554_),
+    .X(_05573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11258_ (.A1(_05571_),
+    .A2(_05572_),
+    .B1(\u_m0_res_fifo.mem[3][19] ),
+    .B2(_05573_),
     .X(_00628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11470_ (.A(_05664_),
-    .X(_05683_),
+ sky130_fd_sc_hd__buf_2 _11259_ (.A(_05447_),
+    .X(_05574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11471_ (.A1(_01291_),
-    .A2(_05676_),
-    .B1(_01968_),
-    .B2(_05683_),
-    .X(_05684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11472_ (.A1_N(_05682_),
-    .A2_N(_05684_),
-    .B1(\u_m0_cmd_fifo.mem[1][19] ),
-    .B2(_05682_),
+ sky130_fd_sc_hd__o22a_4 _11260_ (.A1(_05574_),
+    .A2(_05572_),
+    .B1(\u_m0_res_fifo.mem[3][18] ),
+    .B2(_05573_),
     .X(_00627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11473_ (.A(_05667_),
-    .X(_05685_),
+ sky130_fd_sc_hd__buf_2 _11261_ (.A(_05449_),
+    .X(_05575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11474_ (.A1(_01365_),
-    .A2(_05685_),
-    .B1(_01998_),
-    .B2(_05683_),
-    .X(_05686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11475_ (.A(_05678_),
-    .X(_05687_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11476_ (.A1_N(_05682_),
-    .A2_N(_05686_),
-    .B1(\u_m0_cmd_fifo.mem[1][18] ),
-    .B2(_05687_),
+ sky130_fd_sc_hd__o22a_4 _11262_ (.A1(_05575_),
+    .A2(_05572_),
+    .B1(\u_m0_res_fifo.mem[3][17] ),
+    .B2(_05573_),
     .X(_00626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11477_ (.A1(_01357_),
-    .A2(_05685_),
-    .B1(_02029_),
-    .B2(_05683_),
-    .X(_05688_),
+ sky130_fd_sc_hd__buf_2 _11263_ (.A(_05452_),
+    .X(_05576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11478_ (.A1_N(_05687_),
-    .A2_N(_05688_),
-    .B1(\u_m0_cmd_fifo.mem[1][17] ),
-    .B2(_05687_),
+ sky130_fd_sc_hd__o22a_4 _11264_ (.A1(_05576_),
+    .A2(_05572_),
+    .B1(\u_m0_res_fifo.mem[3][16] ),
+    .B2(_05573_),
     .X(_00625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11479_ (.A1(_01303_),
-    .A2(_05685_),
-    .B1(_02051_),
-    .B2(_05683_),
-    .X(_05689_),
+ sky130_fd_sc_hd__buf_2 _11265_ (.A(_05456_),
+    .X(_05577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11480_ (.A(_05678_),
-    .X(_05690_),
+ sky130_fd_sc_hd__buf_2 _11266_ (.A(_05550_),
+    .X(_05578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11481_ (.A1_N(_05687_),
-    .A2_N(_05689_),
-    .B1(\u_m0_cmd_fifo.mem[1][16] ),
-    .B2(_05690_),
+ sky130_fd_sc_hd__buf_2 _11267_ (.A(_05578_),
+    .X(_05579_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11268_ (.A(_05553_),
+    .X(_05580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11269_ (.A(_05580_),
+    .X(_05581_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11270_ (.A1(_05577_),
+    .A2(_05579_),
+    .B1(\u_m0_res_fifo.mem[3][15] ),
+    .B2(_05581_),
     .X(_00624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11482_ (.A(_05664_),
-    .X(_05691_),
+ sky130_fd_sc_hd__buf_2 _11271_ (.A(_05460_),
+    .X(_05582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11483_ (.A1(_01327_),
-    .A2(_05685_),
-    .B1(_02095_),
-    .B2(_05691_),
-    .X(_05692_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11484_ (.A1_N(_05690_),
-    .A2_N(_05692_),
-    .B1(\u_m0_cmd_fifo.mem[1][15] ),
-    .B2(_05690_),
+ sky130_fd_sc_hd__o22a_4 _11272_ (.A1(_05582_),
+    .A2(_05579_),
+    .B1(\u_m0_res_fifo.mem[3][14] ),
+    .B2(_05581_),
     .X(_00623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11485_ (.A(_05667_),
-    .X(_05693_),
+ sky130_fd_sc_hd__buf_2 _11273_ (.A(_05462_),
+    .X(_05583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11486_ (.A1(_01389_),
-    .A2(_05693_),
-    .B1(_02120_),
-    .B2(_05691_),
-    .X(_05694_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11487_ (.A(_03382_),
-    .X(_05695_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11488_ (.A(_05695_),
-    .X(_05696_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11489_ (.A1_N(_05690_),
-    .A2_N(_05694_),
-    .B1(\u_m0_cmd_fifo.mem[1][14] ),
-    .B2(_05696_),
+ sky130_fd_sc_hd__o22a_4 _11274_ (.A1(_05583_),
+    .A2(_05579_),
+    .B1(\u_m0_res_fifo.mem[3][13] ),
+    .B2(_05581_),
     .X(_00622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11490_ (.A1(_01310_),
-    .A2(_05693_),
-    .B1(_02152_),
-    .B2(_05691_),
-    .X(_05697_),
+ sky130_fd_sc_hd__buf_2 _11275_ (.A(_05465_),
+    .X(_05584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11491_ (.A1_N(_05696_),
-    .A2_N(_05697_),
-    .B1(\u_m0_cmd_fifo.mem[1][13] ),
-    .B2(_05696_),
+ sky130_fd_sc_hd__o22a_4 _11276_ (.A1(_05584_),
+    .A2(_05579_),
+    .B1(\u_m0_res_fifo.mem[3][12] ),
+    .B2(_05581_),
     .X(_00621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11492_ (.A1(_01368_),
-    .A2(_05693_),
-    .B1(_02180_),
-    .B2(_05691_),
-    .X(_05698_),
+ sky130_fd_sc_hd__buf_2 _11277_ (.A(_05468_),
+    .X(_05585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11493_ (.A(_05695_),
-    .X(_05699_),
+ sky130_fd_sc_hd__buf_2 _11278_ (.A(_05578_),
+    .X(_05586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11494_ (.A1_N(_05696_),
-    .A2_N(_05698_),
-    .B1(\u_m0_cmd_fifo.mem[1][12] ),
-    .B2(_05699_),
+ sky130_fd_sc_hd__buf_2 _11279_ (.A(_05580_),
+    .X(_05587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11280_ (.A1(_05585_),
+    .A2(_05586_),
+    .B1(\u_m0_res_fifo.mem[3][11] ),
+    .B2(_05587_),
     .X(_00620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11495_ (.A(_05654_),
-    .X(_05700_),
+ sky130_fd_sc_hd__buf_2 _11281_ (.A(_05471_),
+    .X(_05588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11496_ (.A1(_01347_),
-    .A2(_05693_),
-    .B1(_02206_),
-    .B2(_05700_),
-    .X(_05701_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11497_ (.A1_N(_05699_),
-    .A2_N(_05701_),
-    .B1(\u_m0_cmd_fifo.mem[1][11] ),
-    .B2(_05699_),
+ sky130_fd_sc_hd__o22a_4 _11282_ (.A1(_05588_),
+    .A2(_05586_),
+    .B1(\u_m0_res_fifo.mem[3][10] ),
+    .B2(_05587_),
     .X(_00619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11498_ (.A(_05651_),
-    .X(_05702_),
+ sky130_fd_sc_hd__buf_2 _11283_ (.A(_05473_),
+    .X(_05589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11499_ (.A1(_01298_),
-    .A2(_05702_),
-    .B1(_02235_),
-    .B2(_05700_),
-    .X(_05703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11500_ (.A(_05695_),
-    .X(_05704_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11501_ (.A1_N(_05699_),
-    .A2_N(_05703_),
-    .B1(\u_m0_cmd_fifo.mem[1][10] ),
-    .B2(_05704_),
+ sky130_fd_sc_hd__o22a_4 _11284_ (.A1(_05589_),
+    .A2(_05586_),
+    .B1(\u_m0_res_fifo.mem[3][9] ),
+    .B2(_05587_),
     .X(_00618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11502_ (.A1(_01341_),
-    .A2(_05702_),
-    .B1(_02270_),
-    .B2(_05700_),
-    .X(_05705_),
+ sky130_fd_sc_hd__buf_2 _11285_ (.A(_05476_),
+    .X(_05590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11503_ (.A1_N(_05704_),
-    .A2_N(_05705_),
-    .B1(\u_m0_cmd_fifo.mem[1][9] ),
-    .B2(_05704_),
+ sky130_fd_sc_hd__o22a_4 _11286_ (.A1(_05590_),
+    .A2(_05586_),
+    .B1(\u_m0_res_fifo.mem[3][8] ),
+    .B2(_05587_),
     .X(_00617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11504_ (.A1(_01353_),
-    .A2(_05702_),
-    .B1(_02300_),
-    .B2(_05700_),
-    .X(_05706_),
+ sky130_fd_sc_hd__buf_2 _11287_ (.A(_05479_),
+    .X(_05591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11505_ (.A(_05695_),
-    .X(_05707_),
+ sky130_fd_sc_hd__buf_2 _11288_ (.A(_05578_),
+    .X(_05592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11506_ (.A1_N(_05704_),
-    .A2_N(_05706_),
-    .B1(\u_m0_cmd_fifo.mem[1][8] ),
-    .B2(_05707_),
+ sky130_fd_sc_hd__buf_2 _11289_ (.A(_05580_),
+    .X(_05593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11290_ (.A1(_05591_),
+    .A2(_05592_),
+    .B1(\u_m0_res_fifo.mem[3][7] ),
+    .B2(_05593_),
     .X(_00616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11507_ (.A(_05654_),
-    .X(_05708_),
+ sky130_fd_sc_hd__buf_2 _11291_ (.A(_05482_),
+    .X(_05594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11508_ (.A1(_01348_),
-    .A2(_05702_),
-    .B1(_02331_),
-    .B2(_05708_),
-    .X(_05709_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11509_ (.A1_N(_05707_),
-    .A2_N(_05709_),
-    .B1(\u_m0_cmd_fifo.mem[1][7] ),
-    .B2(_05707_),
+ sky130_fd_sc_hd__o22a_4 _11292_ (.A1(_05594_),
+    .A2(_05592_),
+    .B1(\u_m0_res_fifo.mem[3][6] ),
+    .B2(_05593_),
     .X(_00615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11510_ (.A(_05651_),
-    .X(_05710_),
+ sky130_fd_sc_hd__buf_2 _11293_ (.A(_05484_),
+    .X(_05595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11511_ (.A1(_01294_),
-    .A2(_05710_),
-    .B1(_02366_),
-    .B2(_05708_),
-    .X(_05711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11512_ (.A(_05658_),
-    .X(_05712_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11513_ (.A1_N(_05707_),
-    .A2_N(_05711_),
-    .B1(\u_m0_cmd_fifo.mem[1][6] ),
-    .B2(_05712_),
+ sky130_fd_sc_hd__o22a_4 _11294_ (.A1(_05595_),
+    .A2(_05592_),
+    .B1(\u_m0_res_fifo.mem[3][5] ),
+    .B2(_05593_),
     .X(_00614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11514_ (.A1(_01391_),
-    .A2(_05710_),
-    .B1(_02391_),
-    .B2(_05708_),
-    .X(_05713_),
+ sky130_fd_sc_hd__buf_2 _11295_ (.A(_05487_),
+    .X(_05596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11515_ (.A1_N(_05712_),
-    .A2_N(_05713_),
-    .B1(\u_m0_cmd_fifo.mem[1][5] ),
-    .B2(_05712_),
+ sky130_fd_sc_hd__o22a_4 _11296_ (.A1(_05596_),
+    .A2(_05592_),
+    .B1(\u_m0_res_fifo.mem[3][4] ),
+    .B2(_05593_),
     .X(_00613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11516_ (.A1(_01334_),
-    .A2(_05710_),
-    .B1(_02414_),
-    .B2(_05708_),
-    .X(_05714_),
+ sky130_fd_sc_hd__buf_2 _11297_ (.A(_05490_),
+    .X(_05597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11517_ (.A(_05658_),
-    .X(_05715_),
+ sky130_fd_sc_hd__buf_2 _11298_ (.A(_05578_),
+    .X(_05598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11518_ (.A1_N(_05712_),
-    .A2_N(_05714_),
-    .B1(\u_m0_cmd_fifo.mem[1][4] ),
-    .B2(_05715_),
+ sky130_fd_sc_hd__buf_2 _11299_ (.A(_05580_),
+    .X(_05599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11300_ (.A1(_05597_),
+    .A2(_05598_),
+    .B1(\u_m0_res_fifo.mem[3][3] ),
+    .B2(_05599_),
     .X(_00612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11519_ (.A(_05654_),
-    .X(_05716_),
+ sky130_fd_sc_hd__buf_2 _11301_ (.A(_05493_),
+    .X(_05600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11520_ (.A1(_01467_),
-    .A2(_05710_),
-    .B1(_02442_),
-    .B2(_05716_),
-    .X(_05717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11521_ (.A1_N(_05715_),
-    .A2_N(_05717_),
-    .B1(\u_m0_cmd_fifo.mem[1][3] ),
-    .B2(_05715_),
+ sky130_fd_sc_hd__o22a_4 _11302_ (.A1(_05600_),
+    .A2(_05598_),
+    .B1(\u_m0_res_fifo.mem[3][2] ),
+    .B2(_05599_),
     .X(_00611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11522_ (.A1(_02297_),
-    .A2(_05652_),
-    .B1(_02470_),
-    .B2(_05716_),
-    .X(_05718_),
+ sky130_fd_sc_hd__buf_2 _11303_ (.A(_05495_),
+    .X(_05601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11523_ (.A(_05658_),
-    .X(_05719_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11524_ (.A1_N(_05715_),
-    .A2_N(_05718_),
-    .B1(\u_m0_cmd_fifo.mem[1][2] ),
-    .B2(_05719_),
+ sky130_fd_sc_hd__o22a_4 _11304_ (.A1(_05601_),
+    .A2(_05598_),
+    .B1(\u_m0_res_fifo.mem[3][1] ),
+    .B2(_05599_),
     .X(_00610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11525_ (.A1(_01315_),
-    .A2(_05652_),
-    .B1(_02495_),
-    .B2(_05716_),
-    .X(_05720_),
+ sky130_fd_sc_hd__buf_2 _11305_ (.A(_05497_),
+    .X(_05602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11526_ (.A1_N(_05719_),
-    .A2_N(_05720_),
-    .B1(\u_m0_cmd_fifo.mem[1][1] ),
-    .B2(_05719_),
+ sky130_fd_sc_hd__o22a_4 _11306_ (.A1(_05602_),
+    .A2(_05598_),
+    .B1(\u_m0_res_fifo.mem[3][0] ),
+    .B2(_05599_),
     .X(_00609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11527_ (.A1(_01290_),
-    .A2(_05652_),
-    .B1(_02524_),
-    .B2(_05716_),
-    .X(_05721_),
+ sky130_fd_sc_hd__or2_4 _11307_ (.A(\u_m0_cmd_fifo.wr_ptr[0] ),
+    .B(_01402_),
+    .X(_05603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11528_ (.A1_N(_05719_),
-    .A2_N(_05721_),
-    .B1(\u_m0_cmd_fifo.mem[1][0] ),
-    .B2(_03383_),
+ sky130_fd_sc_hd__buf_2 _11308_ (.A(_05603_),
+    .X(_05604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11309_ (.A(_05604_),
+    .X(_05605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11310_ (.A(_01281_),
+    .X(_05606_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11311_ (.A(_05606_),
+    .X(_05607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11312_ (.A(_05607_),
+    .X(_05608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11313_ (.A(_01400_),
+    .X(_05609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11314_ (.A(_05609_),
+    .X(_05610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11315_ (.A1(_01302_),
+    .A2(_05608_),
+    .B1(_03621_),
+    .B2(_05610_),
+    .X(_05611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11316_ (.A1_N(_05605_),
+    .A2_N(_05611_),
+    .B1(\u_m0_cmd_fifo.mem[0][31] ),
+    .B2(_05605_),
     .X(_00608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _11529_ (.A(\u_m0_cmd_fifo.wr_ptr[0] ),
-    .B(_01400_),
-    .X(_05722_),
+ sky130_fd_sc_hd__o22a_4 _11317_ (.A1(_01315_),
+    .A2(_05608_),
+    .B1(_01279_),
+    .B2(_05610_),
+    .X(_05612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11530_ (.A(_05722_),
-    .X(_05723_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11531_ (.A(_05723_),
-    .X(_05724_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11532_ (.A1_N(_05724_),
-    .A2_N(_05656_),
-    .B1(\u_m0_cmd_fifo.mem[0][31] ),
-    .B2(_05724_),
+ sky130_fd_sc_hd__a2bb2o_4 _11318_ (.A1_N(_05605_),
+    .A2_N(_05612_),
+    .B1(\u_m0_cmd_fifo.mem[0][30] ),
+    .B2(_05605_),
     .X(_00607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11533_ (.A1_N(_05724_),
-    .A2_N(_05657_),
-    .B1(\u_m0_cmd_fifo.mem[0][30] ),
-    .B2(_05724_),
+ sky130_fd_sc_hd__buf_2 _11319_ (.A(_05604_),
+    .X(_05613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11320_ (.A1(_01381_),
+    .A2(_05608_),
+    .B1(_01441_),
+    .B2(_05610_),
+    .X(_05614_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11321_ (.A1_N(_05613_),
+    .A2_N(_05614_),
+    .B1(\u_m0_cmd_fifo.mem[0][29] ),
+    .B2(_05613_),
     .X(_00606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11534_ (.A(_05723_),
-    .X(_05725_),
+ sky130_fd_sc_hd__o21a_4 _11322_ (.A1(_01444_),
+    .A2(_05610_),
+    .B1(_01465_),
+    .X(_05615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11535_ (.A1_N(_05725_),
-    .A2_N(_05661_),
-    .B1(\u_m0_cmd_fifo.mem[0][29] ),
-    .B2(_05725_),
+ sky130_fd_sc_hd__a2bb2o_4 _11323_ (.A1_N(_05613_),
+    .A2_N(_05615_),
+    .B1(\u_m0_cmd_fifo.mem[0][28] ),
+    .B2(_05613_),
     .X(_00605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11536_ (.A1_N(_05725_),
-    .A2_N(_05662_),
-    .B1(\u_m0_cmd_fifo.mem[0][28] ),
-    .B2(_05725_),
+ sky130_fd_sc_hd__buf_2 _11324_ (.A(_05604_),
+    .X(_05616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11325_ (.A(_01400_),
+    .X(_05617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11326_ (.A(_05617_),
+    .X(_05618_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11327_ (.A1(_01339_),
+    .A2(_05608_),
+    .B1(_01452_),
+    .B2(_05618_),
+    .X(_05619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11328_ (.A1_N(_05616_),
+    .A2_N(_05619_),
+    .B1(\u_m0_cmd_fifo.mem[0][27] ),
+    .B2(_05616_),
     .X(_00604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11537_ (.A(_05723_),
-    .X(_05726_),
+ sky130_fd_sc_hd__buf_2 _11329_ (.A(_05606_),
+    .X(_05620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11538_ (.A1_N(_05726_),
-    .A2_N(_05666_),
-    .B1(\u_m0_cmd_fifo.mem[0][27] ),
-    .B2(_05726_),
+ sky130_fd_sc_hd__buf_2 _11330_ (.A(_05620_),
+    .X(_05621_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11331_ (.A1(_01373_),
+    .A2(_05621_),
+    .B1(_03626_),
+    .B2(_05618_),
+    .X(_05622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11332_ (.A1_N(_05616_),
+    .A2_N(_05622_),
+    .B1(\u_m0_cmd_fifo.mem[0][26] ),
+    .B2(_05616_),
     .X(_00603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11539_ (.A1_N(_05726_),
-    .A2_N(_05669_),
-    .B1(\u_m0_cmd_fifo.mem[0][26] ),
-    .B2(_05726_),
+ sky130_fd_sc_hd__buf_2 _11333_ (.A(_05604_),
+    .X(_05623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11334_ (.A1(_01307_),
+    .A2(_05621_),
+    .B1(_03627_),
+    .B2(_05618_),
+    .X(_05624_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11335_ (.A1_N(_05623_),
+    .A2_N(_05624_),
+    .B1(\u_m0_cmd_fifo.mem[0][25] ),
+    .B2(_05623_),
     .X(_00602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11540_ (.A(_05723_),
-    .X(_05727_),
+ sky130_fd_sc_hd__o22a_4 _11336_ (.A1(_01345_),
+    .A2(_05621_),
+    .B1(_03629_),
+    .B2(_05618_),
+    .X(_05625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11541_ (.A1_N(_05727_),
-    .A2_N(_05671_),
-    .B1(\u_m0_cmd_fifo.mem[0][25] ),
-    .B2(_05727_),
+ sky130_fd_sc_hd__a2bb2o_4 _11337_ (.A1_N(_05623_),
+    .A2_N(_05625_),
+    .B1(\u_m0_cmd_fifo.mem[0][24] ),
+    .B2(_05623_),
     .X(_00601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11542_ (.A1_N(_05727_),
-    .A2_N(_05672_),
-    .B1(\u_m0_cmd_fifo.mem[0][24] ),
-    .B2(_05727_),
+ sky130_fd_sc_hd__buf_2 _11338_ (.A(_05603_),
+    .X(_05626_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11339_ (.A(_05626_),
+    .X(_05627_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11340_ (.A(_05617_),
+    .X(_05628_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11341_ (.A1(_01390_),
+    .A2(_05621_),
+    .B1(_03630_),
+    .B2(_05628_),
+    .X(_05629_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11342_ (.A1_N(_05627_),
+    .A2_N(_05629_),
+    .B1(\u_m0_cmd_fifo.mem[0][23] ),
+    .B2(_05627_),
     .X(_00600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11543_ (.A(_05722_),
-    .X(_05728_),
+ sky130_fd_sc_hd__buf_2 _11343_ (.A(_05620_),
+    .X(_05630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11544_ (.A(_05728_),
-    .X(_05729_),
+ sky130_fd_sc_hd__o22a_4 _11344_ (.A1(_01374_),
+    .A2(_05630_),
+    .B1(_03633_),
+    .B2(_05628_),
+    .X(_05631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11545_ (.A1_N(_05729_),
-    .A2_N(_05675_),
-    .B1(\u_m0_cmd_fifo.mem[0][23] ),
-    .B2(_05729_),
+ sky130_fd_sc_hd__a2bb2o_4 _11345_ (.A1_N(_05627_),
+    .A2_N(_05631_),
+    .B1(\u_m0_cmd_fifo.mem[0][22] ),
+    .B2(_05627_),
     .X(_00599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11546_ (.A1_N(_05729_),
-    .A2_N(_05677_),
-    .B1(\u_m0_cmd_fifo.mem[0][22] ),
-    .B2(_05729_),
+ sky130_fd_sc_hd__buf_2 _11346_ (.A(_05626_),
+    .X(_05632_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11347_ (.A1(_01314_),
+    .A2(_05630_),
+    .B1(_03634_),
+    .B2(_05628_),
+    .X(_05633_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11348_ (.A1_N(_05632_),
+    .A2_N(_05633_),
+    .B1(\u_m0_cmd_fifo.mem[0][21] ),
+    .B2(_05632_),
     .X(_00598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11547_ (.A(_05728_),
-    .X(_05730_),
+ sky130_fd_sc_hd__o22a_4 _11349_ (.A1(_01394_),
+    .A2(_05630_),
+    .B1(_03636_),
+    .B2(_05628_),
+    .X(_05634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11548_ (.A1_N(_05730_),
-    .A2_N(_05680_),
-    .B1(\u_m0_cmd_fifo.mem[0][21] ),
-    .B2(_05730_),
+ sky130_fd_sc_hd__a2bb2o_4 _11350_ (.A1_N(_05632_),
+    .A2_N(_05634_),
+    .B1(\u_m0_cmd_fifo.mem[0][20] ),
+    .B2(_05632_),
     .X(_00597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11549_ (.A1_N(_05730_),
-    .A2_N(_05681_),
-    .B1(\u_m0_cmd_fifo.mem[0][20] ),
-    .B2(_05730_),
+ sky130_fd_sc_hd__buf_2 _11351_ (.A(_05626_),
+    .X(_05635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11352_ (.A(_05617_),
+    .X(_05636_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11353_ (.A1(_01293_),
+    .A2(_05630_),
+    .B1(_01965_),
+    .B2(_05636_),
+    .X(_05637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11354_ (.A1_N(_05635_),
+    .A2_N(_05637_),
+    .B1(\u_m0_cmd_fifo.mem[0][19] ),
+    .B2(_05635_),
     .X(_00596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11550_ (.A(_05728_),
-    .X(_05731_),
+ sky130_fd_sc_hd__buf_2 _11355_ (.A(_05620_),
+    .X(_05638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11551_ (.A1_N(_05731_),
-    .A2_N(_05684_),
-    .B1(\u_m0_cmd_fifo.mem[0][19] ),
-    .B2(_05731_),
+ sky130_fd_sc_hd__o22a_4 _11356_ (.A1(_01367_),
+    .A2(_05638_),
+    .B1(_01995_),
+    .B2(_05636_),
+    .X(_05639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11357_ (.A1_N(_05635_),
+    .A2_N(_05639_),
+    .B1(\u_m0_cmd_fifo.mem[0][18] ),
+    .B2(_05635_),
     .X(_00595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11552_ (.A1_N(_05731_),
-    .A2_N(_05686_),
-    .B1(\u_m0_cmd_fifo.mem[0][18] ),
-    .B2(_05731_),
+ sky130_fd_sc_hd__buf_2 _11358_ (.A(_05626_),
+    .X(_05640_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11359_ (.A1(_01359_),
+    .A2(_05638_),
+    .B1(_02023_),
+    .B2(_05636_),
+    .X(_05641_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11360_ (.A1_N(_05640_),
+    .A2_N(_05641_),
+    .B1(\u_m0_cmd_fifo.mem[0][17] ),
+    .B2(_05640_),
     .X(_00594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11553_ (.A(_05728_),
-    .X(_05732_),
+ sky130_fd_sc_hd__o22a_4 _11361_ (.A1(_01305_),
+    .A2(_05638_),
+    .B1(_02045_),
+    .B2(_05636_),
+    .X(_05642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11554_ (.A1_N(_05732_),
-    .A2_N(_05688_),
-    .B1(\u_m0_cmd_fifo.mem[0][17] ),
-    .B2(_05732_),
+ sky130_fd_sc_hd__a2bb2o_4 _11362_ (.A1_N(_05640_),
+    .A2_N(_05642_),
+    .B1(\u_m0_cmd_fifo.mem[0][16] ),
+    .B2(_05640_),
     .X(_00593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11555_ (.A1_N(_05732_),
-    .A2_N(_05689_),
-    .B1(\u_m0_cmd_fifo.mem[0][16] ),
-    .B2(_05732_),
+ sky130_fd_sc_hd__buf_2 _11363_ (.A(_05603_),
+    .X(_05643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11364_ (.A(_05643_),
+    .X(_05644_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11365_ (.A(_05617_),
+    .X(_05645_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11366_ (.A1(_01329_),
+    .A2(_05638_),
+    .B1(_03600_),
+    .B2(_05645_),
+    .X(_05646_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11367_ (.A1_N(_05644_),
+    .A2_N(_05646_),
+    .B1(\u_m0_cmd_fifo.mem[0][15] ),
+    .B2(_05644_),
     .X(_00592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11556_ (.A(_05722_),
-    .X(_05733_),
+ sky130_fd_sc_hd__buf_2 _11368_ (.A(_05620_),
+    .X(_05647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11557_ (.A(_05733_),
-    .X(_05734_),
+ sky130_fd_sc_hd__o22a_4 _11369_ (.A1(_01391_),
+    .A2(_05647_),
+    .B1(_03604_),
+    .B2(_05645_),
+    .X(_05648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11558_ (.A1_N(_05734_),
-    .A2_N(_05692_),
-    .B1(\u_m0_cmd_fifo.mem[0][15] ),
-    .B2(_05734_),
+ sky130_fd_sc_hd__a2bb2o_4 _11370_ (.A1_N(_05644_),
+    .A2_N(_05648_),
+    .B1(\u_m0_cmd_fifo.mem[0][14] ),
+    .B2(_05644_),
     .X(_00591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11559_ (.A1_N(_05734_),
-    .A2_N(_05694_),
-    .B1(\u_m0_cmd_fifo.mem[0][14] ),
-    .B2(_05734_),
+ sky130_fd_sc_hd__buf_2 _11371_ (.A(_05643_),
+    .X(_05649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11372_ (.A1(_01312_),
+    .A2(_05647_),
+    .B1(_03605_),
+    .B2(_05645_),
+    .X(_05650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11373_ (.A1_N(_05649_),
+    .A2_N(_05650_),
+    .B1(\u_m0_cmd_fifo.mem[0][13] ),
+    .B2(_05649_),
     .X(_00590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11560_ (.A(_05733_),
-    .X(_05735_),
+ sky130_fd_sc_hd__o22a_4 _11374_ (.A1(_01370_),
+    .A2(_05647_),
+    .B1(_03607_),
+    .B2(_05645_),
+    .X(_05651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11561_ (.A1_N(_05735_),
-    .A2_N(_05697_),
-    .B1(\u_m0_cmd_fifo.mem[0][13] ),
-    .B2(_05735_),
+ sky130_fd_sc_hd__a2bb2o_4 _11375_ (.A1_N(_05649_),
+    .A2_N(_05651_),
+    .B1(\u_m0_cmd_fifo.mem[0][12] ),
+    .B2(_05649_),
     .X(_00589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11562_ (.A1_N(_05735_),
-    .A2_N(_05698_),
-    .B1(\u_m0_cmd_fifo.mem[0][12] ),
-    .B2(_05735_),
+ sky130_fd_sc_hd__buf_2 _11376_ (.A(_05643_),
+    .X(_05652_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11377_ (.A(_05609_),
+    .X(_05653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11378_ (.A1(_01349_),
+    .A2(_05647_),
+    .B1(_03608_),
+    .B2(_05653_),
+    .X(_05654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11379_ (.A1_N(_05652_),
+    .A2_N(_05654_),
+    .B1(\u_m0_cmd_fifo.mem[0][11] ),
+    .B2(_05652_),
     .X(_00588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11563_ (.A(_05733_),
-    .X(_05736_),
+ sky130_fd_sc_hd__buf_2 _11380_ (.A(_05606_),
+    .X(_05655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11564_ (.A1_N(_05736_),
-    .A2_N(_05701_),
-    .B1(\u_m0_cmd_fifo.mem[0][11] ),
-    .B2(_05736_),
+ sky130_fd_sc_hd__o22a_4 _11381_ (.A1(_01300_),
+    .A2(_05655_),
+    .B1(_03610_),
+    .B2(_05653_),
+    .X(_05656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11382_ (.A1_N(_05652_),
+    .A2_N(_05656_),
+    .B1(\u_m0_cmd_fifo.mem[0][10] ),
+    .B2(_05652_),
     .X(_00587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11565_ (.A1_N(_05736_),
-    .A2_N(_05703_),
-    .B1(\u_m0_cmd_fifo.mem[0][10] ),
-    .B2(_05736_),
+ sky130_fd_sc_hd__buf_2 _11383_ (.A(_05643_),
+    .X(_05657_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11384_ (.A1(_01343_),
+    .A2(_05655_),
+    .B1(_03611_),
+    .B2(_05653_),
+    .X(_05658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11385_ (.A1_N(_05657_),
+    .A2_N(_05658_),
+    .B1(\u_m0_cmd_fifo.mem[0][9] ),
+    .B2(_05657_),
     .X(_00586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11566_ (.A(_05733_),
-    .X(_05737_),
+ sky130_fd_sc_hd__o22a_4 _11386_ (.A1(_01355_),
+    .A2(_05655_),
+    .B1(_02281_),
+    .B2(_05653_),
+    .X(_05659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11567_ (.A1_N(_05737_),
-    .A2_N(_05705_),
-    .B1(\u_m0_cmd_fifo.mem[0][9] ),
-    .B2(_05737_),
+ sky130_fd_sc_hd__a2bb2o_4 _11387_ (.A1_N(_05657_),
+    .A2_N(_05659_),
+    .B1(\u_m0_cmd_fifo.mem[0][8] ),
+    .B2(_05657_),
     .X(_00585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11568_ (.A1_N(_05737_),
-    .A2_N(_05706_),
-    .B1(\u_m0_cmd_fifo.mem[0][8] ),
-    .B2(_05737_),
+ sky130_fd_sc_hd__buf_2 _11388_ (.A(_05603_),
+    .X(_05660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11389_ (.A(_05660_),
+    .X(_05661_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11390_ (.A(_05609_),
+    .X(_05662_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11391_ (.A1(_01350_),
+    .A2(_05655_),
+    .B1(_02312_),
+    .B2(_05662_),
+    .X(_05663_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11392_ (.A1_N(_05661_),
+    .A2_N(_05663_),
+    .B1(\u_m0_cmd_fifo.mem[0][7] ),
+    .B2(_05661_),
     .X(_00584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11569_ (.A(_05722_),
-    .X(_05738_),
+ sky130_fd_sc_hd__buf_2 _11393_ (.A(_05606_),
+    .X(_05664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11570_ (.A(_05738_),
-    .X(_05739_),
+ sky130_fd_sc_hd__o22a_4 _11394_ (.A1(_01296_),
+    .A2(_05664_),
+    .B1(_02346_),
+    .B2(_05662_),
+    .X(_05665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11571_ (.A1_N(_05739_),
-    .A2_N(_05709_),
-    .B1(\u_m0_cmd_fifo.mem[0][7] ),
-    .B2(_05739_),
+ sky130_fd_sc_hd__a2bb2o_4 _11395_ (.A1_N(_05661_),
+    .A2_N(_05665_),
+    .B1(\u_m0_cmd_fifo.mem[0][6] ),
+    .B2(_05661_),
     .X(_00583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11572_ (.A1_N(_05739_),
-    .A2_N(_05711_),
-    .B1(\u_m0_cmd_fifo.mem[0][6] ),
-    .B2(_05739_),
+ sky130_fd_sc_hd__buf_2 _11396_ (.A(_05660_),
+    .X(_05666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11397_ (.A1(_01393_),
+    .A2(_05664_),
+    .B1(_02370_),
+    .B2(_05662_),
+    .X(_05667_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11398_ (.A1_N(_05666_),
+    .A2_N(_05667_),
+    .B1(\u_m0_cmd_fifo.mem[0][5] ),
+    .B2(_05666_),
     .X(_00582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11573_ (.A(_05738_),
-    .X(_05740_),
+ sky130_fd_sc_hd__o22a_4 _11399_ (.A1(_01336_),
+    .A2(_05664_),
+    .B1(_02393_),
+    .B2(_05662_),
+    .X(_05668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11574_ (.A1_N(_05740_),
-    .A2_N(_05713_),
-    .B1(\u_m0_cmd_fifo.mem[0][5] ),
-    .B2(_05740_),
+ sky130_fd_sc_hd__a2bb2o_4 _11400_ (.A1_N(_05666_),
+    .A2_N(_05668_),
+    .B1(\u_m0_cmd_fifo.mem[0][4] ),
+    .B2(_05666_),
     .X(_00581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11575_ (.A1_N(_05740_),
-    .A2_N(_05714_),
-    .B1(\u_m0_cmd_fifo.mem[0][4] ),
-    .B2(_05740_),
+ sky130_fd_sc_hd__buf_2 _11401_ (.A(_05660_),
+    .X(_05669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11402_ (.A(_05609_),
+    .X(_05670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11403_ (.A1(_01467_),
+    .A2(_05664_),
+    .B1(_03616_),
+    .B2(_05670_),
+    .X(_05671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11404_ (.A1_N(_05669_),
+    .A2_N(_05671_),
+    .B1(\u_m0_cmd_fifo.mem[0][3] ),
+    .B2(_05669_),
     .X(_00580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11576_ (.A(_05738_),
-    .X(_05741_),
+ sky130_fd_sc_hd__o22a_4 _11405_ (.A1(_01513_),
+    .A2(_05607_),
+    .B1(_03618_),
+    .B2(_05670_),
+    .X(_05672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11577_ (.A1_N(_05741_),
-    .A2_N(_05717_),
-    .B1(\u_m0_cmd_fifo.mem[0][3] ),
-    .B2(_05741_),
+ sky130_fd_sc_hd__a2bb2o_4 _11406_ (.A1_N(_05669_),
+    .A2_N(_05672_),
+    .B1(\u_m0_cmd_fifo.mem[0][2] ),
+    .B2(_05669_),
     .X(_00579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11578_ (.A1_N(_05741_),
-    .A2_N(_05718_),
-    .B1(\u_m0_cmd_fifo.mem[0][2] ),
-    .B2(_05741_),
+ sky130_fd_sc_hd__buf_2 _11407_ (.A(_05660_),
+    .X(_05673_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11408_ (.A1(_01317_),
+    .A2(_05607_),
+    .B1(_02471_),
+    .B2(_05670_),
+    .X(_05674_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11409_ (.A1_N(_05673_),
+    .A2_N(_05674_),
+    .B1(\u_m0_cmd_fifo.mem[0][1] ),
+    .B2(_05673_),
     .X(_00578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11579_ (.A(_05738_),
-    .X(_05742_),
+ sky130_fd_sc_hd__o22a_4 _11410_ (.A1(_01292_),
+    .A2(_05607_),
+    .B1(_03620_),
+    .B2(_05670_),
+    .X(_05675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11580_ (.A1_N(_05742_),
-    .A2_N(_05720_),
-    .B1(\u_m0_cmd_fifo.mem[0][1] ),
-    .B2(_05742_),
+ sky130_fd_sc_hd__a2bb2o_4 _11411_ (.A1_N(_05673_),
+    .A2_N(_05675_),
+    .B1(\u_m0_cmd_fifo.mem[0][0] ),
+    .B2(_05673_),
     .X(_00577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11581_ (.A1_N(_05742_),
-    .A2_N(_05721_),
-    .B1(\u_m0_cmd_fifo.mem[0][0] ),
-    .B2(_05742_),
+ sky130_fd_sc_hd__a2bb2o_4 _11412_ (.A1_N(_03498_),
+    .A2_N(_05611_),
+    .B1(\u_m0_cmd_fifo.mem[1][31] ),
+    .B2(_03498_),
     .X(_00576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11582_ (.A(_05510_),
-    .X(_05743_),
+ sky130_fd_sc_hd__buf_2 _11413_ (.A(_03495_),
+    .X(_05676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _11583_ (.A(_05505_),
-    .B(_05401_),
-    .C(_01416_),
-    .D(_05387_),
-    .X(_05744_),
+ sky130_fd_sc_hd__buf_2 _11414_ (.A(_05676_),
+    .X(_05677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11584_ (.A(_05744_),
-    .X(_05745_),
+ sky130_fd_sc_hd__buf_2 _11415_ (.A(_05677_),
+    .X(_05678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11585_ (.A(_05745_),
-    .X(_05746_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11586_ (.A(_05744_),
-    .Y(_05747_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11587_ (.A(_05747_),
-    .X(_05748_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11588_ (.A(_05748_),
-    .X(_05749_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11589_ (.A1(_05743_),
-    .A2(_05746_),
-    .B1(\u_m0_res_fifo.mem[6][31] ),
-    .B2(_05749_),
+ sky130_fd_sc_hd__a2bb2o_4 _11416_ (.A1_N(_03498_),
+    .A2_N(_05612_),
+    .B1(\u_m0_cmd_fifo.mem[1][30] ),
+    .B2(_05678_),
     .X(_00575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11590_ (.A(_05515_),
-    .X(_05750_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11591_ (.A1(_05750_),
-    .A2(_05746_),
-    .B1(\u_m0_res_fifo.mem[6][30] ),
-    .B2(_05749_),
+ sky130_fd_sc_hd__a2bb2o_4 _11417_ (.A1_N(_05678_),
+    .A2_N(_05614_),
+    .B1(\u_m0_cmd_fifo.mem[1][29] ),
+    .B2(_05678_),
     .X(_00574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11592_ (.A(_05517_),
-    .X(_05751_),
+ sky130_fd_sc_hd__buf_2 _11418_ (.A(_05677_),
+    .X(_05679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11593_ (.A1(_05751_),
-    .A2(_05746_),
-    .B1(\u_m0_res_fifo.mem[6][29] ),
-    .B2(_05749_),
+ sky130_fd_sc_hd__a2bb2o_4 _11419_ (.A1_N(_05678_),
+    .A2_N(_05615_),
+    .B1(\u_m0_cmd_fifo.mem[1][28] ),
+    .B2(_05679_),
     .X(_00573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11594_ (.A(_05520_),
-    .X(_05752_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11595_ (.A1(_05752_),
-    .A2(_05746_),
-    .B1(\u_m0_res_fifo.mem[6][28] ),
-    .B2(_05749_),
+ sky130_fd_sc_hd__a2bb2o_4 _11420_ (.A1_N(_05679_),
+    .A2_N(_05619_),
+    .B1(\u_m0_cmd_fifo.mem[1][27] ),
+    .B2(_05679_),
     .X(_00572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11596_ (.A(_05523_),
-    .X(_05753_),
+ sky130_fd_sc_hd__buf_2 _11421_ (.A(_05677_),
+    .X(_05680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11597_ (.A(_05745_),
-    .X(_05754_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11598_ (.A(_05748_),
-    .X(_05755_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11599_ (.A1(_05753_),
-    .A2(_05754_),
-    .B1(\u_m0_res_fifo.mem[6][27] ),
-    .B2(_05755_),
+ sky130_fd_sc_hd__a2bb2o_4 _11422_ (.A1_N(_05679_),
+    .A2_N(_05622_),
+    .B1(\u_m0_cmd_fifo.mem[1][26] ),
+    .B2(_05680_),
     .X(_00571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11600_ (.A(_05526_),
-    .X(_05756_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11601_ (.A1(_05756_),
-    .A2(_05754_),
-    .B1(\u_m0_res_fifo.mem[6][26] ),
-    .B2(_05755_),
+ sky130_fd_sc_hd__a2bb2o_4 _11423_ (.A1_N(_05680_),
+    .A2_N(_05624_),
+    .B1(\u_m0_cmd_fifo.mem[1][25] ),
+    .B2(_05680_),
     .X(_00570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11602_ (.A(_05528_),
-    .X(_05757_),
+ sky130_fd_sc_hd__buf_2 _11424_ (.A(_05677_),
+    .X(_05681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11603_ (.A1(_05757_),
-    .A2(_05754_),
-    .B1(\u_m0_res_fifo.mem[6][25] ),
-    .B2(_05755_),
+ sky130_fd_sc_hd__a2bb2o_4 _11425_ (.A1_N(_05680_),
+    .A2_N(_05625_),
+    .B1(\u_m0_cmd_fifo.mem[1][24] ),
+    .B2(_05681_),
     .X(_00569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11604_ (.A(_05531_),
-    .X(_05758_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11605_ (.A1(_05758_),
-    .A2(_05754_),
-    .B1(\u_m0_res_fifo.mem[6][24] ),
-    .B2(_05755_),
+ sky130_fd_sc_hd__a2bb2o_4 _11426_ (.A1_N(_05681_),
+    .A2_N(_05629_),
+    .B1(\u_m0_cmd_fifo.mem[1][23] ),
+    .B2(_05681_),
     .X(_00568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11606_ (.A(_05534_),
-    .X(_05759_),
+ sky130_fd_sc_hd__buf_2 _11427_ (.A(_03495_),
+    .X(_05682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11607_ (.A(_05745_),
-    .X(_05760_),
+ sky130_fd_sc_hd__buf_2 _11428_ (.A(_05682_),
+    .X(_05683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11608_ (.A(_05748_),
-    .X(_05761_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11609_ (.A1(_05759_),
-    .A2(_05760_),
-    .B1(\u_m0_res_fifo.mem[6][23] ),
-    .B2(_05761_),
+ sky130_fd_sc_hd__a2bb2o_4 _11429_ (.A1_N(_05681_),
+    .A2_N(_05631_),
+    .B1(\u_m0_cmd_fifo.mem[1][22] ),
+    .B2(_05683_),
     .X(_00567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11610_ (.A(_05537_),
-    .X(_05762_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11611_ (.A1(_05762_),
-    .A2(_05760_),
-    .B1(\u_m0_res_fifo.mem[6][22] ),
-    .B2(_05761_),
+ sky130_fd_sc_hd__a2bb2o_4 _11430_ (.A1_N(_05683_),
+    .A2_N(_05633_),
+    .B1(\u_m0_cmd_fifo.mem[1][21] ),
+    .B2(_05683_),
     .X(_00566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11612_ (.A(_05539_),
-    .X(_05763_),
+ sky130_fd_sc_hd__buf_2 _11431_ (.A(_05682_),
+    .X(_05684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11613_ (.A1(_05763_),
-    .A2(_05760_),
-    .B1(\u_m0_res_fifo.mem[6][21] ),
-    .B2(_05761_),
+ sky130_fd_sc_hd__a2bb2o_4 _11432_ (.A1_N(_05683_),
+    .A2_N(_05634_),
+    .B1(\u_m0_cmd_fifo.mem[1][20] ),
+    .B2(_05684_),
     .X(_00565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11614_ (.A(_05543_),
-    .X(_05764_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11615_ (.A1(_05764_),
-    .A2(_05760_),
-    .B1(\u_m0_res_fifo.mem[6][20] ),
-    .B2(_05761_),
+ sky130_fd_sc_hd__a2bb2o_4 _11433_ (.A1_N(_05684_),
+    .A2_N(_05637_),
+    .B1(\u_m0_cmd_fifo.mem[1][19] ),
+    .B2(_05684_),
     .X(_00564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11616_ (.A(_05546_),
-    .X(_05765_),
+ sky130_fd_sc_hd__buf_2 _11434_ (.A(_05682_),
+    .X(_05685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11617_ (.A(_05745_),
-    .X(_05766_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11618_ (.A(_05748_),
-    .X(_05767_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11619_ (.A1(_05765_),
-    .A2(_05766_),
-    .B1(\u_m0_res_fifo.mem[6][19] ),
-    .B2(_05767_),
+ sky130_fd_sc_hd__a2bb2o_4 _11435_ (.A1_N(_05684_),
+    .A2_N(_05639_),
+    .B1(\u_m0_cmd_fifo.mem[1][18] ),
+    .B2(_05685_),
     .X(_00563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11620_ (.A(_05549_),
-    .X(_05768_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11621_ (.A1(_05768_),
-    .A2(_05766_),
-    .B1(\u_m0_res_fifo.mem[6][18] ),
-    .B2(_05767_),
+ sky130_fd_sc_hd__a2bb2o_4 _11436_ (.A1_N(_05685_),
+    .A2_N(_05641_),
+    .B1(\u_m0_cmd_fifo.mem[1][17] ),
+    .B2(_05685_),
     .X(_00562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11622_ (.A(_05551_),
-    .X(_05769_),
+ sky130_fd_sc_hd__buf_2 _11437_ (.A(_05682_),
+    .X(_05686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11623_ (.A1(_05769_),
-    .A2(_05766_),
-    .B1(\u_m0_res_fifo.mem[6][17] ),
-    .B2(_05767_),
+ sky130_fd_sc_hd__a2bb2o_4 _11438_ (.A1_N(_05685_),
+    .A2_N(_05642_),
+    .B1(\u_m0_cmd_fifo.mem[1][16] ),
+    .B2(_05686_),
     .X(_00561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11624_ (.A(_05554_),
-    .X(_05770_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11625_ (.A1(_05770_),
-    .A2(_05766_),
-    .B1(\u_m0_res_fifo.mem[6][16] ),
-    .B2(_05767_),
+ sky130_fd_sc_hd__a2bb2o_4 _11439_ (.A1_N(_05686_),
+    .A2_N(_05646_),
+    .B1(\u_m0_cmd_fifo.mem[1][15] ),
+    .B2(_05686_),
     .X(_00560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11626_ (.A(_05558_),
-    .X(_05771_),
+ sky130_fd_sc_hd__buf_2 _11440_ (.A(_03495_),
+    .X(_05687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11627_ (.A(_05744_),
-    .X(_05772_),
+ sky130_fd_sc_hd__buf_2 _11441_ (.A(_05687_),
+    .X(_05688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11628_ (.A(_05772_),
-    .X(_05773_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11629_ (.A(_05747_),
-    .X(_05774_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11630_ (.A(_05774_),
-    .X(_05775_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11631_ (.A1(_05771_),
-    .A2(_05773_),
-    .B1(\u_m0_res_fifo.mem[6][15] ),
-    .B2(_05775_),
+ sky130_fd_sc_hd__a2bb2o_4 _11442_ (.A1_N(_05686_),
+    .A2_N(_05648_),
+    .B1(\u_m0_cmd_fifo.mem[1][14] ),
+    .B2(_05688_),
     .X(_00559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11632_ (.A(_05562_),
-    .X(_05776_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11633_ (.A1(_05776_),
-    .A2(_05773_),
-    .B1(\u_m0_res_fifo.mem[6][14] ),
-    .B2(_05775_),
+ sky130_fd_sc_hd__a2bb2o_4 _11443_ (.A1_N(_05688_),
+    .A2_N(_05650_),
+    .B1(\u_m0_cmd_fifo.mem[1][13] ),
+    .B2(_05688_),
     .X(_00558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11634_ (.A(_05564_),
-    .X(_05777_),
+ sky130_fd_sc_hd__buf_2 _11444_ (.A(_05687_),
+    .X(_05689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11635_ (.A1(_05777_),
-    .A2(_05773_),
-    .B1(\u_m0_res_fifo.mem[6][13] ),
-    .B2(_05775_),
+ sky130_fd_sc_hd__a2bb2o_4 _11445_ (.A1_N(_05688_),
+    .A2_N(_05651_),
+    .B1(\u_m0_cmd_fifo.mem[1][12] ),
+    .B2(_05689_),
     .X(_00557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11636_ (.A(_05567_),
-    .X(_05778_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11637_ (.A1(_05778_),
-    .A2(_05773_),
-    .B1(\u_m0_res_fifo.mem[6][12] ),
-    .B2(_05775_),
+ sky130_fd_sc_hd__a2bb2o_4 _11446_ (.A1_N(_05689_),
+    .A2_N(_05654_),
+    .B1(\u_m0_cmd_fifo.mem[1][11] ),
+    .B2(_05689_),
     .X(_00556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11638_ (.A(_05570_),
-    .X(_05779_),
+ sky130_fd_sc_hd__buf_2 _11447_ (.A(_05687_),
+    .X(_05690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11639_ (.A(_05772_),
-    .X(_05780_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11640_ (.A(_05774_),
-    .X(_05781_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11641_ (.A1(_05779_),
-    .A2(_05780_),
-    .B1(\u_m0_res_fifo.mem[6][11] ),
-    .B2(_05781_),
+ sky130_fd_sc_hd__a2bb2o_4 _11448_ (.A1_N(_05689_),
+    .A2_N(_05656_),
+    .B1(\u_m0_cmd_fifo.mem[1][10] ),
+    .B2(_05690_),
     .X(_00555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11642_ (.A(_05573_),
-    .X(_05782_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11643_ (.A1(_05782_),
-    .A2(_05780_),
-    .B1(\u_m0_res_fifo.mem[6][10] ),
-    .B2(_05781_),
+ sky130_fd_sc_hd__a2bb2o_4 _11449_ (.A1_N(_05690_),
+    .A2_N(_05658_),
+    .B1(\u_m0_cmd_fifo.mem[1][9] ),
+    .B2(_05690_),
     .X(_00554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11644_ (.A(_05575_),
-    .X(_05783_),
+ sky130_fd_sc_hd__buf_2 _11450_ (.A(_05687_),
+    .X(_05691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11645_ (.A1(_05783_),
-    .A2(_05780_),
-    .B1(\u_m0_res_fifo.mem[6][9] ),
-    .B2(_05781_),
+ sky130_fd_sc_hd__a2bb2o_4 _11451_ (.A1_N(_05690_),
+    .A2_N(_05659_),
+    .B1(\u_m0_cmd_fifo.mem[1][8] ),
+    .B2(_05691_),
     .X(_00553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11646_ (.A(_05578_),
-    .X(_05784_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11647_ (.A1(_05784_),
-    .A2(_05780_),
-    .B1(\u_m0_res_fifo.mem[6][8] ),
-    .B2(_05781_),
+ sky130_fd_sc_hd__a2bb2o_4 _11452_ (.A1_N(_05691_),
+    .A2_N(_05663_),
+    .B1(\u_m0_cmd_fifo.mem[1][7] ),
+    .B2(_05691_),
     .X(_00552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11648_ (.A(_05581_),
-    .X(_05785_),
+ sky130_fd_sc_hd__buf_2 _11453_ (.A(_05676_),
+    .X(_05692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11649_ (.A(_05772_),
-    .X(_05786_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11650_ (.A(_05774_),
-    .X(_05787_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11651_ (.A1(_05785_),
-    .A2(_05786_),
-    .B1(\u_m0_res_fifo.mem[6][7] ),
-    .B2(_05787_),
+ sky130_fd_sc_hd__a2bb2o_4 _11454_ (.A1_N(_05691_),
+    .A2_N(_05665_),
+    .B1(\u_m0_cmd_fifo.mem[1][6] ),
+    .B2(_05692_),
     .X(_00551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11652_ (.A(_05584_),
-    .X(_05788_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11653_ (.A1(_05788_),
-    .A2(_05786_),
-    .B1(\u_m0_res_fifo.mem[6][6] ),
-    .B2(_05787_),
+ sky130_fd_sc_hd__a2bb2o_4 _11455_ (.A1_N(_05692_),
+    .A2_N(_05667_),
+    .B1(\u_m0_cmd_fifo.mem[1][5] ),
+    .B2(_05692_),
     .X(_00550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11654_ (.A(_05586_),
-    .X(_05789_),
+ sky130_fd_sc_hd__buf_2 _11456_ (.A(_05676_),
+    .X(_05693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11655_ (.A1(_05789_),
-    .A2(_05786_),
-    .B1(\u_m0_res_fifo.mem[6][5] ),
-    .B2(_05787_),
+ sky130_fd_sc_hd__a2bb2o_4 _11457_ (.A1_N(_05692_),
+    .A2_N(_05668_),
+    .B1(\u_m0_cmd_fifo.mem[1][4] ),
+    .B2(_05693_),
     .X(_00549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11656_ (.A(_05589_),
-    .X(_05790_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11657_ (.A1(_05790_),
-    .A2(_05786_),
-    .B1(\u_m0_res_fifo.mem[6][4] ),
-    .B2(_05787_),
+ sky130_fd_sc_hd__a2bb2o_4 _11458_ (.A1_N(_05693_),
+    .A2_N(_05671_),
+    .B1(\u_m0_cmd_fifo.mem[1][3] ),
+    .B2(_05693_),
     .X(_00548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11658_ (.A(_05592_),
-    .X(_05791_),
+ sky130_fd_sc_hd__buf_2 _11459_ (.A(_05676_),
+    .X(_05694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11659_ (.A(_05772_),
-    .X(_05792_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11660_ (.A(_05774_),
-    .X(_05793_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11661_ (.A1(_05791_),
-    .A2(_05792_),
-    .B1(\u_m0_res_fifo.mem[6][3] ),
-    .B2(_05793_),
+ sky130_fd_sc_hd__a2bb2o_4 _11460_ (.A1_N(_05693_),
+    .A2_N(_05672_),
+    .B1(\u_m0_cmd_fifo.mem[1][2] ),
+    .B2(_05694_),
     .X(_00547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11662_ (.A(_05595_),
-    .X(_05794_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11663_ (.A1(_05794_),
-    .A2(_05792_),
-    .B1(\u_m0_res_fifo.mem[6][2] ),
-    .B2(_05793_),
+ sky130_fd_sc_hd__a2bb2o_4 _11461_ (.A1_N(_05694_),
+    .A2_N(_05674_),
+    .B1(\u_m0_cmd_fifo.mem[1][1] ),
+    .B2(_05694_),
     .X(_00546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11664_ (.A(_05597_),
-    .X(_05795_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11665_ (.A1(_05795_),
-    .A2(_05792_),
-    .B1(\u_m0_res_fifo.mem[6][1] ),
-    .B2(_05793_),
+ sky130_fd_sc_hd__a2bb2o_4 _11462_ (.A1_N(_05694_),
+    .A2_N(_05675_),
+    .B1(\u_m0_cmd_fifo.mem[1][0] ),
+    .B2(_03496_),
     .X(_00545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11666_ (.A(_05599_),
-    .X(_05796_),
+ sky130_fd_sc_hd__buf_2 _11463_ (.A(_03275_),
+    .X(_05695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11667_ (.A1(_05796_),
-    .A2(_05792_),
-    .B1(\u_m0_res_fifo.mem[6][0] ),
-    .B2(_05793_),
+ sky130_fd_sc_hd__and4_4 _11464_ (.A(_02534_),
+    .B(_03580_),
+    .C(_01839_),
+    .D(_03678_),
+    .X(_05696_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11465_ (.A(_05696_),
+    .X(_05697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11466_ (.A(_05697_),
+    .X(_05698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11467_ (.A(_02525_),
+    .Y(_05699_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11468_ (.A(_05699_),
+    .X(_05700_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11469_ (.A(_05700_),
+    .X(_05701_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11470_ (.A(_02672_),
+    .B(\u_spim_regs.spim_reg_wdata[31] ),
+    .C(_05701_),
+    .X(_05702_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11471_ (.A(_03657_),
+    .X(_05703_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11472_ (.A(_02536_),
+    .Y(_05704_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11473_ (.A(_05704_),
+    .X(_05705_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11474_ (.A(_05705_),
+    .X(_05706_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11475_ (.A(\u_spim_regs.cfg_m1_data_cnt[7] ),
+    .B(_05703_),
+    .C(_05706_),
+    .X(_05707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11476_ (.A1(\u_spim_regs.cfg_m1_addr[31] ),
+    .A2(_05698_),
+    .B1(_05702_),
+    .C1(_05707_),
+    .X(_05708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11477_ (.A(_05708_),
+    .Y(_05709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11478_ (.A1_N(_05695_),
+    .A2_N(_05709_),
+    .B1(\u_m1_cmd_fifo.mem[3][31] ),
+    .B2(_05695_),
     .X(_00544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11668_ (.A(_03280_),
-    .X(_05797_),
+ sky130_fd_sc_hd__and3_4 _11479_ (.A(_02672_),
+    .B(\u_spim_regs.spim_reg_wdata[30] ),
+    .C(_05701_),
+    .X(_05710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _11669_ (.A(_02560_),
-    .B(_03587_),
-    .C(_01840_),
-    .D(_03695_),
-    .X(_05798_),
+ sky130_fd_sc_hd__and3_4 _11480_ (.A(_01602_),
+    .B(_05703_),
+    .C(_05706_),
+    .X(_05711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11670_ (.A(_05798_),
-    .X(_05799_),
+ sky130_fd_sc_hd__a211o_4 _11481_ (.A1(\u_spim_regs.cfg_m1_addr[30] ),
+    .A2(_05698_),
+    .B1(_05710_),
+    .C1(_05711_),
+    .X(_05712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11671_ (.A(_05799_),
-    .X(_05800_),
+ sky130_fd_sc_hd__inv_2 _11482_ (.A(_05712_),
+    .Y(_05713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11672_ (.A(_02552_),
-    .Y(_05801_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11673_ (.A(_05801_),
-    .X(_05802_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11674_ (.A(_05802_),
-    .X(_05803_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11675_ (.A(_02674_),
-    .B(\u_spim_regs.spim_reg_wdata[31] ),
-    .C(_05803_),
-    .X(_05804_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11676_ (.A(_03674_),
-    .X(_05805_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11677_ (.A(_02562_),
-    .Y(_05806_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11678_ (.A(_05806_),
-    .X(_05807_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11679_ (.A(_05807_),
-    .X(_05808_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11680_ (.A(\u_spim_regs.cfg_m1_data_cnt[7] ),
-    .B(_05805_),
-    .C(_05808_),
-    .X(_05809_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11681_ (.A1(\u_spim_regs.cfg_m1_addr[31] ),
-    .A2(_05800_),
-    .B1(_05804_),
-    .C1(_05809_),
-    .X(_05810_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11682_ (.A(_05810_),
-    .Y(_05811_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11683_ (.A1_N(_05797_),
-    .A2_N(_05811_),
-    .B1(\u_m1_cmd_fifo.mem[3][31] ),
-    .B2(_05797_),
+ sky130_fd_sc_hd__a2bb2o_4 _11483_ (.A1_N(_05695_),
+    .A2_N(_05713_),
+    .B1(\u_m1_cmd_fifo.mem[3][30] ),
+    .B2(_05695_),
     .X(_00543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11684_ (.A(_02674_),
-    .B(\u_spim_regs.spim_reg_wdata[30] ),
-    .C(_05803_),
+ sky130_fd_sc_hd__buf_2 _11484_ (.A(_03275_),
+    .X(_05714_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11485_ (.A(_05699_),
+    .X(_05715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11486_ (.A(_05715_),
+    .X(_05716_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11487_ (.A(_02672_),
+    .B(\u_spim_regs.spim_reg_wdata[29] ),
+    .C(_05716_),
+    .X(_05717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11488_ (.A(\u_spim_regs.cfg_m1_data_cnt[5] ),
+    .B(_05703_),
+    .C(_05706_),
+    .X(_05718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11489_ (.A1(\u_spim_regs.cfg_m1_addr[29] ),
+    .A2(_05698_),
+    .B1(_05717_),
+    .C1(_05718_),
+    .X(_05719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11490_ (.A(_05719_),
+    .Y(_05720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11491_ (.A1_N(_05714_),
+    .A2_N(_05720_),
+    .B1(\u_m1_cmd_fifo.mem[3][29] ),
+    .B2(_05714_),
+    .X(_00542_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11492_ (.A(_02521_),
+    .X(_05721_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11493_ (.A(_05721_),
+    .X(_05722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11494_ (.A(_05722_),
+    .B(\u_spim_regs.spim_reg_wdata[28] ),
+    .C(_05716_),
+    .X(_05723_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11495_ (.A(_03657_),
+    .X(_05724_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11496_ (.A(_05724_),
+    .X(_05725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11497_ (.A(_05704_),
+    .X(_05726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11498_ (.A(_05726_),
+    .X(_05727_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11499_ (.A(_01680_),
+    .B(_05725_),
+    .C(_05727_),
+    .X(_05728_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11500_ (.A1(\u_spim_regs.cfg_m1_addr[28] ),
+    .A2(_05698_),
+    .B1(_05723_),
+    .C1(_05728_),
+    .X(_05729_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11501_ (.A(_05729_),
+    .Y(_05730_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11502_ (.A1_N(_05714_),
+    .A2_N(_05730_),
+    .B1(\u_m1_cmd_fifo.mem[3][28] ),
+    .B2(_05714_),
+    .X(_00541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11503_ (.A(_03274_),
+    .X(_05731_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11504_ (.A(_05731_),
+    .X(_05732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11505_ (.A(_05732_),
+    .X(_05733_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11506_ (.A(_05697_),
+    .X(_05734_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11507_ (.A(_05722_),
+    .B(\u_spim_regs.spim_reg_wdata[27] ),
+    .C(_05716_),
+    .X(_05735_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11508_ (.A(_01730_),
+    .B(_05725_),
+    .C(_05727_),
+    .X(_05736_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11509_ (.A1(\u_spim_regs.cfg_m1_addr[27] ),
+    .A2(_05734_),
+    .B1(_05735_),
+    .C1(_05736_),
+    .X(_05737_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11510_ (.A(_05737_),
+    .Y(_05738_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11511_ (.A1_N(_05733_),
+    .A2_N(_05738_),
+    .B1(\u_m1_cmd_fifo.mem[3][27] ),
+    .B2(_05733_),
+    .X(_00540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11512_ (.A(_05722_),
+    .B(\u_spim_regs.spim_reg_wdata[26] ),
+    .C(_05716_),
+    .X(_05739_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11513_ (.A(_01764_),
+    .B(_05725_),
+    .C(_05727_),
+    .X(_05740_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11514_ (.A1(\u_spim_regs.cfg_m1_addr[26] ),
+    .A2(_05734_),
+    .B1(_05739_),
+    .C1(_05740_),
+    .X(_05741_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11515_ (.A(_05741_),
+    .Y(_05742_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11516_ (.A1_N(_05733_),
+    .A2_N(_05742_),
+    .B1(\u_m1_cmd_fifo.mem[3][26] ),
+    .B2(_05733_),
+    .X(_00539_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11517_ (.A(_05732_),
+    .X(_05743_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11518_ (.A(_05715_),
+    .X(_05744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11519_ (.A(_05722_),
+    .B(\u_spim_regs.spim_reg_wdata[25] ),
+    .C(_05744_),
+    .X(_05745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11520_ (.A(_01794_),
+    .B(_05725_),
+    .C(_05727_),
+    .X(_05746_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11521_ (.A1(\u_spim_regs.cfg_m1_addr[25] ),
+    .A2(_05734_),
+    .B1(_05745_),
+    .C1(_05746_),
+    .X(_05747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11522_ (.A(_05747_),
+    .Y(_05748_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11523_ (.A1_N(_05743_),
+    .A2_N(_05748_),
+    .B1(\u_m1_cmd_fifo.mem[3][25] ),
+    .B2(_05743_),
+    .X(_00538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11524_ (.A(_05721_),
+    .X(_05749_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11525_ (.A(_05749_),
+    .B(\u_spim_regs.spim_reg_wdata[24] ),
+    .C(_05744_),
+    .X(_05750_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11526_ (.A(_05724_),
+    .X(_05751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11527_ (.A(_05726_),
+    .X(_05752_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11528_ (.A(_01824_),
+    .B(_05751_),
+    .C(_05752_),
+    .X(_05753_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11529_ (.A1(\u_spim_regs.cfg_m1_addr[24] ),
+    .A2(_05734_),
+    .B1(_05750_),
+    .C1(_05753_),
+    .X(_05754_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11530_ (.A(_05754_),
+    .Y(_05755_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11531_ (.A1_N(_05743_),
+    .A2_N(_05755_),
+    .B1(\u_m1_cmd_fifo.mem[3][24] ),
+    .B2(_05743_),
+    .X(_00537_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11532_ (.A(_05732_),
+    .X(_05756_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11533_ (.A(_05697_),
+    .X(_05757_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11534_ (.A(_05721_),
+    .X(_05758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11535_ (.A(\u_spim_regs.spim_reg_wdata[23] ),
+    .B(_05758_),
+    .C(_05744_),
+    .X(_05759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11536_ (.A(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
+    .B(_05751_),
+    .C(_05752_),
+    .X(_05760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11537_ (.A1(\u_spim_regs.cfg_m1_addr[23] ),
+    .A2(_05757_),
+    .B1(_05759_),
+    .C1(_05760_),
+    .X(_05761_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11538_ (.A(_05761_),
+    .Y(_05762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11539_ (.A1_N(_05756_),
+    .A2_N(_05762_),
+    .B1(\u_m1_cmd_fifo.mem[3][23] ),
+    .B2(_05756_),
+    .X(_00536_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11540_ (.A(\u_spim_regs.spim_reg_wdata[22] ),
+    .B(_05758_),
+    .C(_05744_),
+    .X(_05763_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11541_ (.A(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
+    .B(_05751_),
+    .C(_05752_),
+    .X(_05764_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11542_ (.A1(\u_spim_regs.cfg_m1_addr[22] ),
+    .A2(_05757_),
+    .B1(_05763_),
+    .C1(_05764_),
+    .X(_05765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11543_ (.A(_05765_),
+    .Y(_05766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11544_ (.A1_N(_05756_),
+    .A2_N(_05766_),
+    .B1(\u_m1_cmd_fifo.mem[3][22] ),
+    .B2(_05756_),
+    .X(_00535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11545_ (.A(_05732_),
+    .X(_05767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11546_ (.A(_02671_),
+    .X(_05768_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11547_ (.A(_05715_),
+    .X(_05769_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11548_ (.A(\u_spim_regs.spim_reg_wdata[21] ),
+    .B(_05768_),
+    .C(_05769_),
+    .X(_05770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11549_ (.A(\u_spim_regs.cfg_m1_addr_cnt[1] ),
+    .B(_05751_),
+    .C(_05752_),
+    .X(_05771_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11550_ (.A1(\u_spim_regs.cfg_m1_addr[21] ),
+    .A2(_05757_),
+    .B1(_05770_),
+    .C1(_05771_),
+    .X(_05772_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11551_ (.A(_05772_),
+    .Y(_05773_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11552_ (.A1_N(_05767_),
+    .A2_N(_05773_),
+    .B1(\u_m1_cmd_fifo.mem[3][21] ),
+    .B2(_05767_),
+    .X(_00534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11553_ (.A(\u_spim_regs.spim_reg_wdata[20] ),
+    .B(_05768_),
+    .C(_05769_),
+    .X(_05774_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11554_ (.A(_03657_),
+    .X(_05775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11555_ (.A(_05775_),
+    .X(_05776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11556_ (.A(_05726_),
+    .X(_05777_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11557_ (.A(\u_spim_regs.cfg_m1_addr_cnt[0] ),
+    .B(_05776_),
+    .C(_05777_),
+    .X(_05778_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11558_ (.A1(\u_spim_regs.cfg_m1_addr[20] ),
+    .A2(_05757_),
+    .B1(_05774_),
+    .C1(_05778_),
+    .X(_05779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11559_ (.A(_05779_),
+    .Y(_05780_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11560_ (.A1_N(_05767_),
+    .A2_N(_05780_),
+    .B1(\u_m1_cmd_fifo.mem[3][20] ),
+    .B2(_05767_),
+    .X(_00533_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11561_ (.A(_05731_),
+    .X(_05781_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11562_ (.A(_05781_),
+    .X(_05782_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11563_ (.A(_05697_),
+    .X(_05783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11564_ (.A(_05705_),
+    .X(_05784_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11565_ (.A(_02526_),
+    .B(_01994_),
+    .C(_05784_),
+    .X(_05785_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11566_ (.A(\u_spim_regs.spim_reg_wdata[19] ),
+    .B(_05758_),
+    .C(_05701_),
+    .X(_05786_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11567_ (.A1(\u_spim_regs.cfg_m1_addr[19] ),
+    .A2(_05783_),
+    .B1(_05785_),
+    .C1(_05786_),
+    .X(_05787_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11568_ (.A(_05787_),
+    .Y(_05788_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11569_ (.A1_N(_05782_),
+    .A2_N(_05788_),
+    .B1(\u_m1_cmd_fifo.mem[3][19] ),
+    .B2(_05782_),
+    .X(_00532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11570_ (.A(_01964_),
+    .B(_02527_),
+    .C(_05784_),
+    .X(_05789_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11571_ (.A(\u_spim_regs.spim_reg_wdata[18] ),
+    .B(_05758_),
+    .C(_05701_),
+    .X(_05790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11572_ (.A1(\u_spim_regs.cfg_m1_addr[18] ),
+    .A2(_05783_),
+    .B1(_05789_),
+    .C1(_05790_),
+    .X(_05791_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11573_ (.A(_05791_),
+    .Y(_05792_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11574_ (.A1_N(_05782_),
+    .A2_N(_05792_),
+    .B1(\u_m1_cmd_fifo.mem[3][18] ),
+    .B2(_05782_),
+    .X(_00531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11575_ (.A(_05781_),
+    .X(_05793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11576_ (.A(\u_spim_regs.spim_reg_wdata[17] ),
+    .B(_05768_),
+    .C(_05769_),
+    .X(_05794_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11577_ (.A(_02529_),
+    .B(_05776_),
+    .C(_05777_),
+    .X(_05795_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11578_ (.A1(\u_spim_regs.cfg_m1_addr[17] ),
+    .A2(_05783_),
+    .B1(_05794_),
+    .C1(_05795_),
+    .X(_05796_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11579_ (.A(_05796_),
+    .Y(_05797_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11580_ (.A1_N(_05793_),
+    .A2_N(_05797_),
+    .B1(\u_m1_cmd_fifo.mem[3][17] ),
+    .B2(_05793_),
+    .X(_00530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11581_ (.A(\u_spim_regs.spim_reg_wdata[16] ),
+    .B(_05768_),
+    .C(_05769_),
+    .X(_05798_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11582_ (.A(_02044_),
+    .B(_05776_),
+    .C(_05777_),
+    .X(_05799_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11583_ (.A1(\u_spim_regs.cfg_m1_addr[16] ),
+    .A2(_05783_),
+    .B1(_05798_),
+    .C1(_05799_),
+    .X(_05800_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11584_ (.A(_05800_),
+    .Y(_05801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11585_ (.A1_N(_05793_),
+    .A2_N(_05801_),
+    .B1(\u_m1_cmd_fifo.mem[3][16] ),
+    .B2(_05793_),
+    .X(_00529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11586_ (.A(_05781_),
+    .X(_05802_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11587_ (.A(_05696_),
+    .X(_05803_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11588_ (.A(_05803_),
+    .X(_05804_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11589_ (.A(_05715_),
+    .X(_05805_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11590_ (.A(_05749_),
+    .B(\u_spim_regs.spim_reg_wdata[15] ),
+    .C(_05805_),
+    .X(_05806_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11591_ (.A(\u_spim_regs.cfg_m1_mode_reg[7] ),
+    .B(_05776_),
+    .C(_05777_),
+    .X(_05807_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a211o_4 _11592_ (.A1(\u_spim_regs.cfg_m1_addr[15] ),
+    .A2(_05804_),
+    .B1(_05806_),
+    .C1(_05807_),
+    .X(_05808_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11593_ (.A(_05808_),
+    .Y(_05809_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11594_ (.A1_N(_05802_),
+    .A2_N(_05809_),
+    .B1(\u_m1_cmd_fifo.mem[3][15] ),
+    .B2(_05802_),
+    .X(_00528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11595_ (.A(_05749_),
+    .B(\u_spim_regs.spim_reg_wdata[14] ),
+    .C(_05805_),
+    .X(_05810_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11596_ (.A(_05775_),
+    .X(_05811_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11597_ (.A(_05726_),
     .X(_05812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11685_ (.A(_01600_),
-    .B(_05805_),
-    .C(_05808_),
+ sky130_fd_sc_hd__and3_4 _11598_ (.A(\u_spim_regs.cfg_m1_mode_reg[6] ),
+    .B(_05811_),
+    .C(_05812_),
     .X(_05813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11686_ (.A1(\u_spim_regs.cfg_m1_addr[30] ),
-    .A2(_05800_),
-    .B1(_05812_),
+ sky130_fd_sc_hd__a211o_4 _11599_ (.A1(\u_spim_regs.cfg_m1_addr[14] ),
+    .A2(_05804_),
+    .B1(_05810_),
     .C1(_05813_),
     .X(_05814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11687_ (.A(_05814_),
+ sky130_fd_sc_hd__inv_2 _11600_ (.A(_05814_),
     .Y(_05815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11688_ (.A1_N(_05797_),
+ sky130_fd_sc_hd__a2bb2o_4 _11601_ (.A1_N(_05802_),
     .A2_N(_05815_),
-    .B1(\u_m1_cmd_fifo.mem[3][30] ),
-    .B2(_05797_),
-    .X(_00542_),
+    .B1(\u_m1_cmd_fifo.mem[3][14] ),
+    .B2(_05802_),
+    .X(_00527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11689_ (.A(_03280_),
+ sky130_fd_sc_hd__buf_2 _11602_ (.A(_05781_),
     .X(_05816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11690_ (.A(_05801_),
+ sky130_fd_sc_hd__and3_4 _11603_ (.A(_05749_),
+    .B(\u_spim_regs.spim_reg_wdata[13] ),
+    .C(_05805_),
     .X(_05817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11691_ (.A(_05817_),
+ sky130_fd_sc_hd__and3_4 _11604_ (.A(\u_spim_regs.cfg_m1_mode_reg[5] ),
+    .B(_05811_),
+    .C(_05812_),
     .X(_05818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11692_ (.A(_02674_),
-    .B(\u_spim_regs.spim_reg_wdata[29] ),
-    .C(_05818_),
+ sky130_fd_sc_hd__a211o_4 _11605_ (.A1(\u_spim_regs.cfg_m1_addr[13] ),
+    .A2(_05804_),
+    .B1(_05817_),
+    .C1(_05818_),
     .X(_05819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11693_ (.A(\u_spim_regs.cfg_m1_data_cnt[5] ),
-    .B(_05805_),
-    .C(_05808_),
-    .X(_05820_),
+ sky130_fd_sc_hd__inv_2 _11606_ (.A(_05819_),
+    .Y(_05820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11694_ (.A1(\u_spim_regs.cfg_m1_addr[29] ),
-    .A2(_05800_),
-    .B1(_05819_),
-    .C1(_05820_),
+ sky130_fd_sc_hd__a2bb2o_4 _11607_ (.A1_N(_05816_),
+    .A2_N(_05820_),
+    .B1(\u_m1_cmd_fifo.mem[3][13] ),
+    .B2(_05816_),
+    .X(_00526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11608_ (.A(_05721_),
     .X(_05821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11695_ (.A(_05821_),
-    .Y(_05822_),
+ sky130_fd_sc_hd__and3_4 _11609_ (.A(_05821_),
+    .B(\u_spim_regs.spim_reg_wdata[12] ),
+    .C(_05805_),
+    .X(_05822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11696_ (.A1_N(_05816_),
-    .A2_N(_05822_),
-    .B1(\u_m1_cmd_fifo.mem[3][29] ),
-    .B2(_05816_),
-    .X(_00541_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11697_ (.A(_02548_),
+ sky130_fd_sc_hd__and3_4 _11610_ (.A(\u_spim_regs.cfg_m1_mode_reg[4] ),
+    .B(_05811_),
+    .C(_05812_),
     .X(_05823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11698_ (.A(_05823_),
+ sky130_fd_sc_hd__a211o_4 _11611_ (.A1(\u_spim_regs.cfg_m1_addr[12] ),
+    .A2(_05804_),
+    .B1(_05822_),
+    .C1(_05823_),
     .X(_05824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11699_ (.A(_05824_),
-    .B(\u_spim_regs.spim_reg_wdata[28] ),
-    .C(_05818_),
-    .X(_05825_),
+ sky130_fd_sc_hd__inv_2 _11612_ (.A(_05824_),
+    .Y(_05825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11700_ (.A(_03674_),
+ sky130_fd_sc_hd__a2bb2o_4 _11613_ (.A1_N(_05816_),
+    .A2_N(_05825_),
+    .B1(\u_m1_cmd_fifo.mem[3][12] ),
+    .B2(_05816_),
+    .X(_00525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11614_ (.A(_03274_),
     .X(_05826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11701_ (.A(_05826_),
+ sky130_fd_sc_hd__buf_2 _11615_ (.A(_05826_),
     .X(_05827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11702_ (.A(_05806_),
+ sky130_fd_sc_hd__buf_2 _11616_ (.A(_05803_),
     .X(_05828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11703_ (.A(_05828_),
+ sky130_fd_sc_hd__buf_2 _11617_ (.A(_05699_),
     .X(_05829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11704_ (.A(_01679_),
-    .B(_05827_),
+ sky130_fd_sc_hd__and3_4 _11618_ (.A(_05821_),
+    .B(\u_spim_regs.spim_reg_wdata[11] ),
     .C(_05829_),
     .X(_05830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11705_ (.A1(\u_spim_regs.cfg_m1_addr[28] ),
-    .A2(_05800_),
-    .B1(_05825_),
-    .C1(_05830_),
+ sky130_fd_sc_hd__and3_4 _11619_ (.A(\u_spim_regs.cfg_m1_mode_reg[3] ),
+    .B(_05811_),
+    .C(_05812_),
     .X(_05831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11706_ (.A(_05831_),
-    .Y(_05832_),
+ sky130_fd_sc_hd__a211o_4 _11620_ (.A1(\u_spim_regs.cfg_m1_addr[11] ),
+    .A2(_05828_),
+    .B1(_05830_),
+    .C1(_05831_),
+    .X(_05832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11707_ (.A1_N(_05816_),
-    .A2_N(_05832_),
-    .B1(\u_m1_cmd_fifo.mem[3][28] ),
-    .B2(_05816_),
-    .X(_00540_),
+ sky130_fd_sc_hd__inv_2 _11621_ (.A(_05832_),
+    .Y(_05833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11708_ (.A(_03279_),
-    .X(_05833_),
+ sky130_fd_sc_hd__a2bb2o_4 _11622_ (.A1_N(_05827_),
+    .A2_N(_05833_),
+    .B1(\u_m1_cmd_fifo.mem[3][11] ),
+    .B2(_05827_),
+    .X(_00524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11709_ (.A(_05833_),
+ sky130_fd_sc_hd__and3_4 _11623_ (.A(_05821_),
+    .B(\u_spim_regs.spim_reg_wdata[10] ),
+    .C(_05829_),
     .X(_05834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11710_ (.A(_05834_),
+ sky130_fd_sc_hd__buf_2 _11624_ (.A(_05775_),
     .X(_05835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11711_ (.A(_05799_),
+ sky130_fd_sc_hd__buf_2 _11625_ (.A(_05705_),
     .X(_05836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11712_ (.A(_05824_),
-    .B(\u_spim_regs.spim_reg_wdata[27] ),
-    .C(_05818_),
+ sky130_fd_sc_hd__and3_4 _11626_ (.A(\u_spim_regs.cfg_m1_mode_reg[2] ),
+    .B(_05835_),
+    .C(_05836_),
     .X(_05837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11713_ (.A(_01728_),
-    .B(_05827_),
-    .C(_05829_),
+ sky130_fd_sc_hd__a211o_4 _11627_ (.A1(\u_spim_regs.cfg_m1_addr[10] ),
+    .A2(_05828_),
+    .B1(_05834_),
+    .C1(_05837_),
     .X(_05838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11714_ (.A1(\u_spim_regs.cfg_m1_addr[27] ),
-    .A2(_05836_),
-    .B1(_05837_),
-    .C1(_05838_),
-    .X(_05839_),
+ sky130_fd_sc_hd__inv_2 _11628_ (.A(_05838_),
+    .Y(_05839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11715_ (.A(_05839_),
-    .Y(_05840_),
+ sky130_fd_sc_hd__a2bb2o_4 _11629_ (.A1_N(_05827_),
+    .A2_N(_05839_),
+    .B1(\u_m1_cmd_fifo.mem[3][10] ),
+    .B2(_05827_),
+    .X(_00523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11716_ (.A1_N(_05835_),
-    .A2_N(_05840_),
-    .B1(\u_m1_cmd_fifo.mem[3][27] ),
-    .B2(_05835_),
-    .X(_00539_),
+ sky130_fd_sc_hd__buf_2 _11630_ (.A(_05826_),
+    .X(_05840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11717_ (.A(_05824_),
-    .B(\u_spim_regs.spim_reg_wdata[26] ),
-    .C(_05818_),
+ sky130_fd_sc_hd__a2bb2o_4 _11631_ (.A1_N(\u_spim_regs.cfg_m1_req ),
+    .A2_N(\u_spim_regs.spim_reg_wdata[9] ),
+    .B1(\u_spim_regs.cfg_m1_req ),
+    .B2(_03278_),
     .X(_05841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11718_ (.A(_01762_),
-    .B(_05827_),
-    .C(_05829_),
+ sky130_fd_sc_hd__buf_2 _11632_ (.A(_05803_),
     .X(_05842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11719_ (.A1(\u_spim_regs.cfg_m1_addr[26] ),
-    .A2(_05836_),
-    .B1(_05841_),
-    .C1(_05842_),
+ sky130_fd_sc_hd__a32o_4 _11633_ (.A1(\u_spim_regs.cfg_m1_mode_reg[1] ),
+    .A2(_05703_),
+    .A3(_05706_),
+    .B1(\u_spim_regs.cfg_m1_addr[9] ),
+    .B2(_05842_),
     .X(_05843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11720_ (.A(_05843_),
+ sky130_fd_sc_hd__inv_2 _11634_ (.A(_05843_),
     .Y(_05844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11721_ (.A1_N(_05835_),
-    .A2_N(_05844_),
-    .B1(\u_m1_cmd_fifo.mem[3][26] ),
-    .B2(_05835_),
-    .X(_00538_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11722_ (.A(_05834_),
+ sky130_fd_sc_hd__o21a_4 _11635_ (.A1(_02525_),
+    .A2(_05841_),
+    .B1(_05844_),
     .X(_05845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11723_ (.A(_05817_),
+ sky130_fd_sc_hd__a2bb2o_4 _11636_ (.A1_N(_05840_),
+    .A2_N(_05845_),
+    .B1(\u_m1_cmd_fifo.mem[3][9] ),
+    .B2(_05840_),
+    .X(_00522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11637_ (.A(_05821_),
+    .B(\u_spim_regs.spim_reg_wdata[8] ),
+    .C(_05829_),
     .X(_05846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11724_ (.A(_05824_),
-    .B(\u_spim_regs.spim_reg_wdata[25] ),
-    .C(_05846_),
+ sky130_fd_sc_hd__and3_4 _11638_ (.A(\u_spim_regs.cfg_m1_mode_reg[0] ),
+    .B(_05835_),
+    .C(_05836_),
     .X(_05847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11725_ (.A(_01795_),
-    .B(_05827_),
-    .C(_05829_),
+ sky130_fd_sc_hd__a211o_4 _11639_ (.A1(\u_spim_regs.cfg_m1_addr[8] ),
+    .A2(_05828_),
+    .B1(_05846_),
+    .C1(_05847_),
     .X(_05848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11726_ (.A1(\u_spim_regs.cfg_m1_addr[25] ),
-    .A2(_05836_),
-    .B1(_05847_),
-    .C1(_05848_),
-    .X(_05849_),
+ sky130_fd_sc_hd__inv_2 _11640_ (.A(_05848_),
+    .Y(_05849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11727_ (.A(_05849_),
-    .Y(_05850_),
+ sky130_fd_sc_hd__a2bb2o_4 _11641_ (.A1_N(_05840_),
+    .A2_N(_05849_),
+    .B1(\u_m1_cmd_fifo.mem[3][8] ),
+    .B2(_05840_),
+    .X(_00521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11728_ (.A1_N(_05845_),
-    .A2_N(_05850_),
-    .B1(\u_m1_cmd_fifo.mem[3][25] ),
-    .B2(_05845_),
-    .X(_00537_),
+ sky130_fd_sc_hd__buf_2 _11642_ (.A(_05826_),
+    .X(_05850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11729_ (.A(_05823_),
+ sky130_fd_sc_hd__buf_2 _11643_ (.A(_02671_),
     .X(_05851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11730_ (.A(_05851_),
-    .B(\u_spim_regs.spim_reg_wdata[24] ),
-    .C(_05846_),
+ sky130_fd_sc_hd__and3_4 _11644_ (.A(\u_spim_regs.spim_reg_wdata[7] ),
+    .B(_05851_),
+    .C(_05829_),
     .X(_05852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11731_ (.A(_05826_),
+ sky130_fd_sc_hd__and3_4 _11645_ (.A(\u_spim_regs.cfg_m1_cmd_reg[7] ),
+    .B(_05835_),
+    .C(_05836_),
     .X(_05853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11732_ (.A(_05828_),
+ sky130_fd_sc_hd__a211o_4 _11646_ (.A1(\u_spim_regs.cfg_m1_addr[7] ),
+    .A2(_05828_),
+    .B1(_05852_),
+    .C1(_05853_),
     .X(_05854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11733_ (.A(_01826_),
-    .B(_05853_),
-    .C(_05854_),
-    .X(_05855_),
+ sky130_fd_sc_hd__inv_2 _11647_ (.A(_05854_),
+    .Y(_05855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11734_ (.A1(\u_spim_regs.cfg_m1_addr[24] ),
-    .A2(_05836_),
-    .B1(_05852_),
-    .C1(_05855_),
+ sky130_fd_sc_hd__a2bb2o_4 _11648_ (.A1_N(_05850_),
+    .A2_N(_05855_),
+    .B1(\u_m1_cmd_fifo.mem[3][7] ),
+    .B2(_05850_),
+    .X(_00520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11649_ (.A(_05803_),
     .X(_05856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11735_ (.A(_05856_),
-    .Y(_05857_),
+ sky130_fd_sc_hd__buf_2 _11650_ (.A(_05699_),
+    .X(_05857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11736_ (.A1_N(_05845_),
-    .A2_N(_05857_),
-    .B1(\u_m1_cmd_fifo.mem[3][24] ),
-    .B2(_05845_),
-    .X(_00536_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11737_ (.A(_05834_),
+ sky130_fd_sc_hd__and3_4 _11651_ (.A(\u_spim_regs.spim_reg_wdata[6] ),
+    .B(_05851_),
+    .C(_05857_),
     .X(_05858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11738_ (.A(_05799_),
+ sky130_fd_sc_hd__and3_4 _11652_ (.A(\u_spim_regs.cfg_m1_cmd_reg[6] ),
+    .B(_05835_),
+    .C(_05836_),
     .X(_05859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11739_ (.A(_05823_),
+ sky130_fd_sc_hd__a211o_4 _11653_ (.A1(\u_spim_regs.cfg_m1_addr[6] ),
+    .A2(_05856_),
+    .B1(_05858_),
+    .C1(_05859_),
     .X(_05860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11740_ (.A(\u_spim_regs.spim_reg_wdata[23] ),
-    .B(_05860_),
-    .C(_05846_),
-    .X(_05861_),
+ sky130_fd_sc_hd__inv_2 _11654_ (.A(_05860_),
+    .Y(_05861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11741_ (.A(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
-    .B(_05853_),
-    .C(_05854_),
+ sky130_fd_sc_hd__a2bb2o_4 _11655_ (.A1_N(_05850_),
+    .A2_N(_05861_),
+    .B1(\u_m1_cmd_fifo.mem[3][6] ),
+    .B2(_05850_),
+    .X(_00519_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11656_ (.A(_05826_),
     .X(_05862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11742_ (.A1(\u_spim_regs.cfg_m1_addr[23] ),
-    .A2(_05859_),
-    .B1(_05861_),
-    .C1(_05862_),
+ sky130_fd_sc_hd__and3_4 _11657_ (.A(\u_spim_regs.spim_reg_wdata[5] ),
+    .B(_05851_),
+    .C(_05857_),
     .X(_05863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11743_ (.A(_05863_),
-    .Y(_05864_),
+ sky130_fd_sc_hd__buf_2 _11658_ (.A(_05775_),
+    .X(_05864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11744_ (.A1_N(_05858_),
-    .A2_N(_05864_),
-    .B1(\u_m1_cmd_fifo.mem[3][23] ),
-    .B2(_05858_),
-    .X(_00535_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11745_ (.A(\u_spim_regs.spim_reg_wdata[22] ),
-    .B(_05860_),
-    .C(_05846_),
+ sky130_fd_sc_hd__buf_2 _11659_ (.A(_05705_),
     .X(_05865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11746_ (.A(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
-    .B(_05853_),
-    .C(_05854_),
+ sky130_fd_sc_hd__and3_4 _11660_ (.A(\u_spim_regs.cfg_m1_cmd_reg[5] ),
+    .B(_05864_),
+    .C(_05865_),
     .X(_05866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11747_ (.A1(\u_spim_regs.cfg_m1_addr[22] ),
-    .A2(_05859_),
-    .B1(_05865_),
+ sky130_fd_sc_hd__a211o_4 _11661_ (.A1(\u_spim_regs.cfg_m1_addr[5] ),
+    .A2(_05856_),
+    .B1(_05863_),
     .C1(_05866_),
     .X(_05867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11748_ (.A(_05867_),
+ sky130_fd_sc_hd__inv_2 _11662_ (.A(_05867_),
     .Y(_05868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11749_ (.A1_N(_05858_),
+ sky130_fd_sc_hd__a2bb2o_4 _11663_ (.A1_N(_05862_),
     .A2_N(_05868_),
-    .B1(\u_m1_cmd_fifo.mem[3][22] ),
-    .B2(_05858_),
-    .X(_00534_),
+    .B1(\u_m1_cmd_fifo.mem[3][5] ),
+    .B2(_05862_),
+    .X(_00518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11750_ (.A(_05834_),
+ sky130_fd_sc_hd__and3_4 _11664_ (.A(\u_spim_regs.spim_reg_wdata[4] ),
+    .B(_05851_),
+    .C(_05857_),
     .X(_05869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11751_ (.A(_02673_),
+ sky130_fd_sc_hd__and3_4 _11665_ (.A(\u_spim_regs.cfg_m1_cmd_reg[4] ),
+    .B(_05864_),
+    .C(_05865_),
     .X(_05870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11752_ (.A(_05817_),
+ sky130_fd_sc_hd__a211o_4 _11666_ (.A1(\u_spim_regs.cfg_m1_addr[4] ),
+    .A2(_05856_),
+    .B1(_05869_),
+    .C1(_05870_),
     .X(_05871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11753_ (.A(\u_spim_regs.spim_reg_wdata[21] ),
-    .B(_05870_),
-    .C(_05871_),
-    .X(_05872_),
+ sky130_fd_sc_hd__inv_2 _11667_ (.A(_05871_),
+    .Y(_05872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11754_ (.A(\u_spim_regs.cfg_m1_addr_cnt[1] ),
-    .B(_05853_),
-    .C(_05854_),
+ sky130_fd_sc_hd__a2bb2o_4 _11668_ (.A1_N(_05862_),
+    .A2_N(_05872_),
+    .B1(\u_m1_cmd_fifo.mem[3][4] ),
+    .B2(_05862_),
+    .X(_00517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11669_ (.A(_05731_),
     .X(_05873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11755_ (.A1(\u_spim_regs.cfg_m1_addr[21] ),
-    .A2(_05859_),
-    .B1(_05872_),
-    .C1(_05873_),
+ sky130_fd_sc_hd__buf_2 _11670_ (.A(_02671_),
     .X(_05874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11756_ (.A(_05874_),
-    .Y(_05875_),
+ sky130_fd_sc_hd__and3_4 _11671_ (.A(\u_spim_regs.spim_reg_wdata[3] ),
+    .B(_05874_),
+    .C(_05857_),
+    .X(_05875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11757_ (.A1_N(_05869_),
-    .A2_N(_05875_),
-    .B1(\u_m1_cmd_fifo.mem[3][21] ),
-    .B2(_05869_),
-    .X(_00533_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11758_ (.A(\u_spim_regs.spim_reg_wdata[20] ),
-    .B(_05870_),
-    .C(_05871_),
+ sky130_fd_sc_hd__and3_4 _11672_ (.A(\u_spim_regs.cfg_m1_cmd_reg[3] ),
+    .B(_05864_),
+    .C(_05865_),
     .X(_05876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11759_ (.A(_03674_),
+ sky130_fd_sc_hd__a211o_4 _11673_ (.A1(\u_spim_regs.cfg_m1_addr[3] ),
+    .A2(_05856_),
+    .B1(_05875_),
+    .C1(_05876_),
     .X(_05877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11760_ (.A(_05877_),
-    .X(_05878_),
+ sky130_fd_sc_hd__inv_2 _11674_ (.A(_05877_),
+    .Y(_05878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11761_ (.A(_05828_),
+ sky130_fd_sc_hd__a2bb2o_4 _11675_ (.A1_N(_05873_),
+    .A2_N(_05878_),
+    .B1(\u_m1_cmd_fifo.mem[3][3] ),
+    .B2(_05873_),
+    .X(_00516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _11676_ (.A(\u_spim_regs.spim_reg_wdata[2] ),
+    .B(_05874_),
+    .C(_05700_),
     .X(_05879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11762_ (.A(\u_spim_regs.cfg_m1_addr_cnt[0] ),
-    .B(_05878_),
-    .C(_05879_),
+ sky130_fd_sc_hd__and3_4 _11677_ (.A(\u_spim_regs.cfg_m1_cmd_reg[2] ),
+    .B(_05864_),
+    .C(_05865_),
     .X(_05880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11763_ (.A1(\u_spim_regs.cfg_m1_addr[20] ),
-    .A2(_05859_),
-    .B1(_05876_),
+ sky130_fd_sc_hd__a211o_4 _11678_ (.A1(\u_spim_regs.cfg_m1_addr[2] ),
+    .A2(_05842_),
+    .B1(_05879_),
     .C1(_05880_),
     .X(_05881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11764_ (.A(_05881_),
+ sky130_fd_sc_hd__inv_2 _11679_ (.A(_05881_),
     .Y(_05882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11765_ (.A1_N(_05869_),
+ sky130_fd_sc_hd__a2bb2o_4 _11680_ (.A1_N(_05873_),
     .A2_N(_05882_),
-    .B1(\u_m1_cmd_fifo.mem[3][20] ),
-    .B2(_05869_),
-    .X(_00532_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11766_ (.A(_05833_),
-    .X(_05883_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11767_ (.A(_05883_),
-    .X(_05884_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11768_ (.A(_05799_),
-    .X(_05885_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11769_ (.A(_05807_),
-    .X(_05886_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11770_ (.A(_02553_),
-    .B(_01996_),
-    .C(_05886_),
-    .X(_05887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11771_ (.A(\u_spim_regs.spim_reg_wdata[19] ),
-    .B(_05860_),
-    .C(_05803_),
-    .X(_05888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11772_ (.A1(\u_spim_regs.cfg_m1_addr[19] ),
-    .A2(_05885_),
-    .B1(_05887_),
-    .C1(_05888_),
-    .X(_05889_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11773_ (.A(_05889_),
-    .Y(_05890_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11774_ (.A1_N(_05884_),
-    .A2_N(_05890_),
-    .B1(\u_m1_cmd_fifo.mem[3][19] ),
-    .B2(_05884_),
-    .X(_00531_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11775_ (.A(_01967_),
-    .B(_02554_),
-    .C(_05886_),
-    .X(_05891_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11776_ (.A(\u_spim_regs.spim_reg_wdata[18] ),
-    .B(_05860_),
-    .C(_05803_),
-    .X(_05892_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11777_ (.A1(\u_spim_regs.cfg_m1_addr[18] ),
-    .A2(_05885_),
-    .B1(_05891_),
-    .C1(_05892_),
-    .X(_05893_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11778_ (.A(_05893_),
-    .Y(_05894_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11779_ (.A1_N(_05884_),
-    .A2_N(_05894_),
-    .B1(\u_m1_cmd_fifo.mem[3][18] ),
-    .B2(_05884_),
-    .X(_00530_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11780_ (.A(_05883_),
-    .X(_05895_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11781_ (.A(\u_spim_regs.spim_reg_wdata[17] ),
-    .B(_05870_),
-    .C(_05871_),
-    .X(_05896_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11782_ (.A(_02556_),
-    .B(_05878_),
-    .C(_05879_),
-    .X(_05897_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11783_ (.A1(\u_spim_regs.cfg_m1_addr[17] ),
-    .A2(_05885_),
-    .B1(_05896_),
-    .C1(_05897_),
-    .X(_05898_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11784_ (.A(_05898_),
-    .Y(_05899_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11785_ (.A1_N(_05895_),
-    .A2_N(_05899_),
-    .B1(\u_m1_cmd_fifo.mem[3][17] ),
-    .B2(_05895_),
-    .X(_00529_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11786_ (.A(\u_spim_regs.spim_reg_wdata[16] ),
-    .B(_05870_),
-    .C(_05871_),
-    .X(_05900_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11787_ (.A(_02050_),
-    .B(_05878_),
-    .C(_05879_),
-    .X(_05901_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11788_ (.A1(\u_spim_regs.cfg_m1_addr[16] ),
-    .A2(_05885_),
-    .B1(_05900_),
-    .C1(_05901_),
-    .X(_05902_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11789_ (.A(_05902_),
-    .Y(_05903_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11790_ (.A1_N(_05895_),
-    .A2_N(_05903_),
-    .B1(\u_m1_cmd_fifo.mem[3][16] ),
-    .B2(_05895_),
-    .X(_00528_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11791_ (.A(_05883_),
-    .X(_05904_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11792_ (.A(_05798_),
-    .X(_05905_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11793_ (.A(_05905_),
-    .X(_05906_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11794_ (.A(_05817_),
-    .X(_05907_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11795_ (.A(_05851_),
-    .B(\u_spim_regs.spim_reg_wdata[15] ),
-    .C(_05907_),
-    .X(_05908_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11796_ (.A(\u_spim_regs.cfg_m1_mode_reg[7] ),
-    .B(_05878_),
-    .C(_05879_),
-    .X(_05909_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11797_ (.A1(\u_spim_regs.cfg_m1_addr[15] ),
-    .A2(_05906_),
-    .B1(_05908_),
-    .C1(_05909_),
-    .X(_05910_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11798_ (.A(_05910_),
-    .Y(_05911_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11799_ (.A1_N(_05904_),
-    .A2_N(_05911_),
-    .B1(\u_m1_cmd_fifo.mem[3][15] ),
-    .B2(_05904_),
-    .X(_00527_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11800_ (.A(_05851_),
-    .B(\u_spim_regs.spim_reg_wdata[14] ),
-    .C(_05907_),
-    .X(_05912_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11801_ (.A(_05877_),
-    .X(_05913_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11802_ (.A(_05828_),
-    .X(_05914_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11803_ (.A(\u_spim_regs.cfg_m1_mode_reg[6] ),
-    .B(_05913_),
-    .C(_05914_),
-    .X(_05915_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11804_ (.A1(\u_spim_regs.cfg_m1_addr[14] ),
-    .A2(_05906_),
-    .B1(_05912_),
-    .C1(_05915_),
-    .X(_05916_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11805_ (.A(_05916_),
-    .Y(_05917_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11806_ (.A1_N(_05904_),
-    .A2_N(_05917_),
-    .B1(\u_m1_cmd_fifo.mem[3][14] ),
-    .B2(_05904_),
-    .X(_00526_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11807_ (.A(_05883_),
-    .X(_05918_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11808_ (.A(_05851_),
-    .B(\u_spim_regs.spim_reg_wdata[13] ),
-    .C(_05907_),
-    .X(_05919_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11809_ (.A(\u_spim_regs.cfg_m1_mode_reg[5] ),
-    .B(_05913_),
-    .C(_05914_),
-    .X(_05920_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11810_ (.A1(\u_spim_regs.cfg_m1_addr[13] ),
-    .A2(_05906_),
-    .B1(_05919_),
-    .C1(_05920_),
-    .X(_05921_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11811_ (.A(_05921_),
-    .Y(_05922_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11812_ (.A1_N(_05918_),
-    .A2_N(_05922_),
-    .B1(\u_m1_cmd_fifo.mem[3][13] ),
-    .B2(_05918_),
-    .X(_00525_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11813_ (.A(_05823_),
-    .X(_05923_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11814_ (.A(_05923_),
-    .B(\u_spim_regs.spim_reg_wdata[12] ),
-    .C(_05907_),
-    .X(_05924_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11815_ (.A(\u_spim_regs.cfg_m1_mode_reg[4] ),
-    .B(_05913_),
-    .C(_05914_),
-    .X(_05925_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11816_ (.A1(\u_spim_regs.cfg_m1_addr[12] ),
-    .A2(_05906_),
-    .B1(_05924_),
-    .C1(_05925_),
-    .X(_05926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11817_ (.A(_05926_),
-    .Y(_05927_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11818_ (.A1_N(_05918_),
-    .A2_N(_05927_),
-    .B1(\u_m1_cmd_fifo.mem[3][12] ),
-    .B2(_05918_),
-    .X(_00524_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11819_ (.A(_03279_),
-    .X(_05928_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11820_ (.A(_05928_),
-    .X(_05929_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11821_ (.A(_05905_),
-    .X(_05930_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11822_ (.A(_05801_),
-    .X(_05931_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11823_ (.A(_05923_),
-    .B(\u_spim_regs.spim_reg_wdata[11] ),
-    .C(_05931_),
-    .X(_05932_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11824_ (.A(\u_spim_regs.cfg_m1_mode_reg[3] ),
-    .B(_05913_),
-    .C(_05914_),
-    .X(_05933_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11825_ (.A1(\u_spim_regs.cfg_m1_addr[11] ),
-    .A2(_05930_),
-    .B1(_05932_),
-    .C1(_05933_),
-    .X(_05934_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11826_ (.A(_05934_),
-    .Y(_05935_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11827_ (.A1_N(_05929_),
-    .A2_N(_05935_),
-    .B1(\u_m1_cmd_fifo.mem[3][11] ),
-    .B2(_05929_),
-    .X(_00523_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11828_ (.A(_05923_),
-    .B(\u_spim_regs.spim_reg_wdata[10] ),
-    .C(_05931_),
-    .X(_05936_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11829_ (.A(_05877_),
-    .X(_05937_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11830_ (.A(_05807_),
-    .X(_05938_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11831_ (.A(\u_spim_regs.cfg_m1_mode_reg[2] ),
-    .B(_05937_),
-    .C(_05938_),
-    .X(_05939_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11832_ (.A1(\u_spim_regs.cfg_m1_addr[10] ),
-    .A2(_05930_),
-    .B1(_05936_),
-    .C1(_05939_),
-    .X(_05940_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11833_ (.A(_05940_),
-    .Y(_05941_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11834_ (.A1_N(_05929_),
-    .A2_N(_05941_),
-    .B1(\u_m1_cmd_fifo.mem[3][10] ),
-    .B2(_05929_),
-    .X(_00522_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11835_ (.A(_05928_),
-    .X(_05942_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11836_ (.A1_N(\u_spim_regs.cfg_m1_req ),
-    .A2_N(\u_spim_regs.spim_reg_wdata[9] ),
-    .B1(_02574_),
-    .B2(\u_spim_regs.cfg_m1_req ),
-    .X(_05943_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11837_ (.A(_05905_),
-    .X(_05944_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _11838_ (.A1(\u_spim_regs.cfg_m1_mode_reg[1] ),
-    .A2(_05805_),
-    .A3(_05808_),
-    .B1(\u_spim_regs.cfg_m1_addr[9] ),
-    .B2(_05944_),
-    .X(_05945_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11839_ (.A(_05945_),
-    .Y(_05946_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _11840_ (.A1(_02552_),
-    .A2(_05943_),
-    .B1(_05946_),
-    .X(_05947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11841_ (.A1_N(_05942_),
-    .A2_N(_05947_),
-    .B1(\u_m1_cmd_fifo.mem[3][9] ),
-    .B2(_05942_),
-    .X(_00521_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11842_ (.A(_05923_),
-    .B(\u_spim_regs.spim_reg_wdata[8] ),
-    .C(_05931_),
-    .X(_05948_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11843_ (.A(\u_spim_regs.cfg_m1_mode_reg[0] ),
-    .B(_05937_),
-    .C(_05938_),
-    .X(_05949_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11844_ (.A1(\u_spim_regs.cfg_m1_addr[8] ),
-    .A2(_05930_),
-    .B1(_05948_),
-    .C1(_05949_),
-    .X(_05950_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11845_ (.A(_05950_),
-    .Y(_05951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11846_ (.A1_N(_05942_),
-    .A2_N(_05951_),
-    .B1(\u_m1_cmd_fifo.mem[3][8] ),
-    .B2(_05942_),
-    .X(_00520_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11847_ (.A(_05928_),
-    .X(_05952_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11848_ (.A(_02673_),
-    .X(_05953_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11849_ (.A(\u_spim_regs.spim_reg_wdata[7] ),
-    .B(_05953_),
-    .C(_05931_),
-    .X(_05954_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11850_ (.A(\u_spim_regs.cfg_m1_cmd_reg[7] ),
-    .B(_05937_),
-    .C(_05938_),
-    .X(_05955_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11851_ (.A1(\u_spim_regs.cfg_m1_addr[7] ),
-    .A2(_05930_),
-    .B1(_05954_),
-    .C1(_05955_),
-    .X(_05956_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11852_ (.A(_05956_),
-    .Y(_05957_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11853_ (.A1_N(_05952_),
-    .A2_N(_05957_),
-    .B1(\u_m1_cmd_fifo.mem[3][7] ),
-    .B2(_05952_),
-    .X(_00519_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11854_ (.A(_05905_),
-    .X(_05958_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11855_ (.A(_05801_),
-    .X(_05959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11856_ (.A(\u_spim_regs.spim_reg_wdata[6] ),
-    .B(_05953_),
-    .C(_05959_),
-    .X(_05960_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11857_ (.A(\u_spim_regs.cfg_m1_cmd_reg[6] ),
-    .B(_05937_),
-    .C(_05938_),
-    .X(_05961_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11858_ (.A1(\u_spim_regs.cfg_m1_addr[6] ),
-    .A2(_05958_),
-    .B1(_05960_),
-    .C1(_05961_),
-    .X(_05962_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11859_ (.A(_05962_),
-    .Y(_05963_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11860_ (.A1_N(_05952_),
-    .A2_N(_05963_),
-    .B1(\u_m1_cmd_fifo.mem[3][6] ),
-    .B2(_05952_),
-    .X(_00518_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11861_ (.A(_05928_),
-    .X(_05964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11862_ (.A(\u_spim_regs.spim_reg_wdata[5] ),
-    .B(_05953_),
-    .C(_05959_),
-    .X(_05965_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11863_ (.A(_05877_),
-    .X(_05966_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11864_ (.A(_05807_),
-    .X(_05967_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11865_ (.A(\u_spim_regs.cfg_m1_cmd_reg[5] ),
-    .B(_05966_),
-    .C(_05967_),
-    .X(_05968_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11866_ (.A1(\u_spim_regs.cfg_m1_addr[5] ),
-    .A2(_05958_),
-    .B1(_05965_),
-    .C1(_05968_),
-    .X(_05969_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11867_ (.A(_05969_),
-    .Y(_05970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11868_ (.A1_N(_05964_),
-    .A2_N(_05970_),
-    .B1(\u_m1_cmd_fifo.mem[3][5] ),
-    .B2(_05964_),
-    .X(_00517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11869_ (.A(\u_spim_regs.spim_reg_wdata[4] ),
-    .B(_05953_),
-    .C(_05959_),
-    .X(_05971_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11870_ (.A(\u_spim_regs.cfg_m1_cmd_reg[4] ),
-    .B(_05966_),
-    .C(_05967_),
-    .X(_05972_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11871_ (.A1(\u_spim_regs.cfg_m1_addr[4] ),
-    .A2(_05958_),
-    .B1(_05971_),
-    .C1(_05972_),
-    .X(_05973_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11872_ (.A(_05973_),
-    .Y(_05974_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11873_ (.A1_N(_05964_),
-    .A2_N(_05974_),
-    .B1(\u_m1_cmd_fifo.mem[3][4] ),
-    .B2(_05964_),
-    .X(_00516_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11874_ (.A(_05833_),
-    .X(_05975_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11875_ (.A(_02673_),
-    .X(_05976_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11876_ (.A(\u_spim_regs.spim_reg_wdata[3] ),
-    .B(_05976_),
-    .C(_05959_),
-    .X(_05977_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11877_ (.A(\u_spim_regs.cfg_m1_cmd_reg[3] ),
-    .B(_05966_),
-    .C(_05967_),
-    .X(_05978_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11878_ (.A1(\u_spim_regs.cfg_m1_addr[3] ),
-    .A2(_05958_),
-    .B1(_05977_),
-    .C1(_05978_),
-    .X(_05979_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11879_ (.A(_05979_),
-    .Y(_05980_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11880_ (.A1_N(_05975_),
-    .A2_N(_05980_),
-    .B1(\u_m1_cmd_fifo.mem[3][3] ),
-    .B2(_05975_),
+    .B1(\u_m1_cmd_fifo.mem[3][2] ),
+    .B2(_05873_),
     .X(_00515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11881_ (.A(\u_spim_regs.spim_reg_wdata[2] ),
-    .B(_05976_),
-    .C(_05802_),
-    .X(_05981_),
+ sky130_fd_sc_hd__buf_2 _11681_ (.A(_05731_),
+    .X(_05883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11882_ (.A(\u_spim_regs.cfg_m1_cmd_reg[2] ),
-    .B(_05966_),
-    .C(_05967_),
-    .X(_05982_),
+ sky130_fd_sc_hd__and3_4 _11682_ (.A(\u_spim_regs.spim_reg_wdata[1] ),
+    .B(_05874_),
+    .C(_05700_),
+    .X(_05884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11883_ (.A1(\u_spim_regs.cfg_m1_addr[2] ),
-    .A2(_05944_),
-    .B1(_05981_),
-    .C1(_05982_),
-    .X(_05983_),
+ sky130_fd_sc_hd__and3_4 _11683_ (.A(\u_spim_regs.cfg_m1_cmd_reg[1] ),
+    .B(_05724_),
+    .C(_05784_),
+    .X(_05885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11884_ (.A(_05983_),
-    .Y(_05984_),
+ sky130_fd_sc_hd__a211o_4 _11684_ (.A1(\u_spim_regs.cfg_m1_addr[1] ),
+    .A2(_05842_),
+    .B1(_05884_),
+    .C1(_05885_),
+    .X(_05886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11885_ (.A1_N(_05975_),
-    .A2_N(_05984_),
-    .B1(\u_m1_cmd_fifo.mem[3][2] ),
-    .B2(_05975_),
+ sky130_fd_sc_hd__inv_2 _11685_ (.A(_05886_),
+    .Y(_05887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _11686_ (.A1_N(_05883_),
+    .A2_N(_05887_),
+    .B1(\u_m1_cmd_fifo.mem[3][1] ),
+    .B2(_05883_),
     .X(_00514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11886_ (.A(_05833_),
-    .X(_05985_),
+ sky130_fd_sc_hd__and3_4 _11687_ (.A(\u_spim_regs.spim_reg_wdata[0] ),
+    .B(_05874_),
+    .C(_05700_),
+    .X(_05888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11887_ (.A(\u_spim_regs.spim_reg_wdata[1] ),
-    .B(_05976_),
-    .C(_05802_),
-    .X(_05986_),
+ sky130_fd_sc_hd__and3_4 _11688_ (.A(\u_spim_regs.cfg_m1_cmd_reg[0] ),
+    .B(_05724_),
+    .C(_05784_),
+    .X(_05889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11888_ (.A(\u_spim_regs.cfg_m1_cmd_reg[1] ),
-    .B(_05826_),
-    .C(_05886_),
-    .X(_05987_),
+ sky130_fd_sc_hd__a211o_4 _11689_ (.A1(\u_spim_regs.cfg_m1_addr[0] ),
+    .A2(_05842_),
+    .B1(_05888_),
+    .C1(_05889_),
+    .X(_05890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11889_ (.A1(\u_spim_regs.cfg_m1_addr[1] ),
-    .A2(_05944_),
-    .B1(_05986_),
-    .C1(_05987_),
-    .X(_05988_),
+ sky130_fd_sc_hd__inv_2 _11690_ (.A(_05890_),
+    .Y(_05891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11890_ (.A(_05988_),
-    .Y(_05989_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11891_ (.A1_N(_05985_),
-    .A2_N(_05989_),
-    .B1(\u_m1_cmd_fifo.mem[3][1] ),
-    .B2(_05985_),
+ sky130_fd_sc_hd__a2bb2o_4 _11691_ (.A1_N(_05883_),
+    .A2_N(_05891_),
+    .B1(\u_m1_cmd_fifo.mem[3][0] ),
+    .B2(_05883_),
     .X(_00513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11892_ (.A(\u_spim_regs.spim_reg_wdata[0] ),
-    .B(_05976_),
-    .C(_05802_),
-    .X(_05990_),
+ sky130_fd_sc_hd__buf_2 _11692_ (.A(\u_m1_res_fifo.wr_ptr[0] ),
+    .X(_05892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _11893_ (.A(\u_spim_regs.cfg_m1_cmd_reg[0] ),
-    .B(_05826_),
-    .C(_05886_),
-    .X(_05991_),
+ sky130_fd_sc_hd__buf_2 _11693_ (.A(\u_m1_res_fifo.wr_ptr[2] ),
+    .X(_05893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _11894_ (.A1(\u_spim_regs.cfg_m1_addr[0] ),
-    .A2(_05944_),
-    .B1(_05990_),
-    .C1(_05991_),
-    .X(_05992_),
+ sky130_fd_sc_hd__or4_4 _11694_ (.A(_05892_),
+    .B(_05227_),
+    .C(_05893_),
+    .D(_05223_),
+    .X(_05894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11895_ (.A(_05992_),
-    .Y(_05993_),
+ sky130_fd_sc_hd__buf_2 _11695_ (.A(_05894_),
+    .X(_05895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _11896_ (.A1_N(_05985_),
-    .A2_N(_05993_),
-    .B1(\u_m1_cmd_fifo.mem[3][0] ),
-    .B2(_05985_),
+ sky130_fd_sc_hd__buf_2 _11696_ (.A(_05895_),
+    .X(_05896_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11697_ (.A(_05894_),
+    .Y(_05897_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11698_ (.A(_05897_),
+    .X(_05898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11699_ (.A(_05898_),
+    .X(_05899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11700_ (.A1(_05314_),
+    .A2(_05896_),
+    .B1(\u_m1_res_fifo.mem[0][31] ),
+    .B2(_05899_),
     .X(_00512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11897_ (.A(\u_m1_res_fifo.wr_ptr[0] ),
-    .X(_05994_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11898_ (.A(\u_m1_res_fifo.wr_ptr[2] ),
-    .X(_05995_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _11899_ (.A(_05994_),
-    .B(_05377_),
-    .C(_05995_),
-    .D(_05373_),
-    .X(_05996_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11900_ (.A(_05996_),
-    .X(_05997_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11901_ (.A(_05997_),
-    .X(_05998_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11902_ (.A(_05996_),
-    .Y(_05999_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11903_ (.A(_05999_),
-    .X(_06000_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11904_ (.A(_06000_),
-    .X(_06001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11905_ (.A1(_05416_),
-    .A2(_05998_),
-    .B1(\u_m1_res_fifo.mem[0][31] ),
-    .B2(_06001_),
+ sky130_fd_sc_hd__o22a_4 _11701_ (.A1(_05317_),
+    .A2(_05896_),
+    .B1(\u_m1_res_fifo.mem[0][30] ),
+    .B2(_05899_),
     .X(_00511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11906_ (.A1(_05419_),
-    .A2(_05998_),
-    .B1(\u_m1_res_fifo.mem[0][30] ),
-    .B2(_06001_),
+ sky130_fd_sc_hd__o22a_4 _11702_ (.A1(_05319_),
+    .A2(_05896_),
+    .B1(\u_m1_res_fifo.mem[0][29] ),
+    .B2(_05899_),
     .X(_00510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11907_ (.A1(_05421_),
-    .A2(_05998_),
-    .B1(\u_m1_res_fifo.mem[0][29] ),
-    .B2(_06001_),
+ sky130_fd_sc_hd__o22a_4 _11703_ (.A1(_05323_),
+    .A2(_05896_),
+    .B1(\u_m1_res_fifo.mem[0][28] ),
+    .B2(_05899_),
     .X(_00509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11908_ (.A1(_05425_),
-    .A2(_05998_),
-    .B1(\u_m1_res_fifo.mem[0][28] ),
-    .B2(_06001_),
+ sky130_fd_sc_hd__buf_2 _11704_ (.A(_05895_),
+    .X(_05900_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11705_ (.A(_05898_),
+    .X(_05901_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11706_ (.A1(_05326_),
+    .A2(_05900_),
+    .B1(\u_m1_res_fifo.mem[0][27] ),
+    .B2(_05901_),
     .X(_00508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11909_ (.A(_05997_),
-    .X(_06002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11910_ (.A(_06000_),
-    .X(_06003_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11911_ (.A1(_05428_),
-    .A2(_06002_),
-    .B1(\u_m1_res_fifo.mem[0][27] ),
-    .B2(_06003_),
+ sky130_fd_sc_hd__o22a_4 _11707_ (.A1(_05329_),
+    .A2(_05900_),
+    .B1(\u_m1_res_fifo.mem[0][26] ),
+    .B2(_05901_),
     .X(_00507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11912_ (.A1(_05431_),
-    .A2(_06002_),
-    .B1(\u_m1_res_fifo.mem[0][26] ),
-    .B2(_06003_),
+ sky130_fd_sc_hd__o22a_4 _11708_ (.A1(_05331_),
+    .A2(_05900_),
+    .B1(\u_m1_res_fifo.mem[0][25] ),
+    .B2(_05901_),
     .X(_00506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11913_ (.A1(_05433_),
-    .A2(_06002_),
-    .B1(\u_m1_res_fifo.mem[0][25] ),
-    .B2(_06003_),
+ sky130_fd_sc_hd__o22a_4 _11709_ (.A1(_05334_),
+    .A2(_05900_),
+    .B1(\u_m1_res_fifo.mem[0][24] ),
+    .B2(_05901_),
     .X(_00505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11914_ (.A1(_05436_),
-    .A2(_06002_),
-    .B1(\u_m1_res_fifo.mem[0][24] ),
-    .B2(_06003_),
+ sky130_fd_sc_hd__buf_2 _11710_ (.A(_05895_),
+    .X(_05902_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11711_ (.A(_05898_),
+    .X(_05903_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11712_ (.A1(_05337_),
+    .A2(_05902_),
+    .B1(\u_m1_res_fifo.mem[0][23] ),
+    .B2(_05903_),
     .X(_00504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11915_ (.A(_05997_),
-    .X(_06004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11916_ (.A(_06000_),
-    .X(_06005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11917_ (.A1(_05439_),
-    .A2(_06004_),
-    .B1(\u_m1_res_fifo.mem[0][23] ),
-    .B2(_06005_),
+ sky130_fd_sc_hd__o22a_4 _11713_ (.A1(_05340_),
+    .A2(_05902_),
+    .B1(\u_m1_res_fifo.mem[0][22] ),
+    .B2(_05903_),
     .X(_00503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11918_ (.A1(_05442_),
-    .A2(_06004_),
-    .B1(\u_m1_res_fifo.mem[0][22] ),
-    .B2(_06005_),
+ sky130_fd_sc_hd__o22a_4 _11714_ (.A1(_05342_),
+    .A2(_05902_),
+    .B1(\u_m1_res_fifo.mem[0][21] ),
+    .B2(_05903_),
     .X(_00502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11919_ (.A1(_05444_),
-    .A2(_06004_),
-    .B1(\u_m1_res_fifo.mem[0][21] ),
-    .B2(_06005_),
+ sky130_fd_sc_hd__o22a_4 _11715_ (.A1(_05345_),
+    .A2(_05902_),
+    .B1(\u_m1_res_fifo.mem[0][20] ),
+    .B2(_05903_),
     .X(_00501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11920_ (.A1(_05447_),
-    .A2(_06004_),
-    .B1(\u_m1_res_fifo.mem[0][20] ),
-    .B2(_06005_),
+ sky130_fd_sc_hd__buf_2 _11716_ (.A(_05895_),
+    .X(_05904_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11717_ (.A(_05898_),
+    .X(_05905_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11718_ (.A1(_05348_),
+    .A2(_05904_),
+    .B1(\u_m1_res_fifo.mem[0][19] ),
+    .B2(_05905_),
     .X(_00500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11921_ (.A(_05997_),
-    .X(_06006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11922_ (.A(_06000_),
-    .X(_06007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11923_ (.A1(_05450_),
-    .A2(_06006_),
-    .B1(\u_m1_res_fifo.mem[0][19] ),
-    .B2(_06007_),
+ sky130_fd_sc_hd__o22a_4 _11719_ (.A1(_05352_),
+    .A2(_05904_),
+    .B1(\u_m1_res_fifo.mem[0][18] ),
+    .B2(_05905_),
     .X(_00499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11924_ (.A1(_05454_),
-    .A2(_06006_),
-    .B1(\u_m1_res_fifo.mem[0][18] ),
-    .B2(_06007_),
+ sky130_fd_sc_hd__o22a_4 _11720_ (.A1(_05354_),
+    .A2(_05904_),
+    .B1(\u_m1_res_fifo.mem[0][17] ),
+    .B2(_05905_),
     .X(_00498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11925_ (.A1(_05456_),
-    .A2(_06006_),
-    .B1(\u_m1_res_fifo.mem[0][17] ),
-    .B2(_06007_),
+ sky130_fd_sc_hd__o22a_4 _11721_ (.A1(_05358_),
+    .A2(_05904_),
+    .B1(\u_m1_res_fifo.mem[0][16] ),
+    .B2(_05905_),
     .X(_00497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11926_ (.A1(_05460_),
-    .A2(_06006_),
-    .B1(\u_m1_res_fifo.mem[0][16] ),
-    .B2(_06007_),
+ sky130_fd_sc_hd__buf_2 _11722_ (.A(_05894_),
+    .X(_05906_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11723_ (.A(_05906_),
+    .X(_05907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11724_ (.A(_05897_),
+    .X(_05908_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11725_ (.A(_05908_),
+    .X(_05909_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11726_ (.A1(_05362_),
+    .A2(_05907_),
+    .B1(\u_m1_res_fifo.mem[0][15] ),
+    .B2(_05909_),
     .X(_00496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11927_ (.A(_05996_),
-    .X(_06008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11928_ (.A(_06008_),
-    .X(_06009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11929_ (.A(_05999_),
-    .X(_06010_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11930_ (.A(_06010_),
-    .X(_06011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11931_ (.A1(_05464_),
-    .A2(_06009_),
-    .B1(\u_m1_res_fifo.mem[0][15] ),
-    .B2(_06011_),
+ sky130_fd_sc_hd__o22a_4 _11727_ (.A1(_05365_),
+    .A2(_05907_),
+    .B1(\u_m1_res_fifo.mem[0][14] ),
+    .B2(_05909_),
     .X(_00495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11932_ (.A1(_05467_),
-    .A2(_06009_),
-    .B1(\u_m1_res_fifo.mem[0][14] ),
-    .B2(_06011_),
+ sky130_fd_sc_hd__o22a_4 _11728_ (.A1(_05367_),
+    .A2(_05907_),
+    .B1(\u_m1_res_fifo.mem[0][13] ),
+    .B2(_05909_),
     .X(_00494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11933_ (.A1(_05469_),
-    .A2(_06009_),
-    .B1(\u_m1_res_fifo.mem[0][13] ),
-    .B2(_06011_),
+ sky130_fd_sc_hd__o22a_4 _11729_ (.A1(_05370_),
+    .A2(_05907_),
+    .B1(\u_m1_res_fifo.mem[0][12] ),
+    .B2(_05909_),
     .X(_00493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11934_ (.A1(_05472_),
-    .A2(_06009_),
-    .B1(\u_m1_res_fifo.mem[0][12] ),
-    .B2(_06011_),
+ sky130_fd_sc_hd__buf_2 _11730_ (.A(_05906_),
+    .X(_05910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11731_ (.A(_05908_),
+    .X(_05911_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11732_ (.A1(_05373_),
+    .A2(_05910_),
+    .B1(\u_m1_res_fifo.mem[0][11] ),
+    .B2(_05911_),
     .X(_00492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11935_ (.A(_06008_),
-    .X(_06012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11936_ (.A(_06010_),
-    .X(_06013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11937_ (.A1(_05475_),
-    .A2(_06012_),
-    .B1(\u_m1_res_fifo.mem[0][11] ),
-    .B2(_06013_),
+ sky130_fd_sc_hd__o22a_4 _11733_ (.A1(_05376_),
+    .A2(_05910_),
+    .B1(\u_m1_res_fifo.mem[0][10] ),
+    .B2(_05911_),
     .X(_00491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11938_ (.A1(_05478_),
-    .A2(_06012_),
-    .B1(\u_m1_res_fifo.mem[0][10] ),
-    .B2(_06013_),
+ sky130_fd_sc_hd__o22a_4 _11734_ (.A1(_05378_),
+    .A2(_05910_),
+    .B1(\u_m1_res_fifo.mem[0][9] ),
+    .B2(_05911_),
     .X(_00490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11939_ (.A1(_05480_),
-    .A2(_06012_),
-    .B1(\u_m1_res_fifo.mem[0][9] ),
-    .B2(_06013_),
+ sky130_fd_sc_hd__o22a_4 _11735_ (.A1(_05381_),
+    .A2(_05910_),
+    .B1(\u_m1_res_fifo.mem[0][8] ),
+    .B2(_05911_),
     .X(_00489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11940_ (.A1(_05483_),
-    .A2(_06012_),
-    .B1(\u_m1_res_fifo.mem[0][8] ),
-    .B2(_06013_),
+ sky130_fd_sc_hd__buf_2 _11736_ (.A(_05906_),
+    .X(_05912_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11737_ (.A(_05908_),
+    .X(_05913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11738_ (.A1(_05384_),
+    .A2(_05912_),
+    .B1(\u_m1_res_fifo.mem[0][7] ),
+    .B2(_05913_),
     .X(_00488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11941_ (.A(_06008_),
-    .X(_06014_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11942_ (.A(_06010_),
-    .X(_06015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11943_ (.A1(_05486_),
-    .A2(_06014_),
-    .B1(\u_m1_res_fifo.mem[0][7] ),
-    .B2(_06015_),
+ sky130_fd_sc_hd__o22a_4 _11739_ (.A1(_05387_),
+    .A2(_05912_),
+    .B1(\u_m1_res_fifo.mem[0][6] ),
+    .B2(_05913_),
     .X(_00487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11944_ (.A1(_05489_),
-    .A2(_06014_),
-    .B1(\u_m1_res_fifo.mem[0][6] ),
-    .B2(_06015_),
+ sky130_fd_sc_hd__o22a_4 _11740_ (.A1(_05389_),
+    .A2(_05912_),
+    .B1(\u_m1_res_fifo.mem[0][5] ),
+    .B2(_05913_),
     .X(_00486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11945_ (.A1(_05491_),
-    .A2(_06014_),
-    .B1(\u_m1_res_fifo.mem[0][5] ),
-    .B2(_06015_),
+ sky130_fd_sc_hd__o22a_4 _11741_ (.A1(_05392_),
+    .A2(_05912_),
+    .B1(\u_m1_res_fifo.mem[0][4] ),
+    .B2(_05913_),
     .X(_00485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11946_ (.A1(_05494_),
-    .A2(_06014_),
-    .B1(\u_m1_res_fifo.mem[0][4] ),
-    .B2(_06015_),
+ sky130_fd_sc_hd__buf_2 _11742_ (.A(_05906_),
+    .X(_05914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11743_ (.A(_05908_),
+    .X(_05915_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11744_ (.A1(_05395_),
+    .A2(_05914_),
+    .B1(\u_m1_res_fifo.mem[0][3] ),
+    .B2(_05915_),
     .X(_00484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11947_ (.A(_06008_),
-    .X(_06016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11948_ (.A(_06010_),
-    .X(_06017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11949_ (.A1(_05497_),
-    .A2(_06016_),
-    .B1(\u_m1_res_fifo.mem[0][3] ),
-    .B2(_06017_),
+ sky130_fd_sc_hd__o22a_4 _11745_ (.A1(_05398_),
+    .A2(_05914_),
+    .B1(\u_m1_res_fifo.mem[0][2] ),
+    .B2(_05915_),
     .X(_00483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11950_ (.A1(_05500_),
-    .A2(_06016_),
-    .B1(\u_m1_res_fifo.mem[0][2] ),
-    .B2(_06017_),
+ sky130_fd_sc_hd__o22a_4 _11746_ (.A1(_05400_),
+    .A2(_05914_),
+    .B1(\u_m1_res_fifo.mem[0][1] ),
+    .B2(_05915_),
     .X(_00482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11951_ (.A1(_05502_),
-    .A2(_06016_),
-    .B1(\u_m1_res_fifo.mem[0][1] ),
-    .B2(_06017_),
+ sky130_fd_sc_hd__o22a_4 _11747_ (.A1(_05402_),
+    .A2(_05914_),
+    .B1(\u_m1_res_fifo.mem[0][0] ),
+    .B2(_05915_),
     .X(_00481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11952_ (.A1(_05504_),
-    .A2(_06016_),
-    .B1(\u_m1_res_fifo.mem[0][0] ),
-    .B2(_06017_),
+ sky130_fd_sc_hd__or4_4 _11748_ (.A(_05212_),
+    .B(_05227_),
+    .C(_05893_),
+    .D(_05223_),
+    .X(_05916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11749_ (.A(_05916_),
+    .X(_05917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11750_ (.A(_05917_),
+    .X(_05918_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11751_ (.A(_05916_),
+    .Y(_05919_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11752_ (.A(_05919_),
+    .X(_05920_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11753_ (.A(_05920_),
+    .X(_05921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11754_ (.A1(_05314_),
+    .A2(_05918_),
+    .B1(\u_m1_res_fifo.mem[1][31] ),
+    .B2(_05921_),
     .X(_00480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _11953_ (.A(_05362_),
-    .B(_05377_),
-    .C(_05995_),
-    .D(_05373_),
-    .X(_06018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11954_ (.A(_06018_),
-    .X(_06019_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11955_ (.A(_06019_),
-    .X(_06020_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _11956_ (.A(_06018_),
-    .Y(_06021_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11957_ (.A(_06021_),
-    .X(_06022_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11958_ (.A(_06022_),
-    .X(_06023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11959_ (.A1(_05416_),
-    .A2(_06020_),
-    .B1(\u_m1_res_fifo.mem[1][31] ),
-    .B2(_06023_),
+ sky130_fd_sc_hd__o22a_4 _11755_ (.A1(_05317_),
+    .A2(_05918_),
+    .B1(\u_m1_res_fifo.mem[1][30] ),
+    .B2(_05921_),
     .X(_00479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11960_ (.A1(_05419_),
-    .A2(_06020_),
-    .B1(\u_m1_res_fifo.mem[1][30] ),
-    .B2(_06023_),
+ sky130_fd_sc_hd__o22a_4 _11756_ (.A1(_05319_),
+    .A2(_05918_),
+    .B1(\u_m1_res_fifo.mem[1][29] ),
+    .B2(_05921_),
     .X(_00478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11961_ (.A1(_05421_),
-    .A2(_06020_),
-    .B1(\u_m1_res_fifo.mem[1][29] ),
-    .B2(_06023_),
+ sky130_fd_sc_hd__o22a_4 _11757_ (.A1(_05323_),
+    .A2(_05918_),
+    .B1(\u_m1_res_fifo.mem[1][28] ),
+    .B2(_05921_),
     .X(_00477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11962_ (.A1(_05425_),
-    .A2(_06020_),
-    .B1(\u_m1_res_fifo.mem[1][28] ),
-    .B2(_06023_),
+ sky130_fd_sc_hd__buf_2 _11758_ (.A(_05917_),
+    .X(_05922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11759_ (.A(_05920_),
+    .X(_05923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11760_ (.A1(_05326_),
+    .A2(_05922_),
+    .B1(\u_m1_res_fifo.mem[1][27] ),
+    .B2(_05923_),
     .X(_00476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11963_ (.A(_06019_),
-    .X(_06024_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11964_ (.A(_06022_),
-    .X(_06025_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11965_ (.A1(_05428_),
-    .A2(_06024_),
-    .B1(\u_m1_res_fifo.mem[1][27] ),
-    .B2(_06025_),
+ sky130_fd_sc_hd__o22a_4 _11761_ (.A1(_05329_),
+    .A2(_05922_),
+    .B1(\u_m1_res_fifo.mem[1][26] ),
+    .B2(_05923_),
     .X(_00475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11966_ (.A1(_05431_),
-    .A2(_06024_),
-    .B1(\u_m1_res_fifo.mem[1][26] ),
-    .B2(_06025_),
+ sky130_fd_sc_hd__o22a_4 _11762_ (.A1(_05331_),
+    .A2(_05922_),
+    .B1(\u_m1_res_fifo.mem[1][25] ),
+    .B2(_05923_),
     .X(_00474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11967_ (.A1(_05433_),
-    .A2(_06024_),
-    .B1(\u_m1_res_fifo.mem[1][25] ),
-    .B2(_06025_),
+ sky130_fd_sc_hd__o22a_4 _11763_ (.A1(_05334_),
+    .A2(_05922_),
+    .B1(\u_m1_res_fifo.mem[1][24] ),
+    .B2(_05923_),
     .X(_00473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11968_ (.A1(_05436_),
-    .A2(_06024_),
-    .B1(\u_m1_res_fifo.mem[1][24] ),
-    .B2(_06025_),
+ sky130_fd_sc_hd__buf_2 _11764_ (.A(_05917_),
+    .X(_05924_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11765_ (.A(_05920_),
+    .X(_05925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11766_ (.A1(_05337_),
+    .A2(_05924_),
+    .B1(\u_m1_res_fifo.mem[1][23] ),
+    .B2(_05925_),
     .X(_00472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11969_ (.A(_06019_),
-    .X(_06026_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11970_ (.A(_06022_),
-    .X(_06027_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11971_ (.A1(_05439_),
-    .A2(_06026_),
-    .B1(\u_m1_res_fifo.mem[1][23] ),
-    .B2(_06027_),
+ sky130_fd_sc_hd__o22a_4 _11767_ (.A1(_05340_),
+    .A2(_05924_),
+    .B1(\u_m1_res_fifo.mem[1][22] ),
+    .B2(_05925_),
     .X(_00471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11972_ (.A1(_05442_),
-    .A2(_06026_),
-    .B1(\u_m1_res_fifo.mem[1][22] ),
-    .B2(_06027_),
+ sky130_fd_sc_hd__o22a_4 _11768_ (.A1(_05342_),
+    .A2(_05924_),
+    .B1(\u_m1_res_fifo.mem[1][21] ),
+    .B2(_05925_),
     .X(_00470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11973_ (.A1(_05444_),
-    .A2(_06026_),
-    .B1(\u_m1_res_fifo.mem[1][21] ),
-    .B2(_06027_),
+ sky130_fd_sc_hd__o22a_4 _11769_ (.A1(_05345_),
+    .A2(_05924_),
+    .B1(\u_m1_res_fifo.mem[1][20] ),
+    .B2(_05925_),
     .X(_00469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11974_ (.A1(_05447_),
-    .A2(_06026_),
-    .B1(\u_m1_res_fifo.mem[1][20] ),
-    .B2(_06027_),
+ sky130_fd_sc_hd__buf_2 _11770_ (.A(_05917_),
+    .X(_05926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11771_ (.A(_05920_),
+    .X(_05927_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11772_ (.A1(_05348_),
+    .A2(_05926_),
+    .B1(\u_m1_res_fifo.mem[1][19] ),
+    .B2(_05927_),
     .X(_00468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11975_ (.A(_06019_),
-    .X(_06028_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11976_ (.A(_06022_),
-    .X(_06029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11977_ (.A1(_05450_),
-    .A2(_06028_),
-    .B1(\u_m1_res_fifo.mem[1][19] ),
-    .B2(_06029_),
+ sky130_fd_sc_hd__o22a_4 _11773_ (.A1(_05352_),
+    .A2(_05926_),
+    .B1(\u_m1_res_fifo.mem[1][18] ),
+    .B2(_05927_),
     .X(_00467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11978_ (.A1(_05454_),
-    .A2(_06028_),
-    .B1(\u_m1_res_fifo.mem[1][18] ),
-    .B2(_06029_),
+ sky130_fd_sc_hd__o22a_4 _11774_ (.A1(_05354_),
+    .A2(_05926_),
+    .B1(\u_m1_res_fifo.mem[1][17] ),
+    .B2(_05927_),
     .X(_00466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11979_ (.A1(_05456_),
-    .A2(_06028_),
-    .B1(\u_m1_res_fifo.mem[1][17] ),
-    .B2(_06029_),
+ sky130_fd_sc_hd__o22a_4 _11775_ (.A1(_05358_),
+    .A2(_05926_),
+    .B1(\u_m1_res_fifo.mem[1][16] ),
+    .B2(_05927_),
     .X(_00465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11980_ (.A1(_05460_),
-    .A2(_06028_),
-    .B1(\u_m1_res_fifo.mem[1][16] ),
-    .B2(_06029_),
+ sky130_fd_sc_hd__buf_2 _11776_ (.A(_05916_),
+    .X(_05928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11777_ (.A(_05928_),
+    .X(_05929_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11778_ (.A(_05919_),
+    .X(_05930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11779_ (.A(_05930_),
+    .X(_05931_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11780_ (.A1(_05362_),
+    .A2(_05929_),
+    .B1(\u_m1_res_fifo.mem[1][15] ),
+    .B2(_05931_),
     .X(_00464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11981_ (.A(_06018_),
-    .X(_06030_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11982_ (.A(_06030_),
-    .X(_06031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11983_ (.A(_06021_),
-    .X(_06032_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11984_ (.A(_06032_),
-    .X(_06033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11985_ (.A1(_05464_),
-    .A2(_06031_),
-    .B1(\u_m1_res_fifo.mem[1][15] ),
-    .B2(_06033_),
+ sky130_fd_sc_hd__o22a_4 _11781_ (.A1(_05365_),
+    .A2(_05929_),
+    .B1(\u_m1_res_fifo.mem[1][14] ),
+    .B2(_05931_),
     .X(_00463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11986_ (.A1(_05467_),
-    .A2(_06031_),
-    .B1(\u_m1_res_fifo.mem[1][14] ),
-    .B2(_06033_),
+ sky130_fd_sc_hd__o22a_4 _11782_ (.A1(_05367_),
+    .A2(_05929_),
+    .B1(\u_m1_res_fifo.mem[1][13] ),
+    .B2(_05931_),
     .X(_00462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11987_ (.A1(_05469_),
-    .A2(_06031_),
-    .B1(\u_m1_res_fifo.mem[1][13] ),
-    .B2(_06033_),
+ sky130_fd_sc_hd__o22a_4 _11783_ (.A1(_05370_),
+    .A2(_05929_),
+    .B1(\u_m1_res_fifo.mem[1][12] ),
+    .B2(_05931_),
     .X(_00461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11988_ (.A1(_05472_),
-    .A2(_06031_),
-    .B1(\u_m1_res_fifo.mem[1][12] ),
-    .B2(_06033_),
+ sky130_fd_sc_hd__buf_2 _11784_ (.A(_05928_),
+    .X(_05932_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11785_ (.A(_05930_),
+    .X(_05933_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11786_ (.A1(_05373_),
+    .A2(_05932_),
+    .B1(\u_m1_res_fifo.mem[1][11] ),
+    .B2(_05933_),
     .X(_00460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11989_ (.A(_06030_),
-    .X(_06034_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11990_ (.A(_06032_),
-    .X(_06035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11991_ (.A1(_05475_),
-    .A2(_06034_),
-    .B1(\u_m1_res_fifo.mem[1][11] ),
-    .B2(_06035_),
+ sky130_fd_sc_hd__o22a_4 _11787_ (.A1(_05376_),
+    .A2(_05932_),
+    .B1(\u_m1_res_fifo.mem[1][10] ),
+    .B2(_05933_),
     .X(_00459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11992_ (.A1(_05478_),
-    .A2(_06034_),
-    .B1(\u_m1_res_fifo.mem[1][10] ),
-    .B2(_06035_),
+ sky130_fd_sc_hd__o22a_4 _11788_ (.A1(_05378_),
+    .A2(_05932_),
+    .B1(\u_m1_res_fifo.mem[1][9] ),
+    .B2(_05933_),
     .X(_00458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11993_ (.A1(_05480_),
-    .A2(_06034_),
-    .B1(\u_m1_res_fifo.mem[1][9] ),
-    .B2(_06035_),
+ sky130_fd_sc_hd__o22a_4 _11789_ (.A1(_05381_),
+    .A2(_05932_),
+    .B1(\u_m1_res_fifo.mem[1][8] ),
+    .B2(_05933_),
     .X(_00457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11994_ (.A1(_05483_),
-    .A2(_06034_),
-    .B1(\u_m1_res_fifo.mem[1][8] ),
-    .B2(_06035_),
+ sky130_fd_sc_hd__buf_2 _11790_ (.A(_05928_),
+    .X(_05934_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11791_ (.A(_05930_),
+    .X(_05935_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11792_ (.A1(_05384_),
+    .A2(_05934_),
+    .B1(\u_m1_res_fifo.mem[1][7] ),
+    .B2(_05935_),
     .X(_00456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11995_ (.A(_06030_),
-    .X(_06036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _11996_ (.A(_06032_),
-    .X(_06037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11997_ (.A1(_05486_),
-    .A2(_06036_),
-    .B1(\u_m1_res_fifo.mem[1][7] ),
-    .B2(_06037_),
+ sky130_fd_sc_hd__o22a_4 _11793_ (.A1(_05387_),
+    .A2(_05934_),
+    .B1(\u_m1_res_fifo.mem[1][6] ),
+    .B2(_05935_),
     .X(_00455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11998_ (.A1(_05489_),
-    .A2(_06036_),
-    .B1(\u_m1_res_fifo.mem[1][6] ),
-    .B2(_06037_),
+ sky130_fd_sc_hd__o22a_4 _11794_ (.A1(_05389_),
+    .A2(_05934_),
+    .B1(\u_m1_res_fifo.mem[1][5] ),
+    .B2(_05935_),
     .X(_00454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _11999_ (.A1(_05491_),
-    .A2(_06036_),
-    .B1(\u_m1_res_fifo.mem[1][5] ),
-    .B2(_06037_),
+ sky130_fd_sc_hd__o22a_4 _11795_ (.A1(_05392_),
+    .A2(_05934_),
+    .B1(\u_m1_res_fifo.mem[1][4] ),
+    .B2(_05935_),
     .X(_00453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12000_ (.A1(_05494_),
-    .A2(_06036_),
-    .B1(\u_m1_res_fifo.mem[1][4] ),
-    .B2(_06037_),
+ sky130_fd_sc_hd__buf_2 _11796_ (.A(_05928_),
+    .X(_05936_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11797_ (.A(_05930_),
+    .X(_05937_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11798_ (.A1(_05395_),
+    .A2(_05936_),
+    .B1(\u_m1_res_fifo.mem[1][3] ),
+    .B2(_05937_),
     .X(_00452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12001_ (.A(_06030_),
-    .X(_06038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12002_ (.A(_06032_),
-    .X(_06039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12003_ (.A1(_05497_),
-    .A2(_06038_),
-    .B1(\u_m1_res_fifo.mem[1][3] ),
-    .B2(_06039_),
+ sky130_fd_sc_hd__o22a_4 _11799_ (.A1(_05398_),
+    .A2(_05936_),
+    .B1(\u_m1_res_fifo.mem[1][2] ),
+    .B2(_05937_),
     .X(_00451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12004_ (.A1(_05500_),
-    .A2(_06038_),
-    .B1(\u_m1_res_fifo.mem[1][2] ),
-    .B2(_06039_),
+ sky130_fd_sc_hd__o22a_4 _11800_ (.A1(_05400_),
+    .A2(_05936_),
+    .B1(\u_m1_res_fifo.mem[1][1] ),
+    .B2(_05937_),
     .X(_00450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12005_ (.A1(_05502_),
-    .A2(_06038_),
-    .B1(\u_m1_res_fifo.mem[1][1] ),
-    .B2(_06039_),
+ sky130_fd_sc_hd__o22a_4 _11801_ (.A1(_05402_),
+    .A2(_05936_),
+    .B1(\u_m1_res_fifo.mem[1][0] ),
+    .B2(_05937_),
     .X(_00449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12006_ (.A1(_05504_),
-    .A2(_06038_),
-    .B1(\u_m1_res_fifo.mem[1][0] ),
-    .B2(_06039_),
+ sky130_fd_sc_hd__or4_4 _11802_ (.A(_05892_),
+    .B(_05227_),
+    .C(_01489_),
+    .D(_05893_),
+    .X(_05938_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11803_ (.A(_05938_),
+    .X(_05939_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11804_ (.A(_05939_),
+    .X(_05940_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11805_ (.A(_05938_),
+    .Y(_05941_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11806_ (.A(_05941_),
+    .X(_05942_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11807_ (.A(_05942_),
+    .X(_05943_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11808_ (.A1(_05314_),
+    .A2(_05940_),
+    .B1(\u_m1_res_fifo.mem[2][31] ),
+    .B2(_05943_),
     .X(_00448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12007_ (.A(_05994_),
-    .B(_05377_),
-    .C(_01490_),
-    .D(_05995_),
-    .X(_06040_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12008_ (.A(_06040_),
-    .X(_06041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12009_ (.A(_06041_),
-    .X(_06042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12010_ (.A(_06040_),
-    .Y(_06043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12011_ (.A(_06043_),
-    .X(_06044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12012_ (.A(_06044_),
-    .X(_06045_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12013_ (.A1(_05416_),
-    .A2(_06042_),
-    .B1(\u_m1_res_fifo.mem[2][31] ),
-    .B2(_06045_),
+ sky130_fd_sc_hd__o22a_4 _11809_ (.A1(_05317_),
+    .A2(_05940_),
+    .B1(\u_m1_res_fifo.mem[2][30] ),
+    .B2(_05943_),
     .X(_00447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12014_ (.A1(_05419_),
-    .A2(_06042_),
-    .B1(\u_m1_res_fifo.mem[2][30] ),
-    .B2(_06045_),
+ sky130_fd_sc_hd__o22a_4 _11810_ (.A1(_05319_),
+    .A2(_05940_),
+    .B1(\u_m1_res_fifo.mem[2][29] ),
+    .B2(_05943_),
     .X(_00446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12015_ (.A1(_05421_),
-    .A2(_06042_),
-    .B1(\u_m1_res_fifo.mem[2][29] ),
-    .B2(_06045_),
+ sky130_fd_sc_hd__o22a_4 _11811_ (.A1(_05323_),
+    .A2(_05940_),
+    .B1(\u_m1_res_fifo.mem[2][28] ),
+    .B2(_05943_),
     .X(_00445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12016_ (.A1(_05425_),
-    .A2(_06042_),
-    .B1(\u_m1_res_fifo.mem[2][28] ),
-    .B2(_06045_),
+ sky130_fd_sc_hd__buf_2 _11812_ (.A(_05939_),
+    .X(_05944_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11813_ (.A(_05942_),
+    .X(_05945_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11814_ (.A1(_05326_),
+    .A2(_05944_),
+    .B1(\u_m1_res_fifo.mem[2][27] ),
+    .B2(_05945_),
     .X(_00444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12017_ (.A(_06041_),
-    .X(_06046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12018_ (.A(_06044_),
-    .X(_06047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12019_ (.A1(_05428_),
-    .A2(_06046_),
-    .B1(\u_m1_res_fifo.mem[2][27] ),
-    .B2(_06047_),
+ sky130_fd_sc_hd__o22a_4 _11815_ (.A1(_05329_),
+    .A2(_05944_),
+    .B1(\u_m1_res_fifo.mem[2][26] ),
+    .B2(_05945_),
     .X(_00443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12020_ (.A1(_05431_),
-    .A2(_06046_),
-    .B1(\u_m1_res_fifo.mem[2][26] ),
-    .B2(_06047_),
+ sky130_fd_sc_hd__o22a_4 _11816_ (.A1(_05331_),
+    .A2(_05944_),
+    .B1(\u_m1_res_fifo.mem[2][25] ),
+    .B2(_05945_),
     .X(_00442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12021_ (.A1(_05433_),
-    .A2(_06046_),
-    .B1(\u_m1_res_fifo.mem[2][25] ),
-    .B2(_06047_),
+ sky130_fd_sc_hd__o22a_4 _11817_ (.A1(_05334_),
+    .A2(_05944_),
+    .B1(\u_m1_res_fifo.mem[2][24] ),
+    .B2(_05945_),
     .X(_00441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12022_ (.A1(_05436_),
-    .A2(_06046_),
-    .B1(\u_m1_res_fifo.mem[2][24] ),
-    .B2(_06047_),
+ sky130_fd_sc_hd__buf_2 _11818_ (.A(_05939_),
+    .X(_05946_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11819_ (.A(_05942_),
+    .X(_05947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11820_ (.A1(_05337_),
+    .A2(_05946_),
+    .B1(\u_m1_res_fifo.mem[2][23] ),
+    .B2(_05947_),
     .X(_00440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12023_ (.A(_06041_),
-    .X(_06048_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12024_ (.A(_06044_),
-    .X(_06049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12025_ (.A1(_05439_),
-    .A2(_06048_),
-    .B1(\u_m1_res_fifo.mem[2][23] ),
-    .B2(_06049_),
+ sky130_fd_sc_hd__o22a_4 _11821_ (.A1(_05340_),
+    .A2(_05946_),
+    .B1(\u_m1_res_fifo.mem[2][22] ),
+    .B2(_05947_),
     .X(_00439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12026_ (.A1(_05442_),
-    .A2(_06048_),
-    .B1(\u_m1_res_fifo.mem[2][22] ),
-    .B2(_06049_),
+ sky130_fd_sc_hd__o22a_4 _11822_ (.A1(_05342_),
+    .A2(_05946_),
+    .B1(\u_m1_res_fifo.mem[2][21] ),
+    .B2(_05947_),
     .X(_00438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12027_ (.A1(_05444_),
-    .A2(_06048_),
-    .B1(\u_m1_res_fifo.mem[2][21] ),
-    .B2(_06049_),
+ sky130_fd_sc_hd__o22a_4 _11823_ (.A1(_05345_),
+    .A2(_05946_),
+    .B1(\u_m1_res_fifo.mem[2][20] ),
+    .B2(_05947_),
     .X(_00437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12028_ (.A1(_05447_),
-    .A2(_06048_),
-    .B1(\u_m1_res_fifo.mem[2][20] ),
-    .B2(_06049_),
+ sky130_fd_sc_hd__buf_2 _11824_ (.A(_05939_),
+    .X(_05948_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11825_ (.A(_05942_),
+    .X(_05949_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11826_ (.A1(_05348_),
+    .A2(_05948_),
+    .B1(\u_m1_res_fifo.mem[2][19] ),
+    .B2(_05949_),
     .X(_00436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12029_ (.A(_06041_),
-    .X(_06050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12030_ (.A(_06044_),
-    .X(_06051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12031_ (.A1(_05450_),
-    .A2(_06050_),
-    .B1(\u_m1_res_fifo.mem[2][19] ),
-    .B2(_06051_),
+ sky130_fd_sc_hd__o22a_4 _11827_ (.A1(_05352_),
+    .A2(_05948_),
+    .B1(\u_m1_res_fifo.mem[2][18] ),
+    .B2(_05949_),
     .X(_00435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12032_ (.A1(_05454_),
-    .A2(_06050_),
-    .B1(\u_m1_res_fifo.mem[2][18] ),
-    .B2(_06051_),
+ sky130_fd_sc_hd__o22a_4 _11828_ (.A1(_05354_),
+    .A2(_05948_),
+    .B1(\u_m1_res_fifo.mem[2][17] ),
+    .B2(_05949_),
     .X(_00434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12033_ (.A1(_05456_),
-    .A2(_06050_),
-    .B1(\u_m1_res_fifo.mem[2][17] ),
-    .B2(_06051_),
+ sky130_fd_sc_hd__o22a_4 _11829_ (.A1(_05358_),
+    .A2(_05948_),
+    .B1(\u_m1_res_fifo.mem[2][16] ),
+    .B2(_05949_),
     .X(_00433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12034_ (.A1(_05460_),
-    .A2(_06050_),
-    .B1(\u_m1_res_fifo.mem[2][16] ),
-    .B2(_06051_),
+ sky130_fd_sc_hd__buf_2 _11830_ (.A(_05938_),
+    .X(_05950_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11831_ (.A(_05950_),
+    .X(_05951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11832_ (.A(_05941_),
+    .X(_05952_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11833_ (.A(_05952_),
+    .X(_05953_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11834_ (.A1(_05362_),
+    .A2(_05951_),
+    .B1(\u_m1_res_fifo.mem[2][15] ),
+    .B2(_05953_),
     .X(_00432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12035_ (.A(_06040_),
-    .X(_06052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12036_ (.A(_06052_),
-    .X(_06053_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12037_ (.A(_06043_),
-    .X(_06054_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12038_ (.A(_06054_),
-    .X(_06055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12039_ (.A1(_05464_),
-    .A2(_06053_),
-    .B1(\u_m1_res_fifo.mem[2][15] ),
-    .B2(_06055_),
+ sky130_fd_sc_hd__o22a_4 _11835_ (.A1(_05365_),
+    .A2(_05951_),
+    .B1(\u_m1_res_fifo.mem[2][14] ),
+    .B2(_05953_),
     .X(_00431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12040_ (.A1(_05467_),
-    .A2(_06053_),
-    .B1(\u_m1_res_fifo.mem[2][14] ),
-    .B2(_06055_),
+ sky130_fd_sc_hd__o22a_4 _11836_ (.A1(_05367_),
+    .A2(_05951_),
+    .B1(\u_m1_res_fifo.mem[2][13] ),
+    .B2(_05953_),
     .X(_00430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12041_ (.A1(_05469_),
-    .A2(_06053_),
-    .B1(\u_m1_res_fifo.mem[2][13] ),
-    .B2(_06055_),
+ sky130_fd_sc_hd__o22a_4 _11837_ (.A1(_05370_),
+    .A2(_05951_),
+    .B1(\u_m1_res_fifo.mem[2][12] ),
+    .B2(_05953_),
     .X(_00429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12042_ (.A1(_05472_),
-    .A2(_06053_),
-    .B1(\u_m1_res_fifo.mem[2][12] ),
-    .B2(_06055_),
+ sky130_fd_sc_hd__buf_2 _11838_ (.A(_05950_),
+    .X(_05954_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11839_ (.A(_05952_),
+    .X(_05955_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11840_ (.A1(_05373_),
+    .A2(_05954_),
+    .B1(\u_m1_res_fifo.mem[2][11] ),
+    .B2(_05955_),
     .X(_00428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12043_ (.A(_06052_),
-    .X(_06056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12044_ (.A(_06054_),
-    .X(_06057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12045_ (.A1(_05475_),
-    .A2(_06056_),
-    .B1(\u_m1_res_fifo.mem[2][11] ),
-    .B2(_06057_),
+ sky130_fd_sc_hd__o22a_4 _11841_ (.A1(_05376_),
+    .A2(_05954_),
+    .B1(\u_m1_res_fifo.mem[2][10] ),
+    .B2(_05955_),
     .X(_00427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12046_ (.A1(_05478_),
-    .A2(_06056_),
-    .B1(\u_m1_res_fifo.mem[2][10] ),
-    .B2(_06057_),
+ sky130_fd_sc_hd__o22a_4 _11842_ (.A1(_05378_),
+    .A2(_05954_),
+    .B1(\u_m1_res_fifo.mem[2][9] ),
+    .B2(_05955_),
     .X(_00426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12047_ (.A1(_05480_),
-    .A2(_06056_),
-    .B1(\u_m1_res_fifo.mem[2][9] ),
-    .B2(_06057_),
+ sky130_fd_sc_hd__o22a_4 _11843_ (.A1(_05381_),
+    .A2(_05954_),
+    .B1(\u_m1_res_fifo.mem[2][8] ),
+    .B2(_05955_),
     .X(_00425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12048_ (.A1(_05483_),
-    .A2(_06056_),
-    .B1(\u_m1_res_fifo.mem[2][8] ),
-    .B2(_06057_),
+ sky130_fd_sc_hd__buf_2 _11844_ (.A(_05950_),
+    .X(_05956_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11845_ (.A(_05952_),
+    .X(_05957_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11846_ (.A1(_05384_),
+    .A2(_05956_),
+    .B1(\u_m1_res_fifo.mem[2][7] ),
+    .B2(_05957_),
     .X(_00424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12049_ (.A(_06052_),
-    .X(_06058_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12050_ (.A(_06054_),
-    .X(_06059_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12051_ (.A1(_05486_),
-    .A2(_06058_),
-    .B1(\u_m1_res_fifo.mem[2][7] ),
-    .B2(_06059_),
+ sky130_fd_sc_hd__o22a_4 _11847_ (.A1(_05387_),
+    .A2(_05956_),
+    .B1(\u_m1_res_fifo.mem[2][6] ),
+    .B2(_05957_),
     .X(_00423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12052_ (.A1(_05489_),
-    .A2(_06058_),
-    .B1(\u_m1_res_fifo.mem[2][6] ),
-    .B2(_06059_),
+ sky130_fd_sc_hd__o22a_4 _11848_ (.A1(_05389_),
+    .A2(_05956_),
+    .B1(\u_m1_res_fifo.mem[2][5] ),
+    .B2(_05957_),
     .X(_00422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12053_ (.A1(_05491_),
-    .A2(_06058_),
-    .B1(\u_m1_res_fifo.mem[2][5] ),
-    .B2(_06059_),
+ sky130_fd_sc_hd__o22a_4 _11849_ (.A1(_05392_),
+    .A2(_05956_),
+    .B1(\u_m1_res_fifo.mem[2][4] ),
+    .B2(_05957_),
     .X(_00421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12054_ (.A1(_05494_),
-    .A2(_06058_),
-    .B1(\u_m1_res_fifo.mem[2][4] ),
-    .B2(_06059_),
+ sky130_fd_sc_hd__buf_2 _11850_ (.A(_05950_),
+    .X(_05958_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11851_ (.A(_05952_),
+    .X(_05959_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11852_ (.A1(_05395_),
+    .A2(_05958_),
+    .B1(\u_m1_res_fifo.mem[2][3] ),
+    .B2(_05959_),
     .X(_00420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12055_ (.A(_06052_),
-    .X(_06060_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12056_ (.A(_06054_),
-    .X(_06061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12057_ (.A1(_05497_),
-    .A2(_06060_),
-    .B1(\u_m1_res_fifo.mem[2][3] ),
-    .B2(_06061_),
+ sky130_fd_sc_hd__o22a_4 _11853_ (.A1(_05398_),
+    .A2(_05958_),
+    .B1(\u_m1_res_fifo.mem[2][2] ),
+    .B2(_05959_),
     .X(_00419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12058_ (.A1(_05500_),
-    .A2(_06060_),
-    .B1(\u_m1_res_fifo.mem[2][2] ),
-    .B2(_06061_),
+ sky130_fd_sc_hd__o22a_4 _11854_ (.A1(_05400_),
+    .A2(_05958_),
+    .B1(\u_m1_res_fifo.mem[2][1] ),
+    .B2(_05959_),
     .X(_00418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12059_ (.A1(_05502_),
-    .A2(_06060_),
-    .B1(\u_m1_res_fifo.mem[2][1] ),
-    .B2(_06061_),
+ sky130_fd_sc_hd__o22a_4 _11855_ (.A1(_05402_),
+    .A2(_05958_),
+    .B1(\u_m1_res_fifo.mem[2][0] ),
+    .B2(_05959_),
     .X(_00417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12060_ (.A1(_05504_),
-    .A2(_06060_),
-    .B1(\u_m1_res_fifo.mem[2][0] ),
-    .B2(_06061_),
+ sky130_fd_sc_hd__buf_2 _11856_ (.A(_05313_),
+    .X(_05960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _11857_ (.A(_05893_),
+    .B(_05216_),
+    .X(_05961_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11858_ (.A(_05961_),
+    .X(_05962_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11859_ (.A(_05962_),
+    .X(_05963_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11860_ (.A(_05961_),
+    .Y(_05964_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11861_ (.A(_05964_),
+    .X(_05965_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11862_ (.A(_05965_),
+    .X(_05966_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11863_ (.A1(_05960_),
+    .A2(_05963_),
+    .B1(\u_m1_res_fifo.mem[3][31] ),
+    .B2(_05966_),
     .X(_00416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12061_ (.A(_05415_),
-    .X(_06062_),
+ sky130_fd_sc_hd__buf_2 _11864_ (.A(_05316_),
+    .X(_05967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _12062_ (.A(_05995_),
-    .B(_05366_),
-    .X(_06063_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12063_ (.A(_06063_),
-    .X(_06064_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12064_ (.A(_06064_),
-    .X(_06065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12065_ (.A(_06063_),
-    .Y(_06066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12066_ (.A(_06066_),
-    .X(_06067_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12067_ (.A(_06067_),
-    .X(_06068_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12068_ (.A1(_06062_),
-    .A2(_06065_),
-    .B1(\u_m1_res_fifo.mem[3][31] ),
-    .B2(_06068_),
+ sky130_fd_sc_hd__o22a_4 _11865_ (.A1(_05967_),
+    .A2(_05963_),
+    .B1(\u_m1_res_fifo.mem[3][30] ),
+    .B2(_05966_),
     .X(_00415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12069_ (.A(_05418_),
-    .X(_06069_),
+ sky130_fd_sc_hd__buf_2 _11866_ (.A(_05318_),
+    .X(_05968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12070_ (.A1(_06069_),
-    .A2(_06065_),
-    .B1(\u_m1_res_fifo.mem[3][30] ),
-    .B2(_06068_),
+ sky130_fd_sc_hd__o22a_4 _11867_ (.A1(_05968_),
+    .A2(_05963_),
+    .B1(\u_m1_res_fifo.mem[3][29] ),
+    .B2(_05966_),
     .X(_00414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12071_ (.A(_05420_),
-    .X(_06070_),
+ sky130_fd_sc_hd__buf_2 _11868_ (.A(_05322_),
+    .X(_05969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12072_ (.A1(_06070_),
-    .A2(_06065_),
-    .B1(\u_m1_res_fifo.mem[3][29] ),
-    .B2(_06068_),
+ sky130_fd_sc_hd__o22a_4 _11869_ (.A1(_05969_),
+    .A2(_05963_),
+    .B1(\u_m1_res_fifo.mem[3][28] ),
+    .B2(_05966_),
     .X(_00413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12073_ (.A(_05424_),
-    .X(_06071_),
+ sky130_fd_sc_hd__buf_2 _11870_ (.A(_05325_),
+    .X(_05970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12074_ (.A1(_06071_),
-    .A2(_06065_),
-    .B1(\u_m1_res_fifo.mem[3][28] ),
-    .B2(_06068_),
+ sky130_fd_sc_hd__buf_2 _11871_ (.A(_05962_),
+    .X(_05971_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11872_ (.A(_05965_),
+    .X(_05972_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11873_ (.A1(_05970_),
+    .A2(_05971_),
+    .B1(\u_m1_res_fifo.mem[3][27] ),
+    .B2(_05972_),
     .X(_00412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12075_ (.A(_05427_),
-    .X(_06072_),
+ sky130_fd_sc_hd__buf_2 _11874_ (.A(_05328_),
+    .X(_05973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12076_ (.A(_06064_),
-    .X(_06073_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12077_ (.A(_06067_),
-    .X(_06074_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12078_ (.A1(_06072_),
-    .A2(_06073_),
-    .B1(\u_m1_res_fifo.mem[3][27] ),
-    .B2(_06074_),
+ sky130_fd_sc_hd__o22a_4 _11875_ (.A1(_05973_),
+    .A2(_05971_),
+    .B1(\u_m1_res_fifo.mem[3][26] ),
+    .B2(_05972_),
     .X(_00411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12079_ (.A(_05430_),
-    .X(_06075_),
+ sky130_fd_sc_hd__buf_2 _11876_ (.A(_05330_),
+    .X(_05974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12080_ (.A1(_06075_),
-    .A2(_06073_),
-    .B1(\u_m1_res_fifo.mem[3][26] ),
-    .B2(_06074_),
+ sky130_fd_sc_hd__o22a_4 _11877_ (.A1(_05974_),
+    .A2(_05971_),
+    .B1(\u_m1_res_fifo.mem[3][25] ),
+    .B2(_05972_),
     .X(_00410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12081_ (.A(_05432_),
-    .X(_06076_),
+ sky130_fd_sc_hd__buf_2 _11878_ (.A(_05333_),
+    .X(_05975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12082_ (.A1(_06076_),
-    .A2(_06073_),
-    .B1(\u_m1_res_fifo.mem[3][25] ),
-    .B2(_06074_),
+ sky130_fd_sc_hd__o22a_4 _11879_ (.A1(_05975_),
+    .A2(_05971_),
+    .B1(\u_m1_res_fifo.mem[3][24] ),
+    .B2(_05972_),
     .X(_00409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12083_ (.A(_05435_),
-    .X(_06077_),
+ sky130_fd_sc_hd__buf_2 _11880_ (.A(_05336_),
+    .X(_05976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12084_ (.A1(_06077_),
-    .A2(_06073_),
-    .B1(\u_m1_res_fifo.mem[3][24] ),
-    .B2(_06074_),
+ sky130_fd_sc_hd__buf_2 _11881_ (.A(_05962_),
+    .X(_05977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11882_ (.A(_05965_),
+    .X(_05978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11883_ (.A1(_05976_),
+    .A2(_05977_),
+    .B1(\u_m1_res_fifo.mem[3][23] ),
+    .B2(_05978_),
     .X(_00408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12085_ (.A(_05438_),
-    .X(_06078_),
+ sky130_fd_sc_hd__buf_2 _11884_ (.A(_05339_),
+    .X(_05979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12086_ (.A(_06064_),
-    .X(_06079_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12087_ (.A(_06067_),
-    .X(_06080_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12088_ (.A1(_06078_),
-    .A2(_06079_),
-    .B1(\u_m1_res_fifo.mem[3][23] ),
-    .B2(_06080_),
+ sky130_fd_sc_hd__o22a_4 _11885_ (.A1(_05979_),
+    .A2(_05977_),
+    .B1(\u_m1_res_fifo.mem[3][22] ),
+    .B2(_05978_),
     .X(_00407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12089_ (.A(_05441_),
-    .X(_06081_),
+ sky130_fd_sc_hd__buf_2 _11886_ (.A(_05341_),
+    .X(_05980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12090_ (.A1(_06081_),
-    .A2(_06079_),
-    .B1(\u_m1_res_fifo.mem[3][22] ),
-    .B2(_06080_),
+ sky130_fd_sc_hd__o22a_4 _11887_ (.A1(_05980_),
+    .A2(_05977_),
+    .B1(\u_m1_res_fifo.mem[3][21] ),
+    .B2(_05978_),
     .X(_00406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12091_ (.A(_05443_),
-    .X(_06082_),
+ sky130_fd_sc_hd__buf_2 _11888_ (.A(_05344_),
+    .X(_05981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12092_ (.A1(_06082_),
-    .A2(_06079_),
-    .B1(\u_m1_res_fifo.mem[3][21] ),
-    .B2(_06080_),
+ sky130_fd_sc_hd__o22a_4 _11889_ (.A1(_05981_),
+    .A2(_05977_),
+    .B1(\u_m1_res_fifo.mem[3][20] ),
+    .B2(_05978_),
     .X(_00405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12093_ (.A(_05446_),
-    .X(_06083_),
+ sky130_fd_sc_hd__buf_2 _11890_ (.A(_05347_),
+    .X(_05982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12094_ (.A1(_06083_),
-    .A2(_06079_),
-    .B1(\u_m1_res_fifo.mem[3][20] ),
-    .B2(_06080_),
+ sky130_fd_sc_hd__buf_2 _11891_ (.A(_05962_),
+    .X(_05983_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11892_ (.A(_05965_),
+    .X(_05984_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11893_ (.A1(_05982_),
+    .A2(_05983_),
+    .B1(\u_m1_res_fifo.mem[3][19] ),
+    .B2(_05984_),
     .X(_00404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12095_ (.A(_05449_),
-    .X(_06084_),
+ sky130_fd_sc_hd__buf_2 _11894_ (.A(_05351_),
+    .X(_05985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12096_ (.A(_06064_),
-    .X(_06085_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12097_ (.A(_06067_),
-    .X(_06086_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12098_ (.A1(_06084_),
-    .A2(_06085_),
-    .B1(\u_m1_res_fifo.mem[3][19] ),
-    .B2(_06086_),
+ sky130_fd_sc_hd__o22a_4 _11895_ (.A1(_05985_),
+    .A2(_05983_),
+    .B1(\u_m1_res_fifo.mem[3][18] ),
+    .B2(_05984_),
     .X(_00403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12099_ (.A(_05453_),
-    .X(_06087_),
+ sky130_fd_sc_hd__buf_2 _11896_ (.A(_05353_),
+    .X(_05986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12100_ (.A1(_06087_),
-    .A2(_06085_),
-    .B1(\u_m1_res_fifo.mem[3][18] ),
-    .B2(_06086_),
+ sky130_fd_sc_hd__o22a_4 _11897_ (.A1(_05986_),
+    .A2(_05983_),
+    .B1(\u_m1_res_fifo.mem[3][17] ),
+    .B2(_05984_),
     .X(_00402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12101_ (.A(_05455_),
-    .X(_06088_),
+ sky130_fd_sc_hd__buf_2 _11898_ (.A(_05357_),
+    .X(_05987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12102_ (.A1(_06088_),
-    .A2(_06085_),
-    .B1(\u_m1_res_fifo.mem[3][17] ),
-    .B2(_06086_),
+ sky130_fd_sc_hd__o22a_4 _11899_ (.A1(_05987_),
+    .A2(_05983_),
+    .B1(\u_m1_res_fifo.mem[3][16] ),
+    .B2(_05984_),
     .X(_00401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12103_ (.A(_05459_),
-    .X(_06089_),
+ sky130_fd_sc_hd__buf_2 _11900_ (.A(_05361_),
+    .X(_05988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12104_ (.A1(_06089_),
-    .A2(_06085_),
-    .B1(\u_m1_res_fifo.mem[3][16] ),
-    .B2(_06086_),
+ sky130_fd_sc_hd__buf_2 _11901_ (.A(_05961_),
+    .X(_05989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11902_ (.A(_05989_),
+    .X(_05990_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11903_ (.A(_05964_),
+    .X(_05991_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11904_ (.A(_05991_),
+    .X(_05992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11905_ (.A1(_05988_),
+    .A2(_05990_),
+    .B1(\u_m1_res_fifo.mem[3][15] ),
+    .B2(_05992_),
     .X(_00400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12105_ (.A(_05463_),
-    .X(_06090_),
+ sky130_fd_sc_hd__buf_2 _11906_ (.A(_05364_),
+    .X(_05993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12106_ (.A(_06063_),
-    .X(_06091_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12107_ (.A(_06091_),
-    .X(_06092_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12108_ (.A(_06066_),
-    .X(_06093_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12109_ (.A(_06093_),
-    .X(_06094_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12110_ (.A1(_06090_),
-    .A2(_06092_),
-    .B1(\u_m1_res_fifo.mem[3][15] ),
-    .B2(_06094_),
+ sky130_fd_sc_hd__o22a_4 _11907_ (.A1(_05993_),
+    .A2(_05990_),
+    .B1(\u_m1_res_fifo.mem[3][14] ),
+    .B2(_05992_),
     .X(_00399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12111_ (.A(_05466_),
-    .X(_06095_),
+ sky130_fd_sc_hd__buf_2 _11908_ (.A(_05366_),
+    .X(_05994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12112_ (.A1(_06095_),
-    .A2(_06092_),
-    .B1(\u_m1_res_fifo.mem[3][14] ),
-    .B2(_06094_),
+ sky130_fd_sc_hd__o22a_4 _11909_ (.A1(_05994_),
+    .A2(_05990_),
+    .B1(\u_m1_res_fifo.mem[3][13] ),
+    .B2(_05992_),
     .X(_00398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12113_ (.A(_05468_),
-    .X(_06096_),
+ sky130_fd_sc_hd__buf_2 _11910_ (.A(_05369_),
+    .X(_05995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12114_ (.A1(_06096_),
-    .A2(_06092_),
-    .B1(\u_m1_res_fifo.mem[3][13] ),
-    .B2(_06094_),
+ sky130_fd_sc_hd__o22a_4 _11911_ (.A1(_05995_),
+    .A2(_05990_),
+    .B1(\u_m1_res_fifo.mem[3][12] ),
+    .B2(_05992_),
     .X(_00397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12115_ (.A(_05471_),
-    .X(_06097_),
+ sky130_fd_sc_hd__buf_2 _11912_ (.A(_05372_),
+    .X(_05996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12116_ (.A1(_06097_),
-    .A2(_06092_),
-    .B1(\u_m1_res_fifo.mem[3][12] ),
-    .B2(_06094_),
+ sky130_fd_sc_hd__buf_2 _11913_ (.A(_05989_),
+    .X(_05997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11914_ (.A(_05991_),
+    .X(_05998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11915_ (.A1(_05996_),
+    .A2(_05997_),
+    .B1(\u_m1_res_fifo.mem[3][11] ),
+    .B2(_05998_),
     .X(_00396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12117_ (.A(_05474_),
-    .X(_06098_),
+ sky130_fd_sc_hd__buf_2 _11916_ (.A(_05375_),
+    .X(_05999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12118_ (.A(_06091_),
-    .X(_06099_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12119_ (.A(_06093_),
-    .X(_06100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12120_ (.A1(_06098_),
-    .A2(_06099_),
-    .B1(\u_m1_res_fifo.mem[3][11] ),
-    .B2(_06100_),
+ sky130_fd_sc_hd__o22a_4 _11917_ (.A1(_05999_),
+    .A2(_05997_),
+    .B1(\u_m1_res_fifo.mem[3][10] ),
+    .B2(_05998_),
     .X(_00395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12121_ (.A(_05477_),
-    .X(_06101_),
+ sky130_fd_sc_hd__buf_2 _11918_ (.A(_05377_),
+    .X(_06000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12122_ (.A1(_06101_),
-    .A2(_06099_),
-    .B1(\u_m1_res_fifo.mem[3][10] ),
-    .B2(_06100_),
+ sky130_fd_sc_hd__o22a_4 _11919_ (.A1(_06000_),
+    .A2(_05997_),
+    .B1(\u_m1_res_fifo.mem[3][9] ),
+    .B2(_05998_),
     .X(_00394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12123_ (.A(_05479_),
-    .X(_06102_),
+ sky130_fd_sc_hd__buf_2 _11920_ (.A(_05380_),
+    .X(_06001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12124_ (.A1(_06102_),
-    .A2(_06099_),
-    .B1(\u_m1_res_fifo.mem[3][9] ),
-    .B2(_06100_),
+ sky130_fd_sc_hd__o22a_4 _11921_ (.A1(_06001_),
+    .A2(_05997_),
+    .B1(\u_m1_res_fifo.mem[3][8] ),
+    .B2(_05998_),
     .X(_00393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12125_ (.A(_05482_),
-    .X(_06103_),
+ sky130_fd_sc_hd__buf_2 _11922_ (.A(_05383_),
+    .X(_06002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12126_ (.A1(_06103_),
-    .A2(_06099_),
-    .B1(\u_m1_res_fifo.mem[3][8] ),
-    .B2(_06100_),
+ sky130_fd_sc_hd__buf_2 _11923_ (.A(_05989_),
+    .X(_06003_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11924_ (.A(_05991_),
+    .X(_06004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11925_ (.A1(_06002_),
+    .A2(_06003_),
+    .B1(\u_m1_res_fifo.mem[3][7] ),
+    .B2(_06004_),
     .X(_00392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12127_ (.A(_05485_),
-    .X(_06104_),
+ sky130_fd_sc_hd__buf_2 _11926_ (.A(_05386_),
+    .X(_06005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12128_ (.A(_06091_),
-    .X(_06105_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12129_ (.A(_06093_),
-    .X(_06106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12130_ (.A1(_06104_),
-    .A2(_06105_),
-    .B1(\u_m1_res_fifo.mem[3][7] ),
-    .B2(_06106_),
+ sky130_fd_sc_hd__o22a_4 _11927_ (.A1(_06005_),
+    .A2(_06003_),
+    .B1(\u_m1_res_fifo.mem[3][6] ),
+    .B2(_06004_),
     .X(_00391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12131_ (.A(_05488_),
-    .X(_06107_),
+ sky130_fd_sc_hd__buf_2 _11928_ (.A(_05388_),
+    .X(_06006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12132_ (.A1(_06107_),
-    .A2(_06105_),
-    .B1(\u_m1_res_fifo.mem[3][6] ),
-    .B2(_06106_),
+ sky130_fd_sc_hd__o22a_4 _11929_ (.A1(_06006_),
+    .A2(_06003_),
+    .B1(\u_m1_res_fifo.mem[3][5] ),
+    .B2(_06004_),
     .X(_00390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12133_ (.A(_05490_),
-    .X(_06108_),
+ sky130_fd_sc_hd__buf_2 _11930_ (.A(_05391_),
+    .X(_06007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12134_ (.A1(_06108_),
-    .A2(_06105_),
-    .B1(\u_m1_res_fifo.mem[3][5] ),
-    .B2(_06106_),
+ sky130_fd_sc_hd__o22a_4 _11931_ (.A1(_06007_),
+    .A2(_06003_),
+    .B1(\u_m1_res_fifo.mem[3][4] ),
+    .B2(_06004_),
     .X(_00389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12135_ (.A(_05493_),
-    .X(_06109_),
+ sky130_fd_sc_hd__buf_2 _11932_ (.A(_05394_),
+    .X(_06008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12136_ (.A1(_06109_),
-    .A2(_06105_),
-    .B1(\u_m1_res_fifo.mem[3][4] ),
-    .B2(_06106_),
+ sky130_fd_sc_hd__buf_2 _11933_ (.A(_05989_),
+    .X(_06009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11934_ (.A(_05991_),
+    .X(_06010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11935_ (.A1(_06008_),
+    .A2(_06009_),
+    .B1(\u_m1_res_fifo.mem[3][3] ),
+    .B2(_06010_),
     .X(_00388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12137_ (.A(_05496_),
-    .X(_06110_),
+ sky130_fd_sc_hd__buf_2 _11936_ (.A(_05397_),
+    .X(_06011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12138_ (.A(_06091_),
-    .X(_06111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12139_ (.A(_06093_),
-    .X(_06112_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12140_ (.A1(_06110_),
-    .A2(_06111_),
-    .B1(\u_m1_res_fifo.mem[3][3] ),
-    .B2(_06112_),
+ sky130_fd_sc_hd__o22a_4 _11937_ (.A1(_06011_),
+    .A2(_06009_),
+    .B1(\u_m1_res_fifo.mem[3][2] ),
+    .B2(_06010_),
     .X(_00387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12141_ (.A(_05499_),
-    .X(_06113_),
+ sky130_fd_sc_hd__buf_2 _11938_ (.A(_05399_),
+    .X(_06012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12142_ (.A1(_06113_),
-    .A2(_06111_),
-    .B1(\u_m1_res_fifo.mem[3][2] ),
-    .B2(_06112_),
+ sky130_fd_sc_hd__o22a_4 _11939_ (.A1(_06012_),
+    .A2(_06009_),
+    .B1(\u_m1_res_fifo.mem[3][1] ),
+    .B2(_06010_),
     .X(_00386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12143_ (.A(_05501_),
-    .X(_06114_),
+ sky130_fd_sc_hd__buf_2 _11940_ (.A(_05401_),
+    .X(_06013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12144_ (.A1(_06114_),
-    .A2(_06111_),
-    .B1(\u_m1_res_fifo.mem[3][1] ),
-    .B2(_06112_),
+ sky130_fd_sc_hd__o22a_4 _11941_ (.A1(_06013_),
+    .A2(_06009_),
+    .B1(\u_m1_res_fifo.mem[3][0] ),
+    .B2(_06010_),
     .X(_00385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12145_ (.A(_05503_),
-    .X(_06115_),
+ sky130_fd_sc_hd__or4_4 _11942_ (.A(_05892_),
+    .B(_05226_),
+    .C(_05211_),
+    .D(_05223_),
+    .X(_06014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12146_ (.A1(_06115_),
-    .A2(_06111_),
-    .B1(\u_m1_res_fifo.mem[3][0] ),
-    .B2(_06112_),
+ sky130_fd_sc_hd__buf_2 _11943_ (.A(_06014_),
+    .X(_06015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11944_ (.A(_06015_),
+    .X(_06016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11945_ (.A(_06014_),
+    .Y(_06017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11946_ (.A(_06017_),
+    .X(_06018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11947_ (.A(_06018_),
+    .X(_06019_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11948_ (.A1(_05960_),
+    .A2(_06016_),
+    .B1(\u_m1_res_fifo.mem[4][31] ),
+    .B2(_06019_),
     .X(_00384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12147_ (.A(_05994_),
-    .B(_05376_),
-    .C(_05361_),
-    .D(_05373_),
-    .X(_06116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12148_ (.A(_06116_),
-    .X(_06117_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12149_ (.A(_06117_),
-    .X(_06118_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12150_ (.A(_06116_),
-    .Y(_06119_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12151_ (.A(_06119_),
-    .X(_06120_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12152_ (.A(_06120_),
-    .X(_06121_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12153_ (.A1(_06062_),
-    .A2(_06118_),
-    .B1(\u_m1_res_fifo.mem[4][31] ),
-    .B2(_06121_),
+ sky130_fd_sc_hd__o22a_4 _11949_ (.A1(_05967_),
+    .A2(_06016_),
+    .B1(\u_m1_res_fifo.mem[4][30] ),
+    .B2(_06019_),
     .X(_00383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12154_ (.A1(_06069_),
-    .A2(_06118_),
-    .B1(\u_m1_res_fifo.mem[4][30] ),
-    .B2(_06121_),
+ sky130_fd_sc_hd__o22a_4 _11950_ (.A1(_05968_),
+    .A2(_06016_),
+    .B1(\u_m1_res_fifo.mem[4][29] ),
+    .B2(_06019_),
     .X(_00382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12155_ (.A1(_06070_),
-    .A2(_06118_),
-    .B1(\u_m1_res_fifo.mem[4][29] ),
-    .B2(_06121_),
+ sky130_fd_sc_hd__o22a_4 _11951_ (.A1(_05969_),
+    .A2(_06016_),
+    .B1(\u_m1_res_fifo.mem[4][28] ),
+    .B2(_06019_),
     .X(_00381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12156_ (.A1(_06071_),
-    .A2(_06118_),
-    .B1(\u_m1_res_fifo.mem[4][28] ),
-    .B2(_06121_),
+ sky130_fd_sc_hd__buf_2 _11952_ (.A(_06015_),
+    .X(_06020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11953_ (.A(_06018_),
+    .X(_06021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11954_ (.A1(_05970_),
+    .A2(_06020_),
+    .B1(\u_m1_res_fifo.mem[4][27] ),
+    .B2(_06021_),
     .X(_00380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12157_ (.A(_06117_),
-    .X(_06122_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12158_ (.A(_06120_),
-    .X(_06123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12159_ (.A1(_06072_),
-    .A2(_06122_),
-    .B1(\u_m1_res_fifo.mem[4][27] ),
-    .B2(_06123_),
+ sky130_fd_sc_hd__o22a_4 _11955_ (.A1(_05973_),
+    .A2(_06020_),
+    .B1(\u_m1_res_fifo.mem[4][26] ),
+    .B2(_06021_),
     .X(_00379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12160_ (.A1(_06075_),
-    .A2(_06122_),
-    .B1(\u_m1_res_fifo.mem[4][26] ),
-    .B2(_06123_),
+ sky130_fd_sc_hd__o22a_4 _11956_ (.A1(_05974_),
+    .A2(_06020_),
+    .B1(\u_m1_res_fifo.mem[4][25] ),
+    .B2(_06021_),
     .X(_00378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12161_ (.A1(_06076_),
-    .A2(_06122_),
-    .B1(\u_m1_res_fifo.mem[4][25] ),
-    .B2(_06123_),
+ sky130_fd_sc_hd__o22a_4 _11957_ (.A1(_05975_),
+    .A2(_06020_),
+    .B1(\u_m1_res_fifo.mem[4][24] ),
+    .B2(_06021_),
     .X(_00377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12162_ (.A1(_06077_),
-    .A2(_06122_),
-    .B1(\u_m1_res_fifo.mem[4][24] ),
-    .B2(_06123_),
+ sky130_fd_sc_hd__buf_2 _11958_ (.A(_06015_),
+    .X(_06022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11959_ (.A(_06018_),
+    .X(_06023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11960_ (.A1(_05976_),
+    .A2(_06022_),
+    .B1(\u_m1_res_fifo.mem[4][23] ),
+    .B2(_06023_),
     .X(_00376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12163_ (.A(_06117_),
-    .X(_06124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12164_ (.A(_06120_),
-    .X(_06125_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12165_ (.A1(_06078_),
-    .A2(_06124_),
-    .B1(\u_m1_res_fifo.mem[4][23] ),
-    .B2(_06125_),
+ sky130_fd_sc_hd__o22a_4 _11961_ (.A1(_05979_),
+    .A2(_06022_),
+    .B1(\u_m1_res_fifo.mem[4][22] ),
+    .B2(_06023_),
     .X(_00375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12166_ (.A1(_06081_),
-    .A2(_06124_),
-    .B1(\u_m1_res_fifo.mem[4][22] ),
-    .B2(_06125_),
+ sky130_fd_sc_hd__o22a_4 _11962_ (.A1(_05980_),
+    .A2(_06022_),
+    .B1(\u_m1_res_fifo.mem[4][21] ),
+    .B2(_06023_),
     .X(_00374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12167_ (.A1(_06082_),
-    .A2(_06124_),
-    .B1(\u_m1_res_fifo.mem[4][21] ),
-    .B2(_06125_),
+ sky130_fd_sc_hd__o22a_4 _11963_ (.A1(_05981_),
+    .A2(_06022_),
+    .B1(\u_m1_res_fifo.mem[4][20] ),
+    .B2(_06023_),
     .X(_00373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12168_ (.A1(_06083_),
-    .A2(_06124_),
-    .B1(\u_m1_res_fifo.mem[4][20] ),
-    .B2(_06125_),
+ sky130_fd_sc_hd__buf_2 _11964_ (.A(_06015_),
+    .X(_06024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11965_ (.A(_06018_),
+    .X(_06025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11966_ (.A1(_05982_),
+    .A2(_06024_),
+    .B1(\u_m1_res_fifo.mem[4][19] ),
+    .B2(_06025_),
     .X(_00372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12169_ (.A(_06117_),
-    .X(_06126_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12170_ (.A(_06120_),
-    .X(_06127_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12171_ (.A1(_06084_),
-    .A2(_06126_),
-    .B1(\u_m1_res_fifo.mem[4][19] ),
-    .B2(_06127_),
+ sky130_fd_sc_hd__o22a_4 _11967_ (.A1(_05985_),
+    .A2(_06024_),
+    .B1(\u_m1_res_fifo.mem[4][18] ),
+    .B2(_06025_),
     .X(_00371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12172_ (.A1(_06087_),
-    .A2(_06126_),
-    .B1(\u_m1_res_fifo.mem[4][18] ),
-    .B2(_06127_),
+ sky130_fd_sc_hd__o22a_4 _11968_ (.A1(_05986_),
+    .A2(_06024_),
+    .B1(\u_m1_res_fifo.mem[4][17] ),
+    .B2(_06025_),
     .X(_00370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12173_ (.A1(_06088_),
-    .A2(_06126_),
-    .B1(\u_m1_res_fifo.mem[4][17] ),
-    .B2(_06127_),
+ sky130_fd_sc_hd__o22a_4 _11969_ (.A1(_05987_),
+    .A2(_06024_),
+    .B1(\u_m1_res_fifo.mem[4][16] ),
+    .B2(_06025_),
     .X(_00369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12174_ (.A1(_06089_),
-    .A2(_06126_),
-    .B1(\u_m1_res_fifo.mem[4][16] ),
-    .B2(_06127_),
+ sky130_fd_sc_hd__buf_2 _11970_ (.A(_06014_),
+    .X(_06026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11971_ (.A(_06026_),
+    .X(_06027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11972_ (.A(_06017_),
+    .X(_06028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11973_ (.A(_06028_),
+    .X(_06029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11974_ (.A1(_05988_),
+    .A2(_06027_),
+    .B1(\u_m1_res_fifo.mem[4][15] ),
+    .B2(_06029_),
     .X(_00368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12175_ (.A(_06116_),
-    .X(_06128_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12176_ (.A(_06128_),
-    .X(_06129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12177_ (.A(_06119_),
-    .X(_06130_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12178_ (.A(_06130_),
-    .X(_06131_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12179_ (.A1(_06090_),
-    .A2(_06129_),
-    .B1(\u_m1_res_fifo.mem[4][15] ),
-    .B2(_06131_),
+ sky130_fd_sc_hd__o22a_4 _11975_ (.A1(_05993_),
+    .A2(_06027_),
+    .B1(\u_m1_res_fifo.mem[4][14] ),
+    .B2(_06029_),
     .X(_00367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12180_ (.A1(_06095_),
-    .A2(_06129_),
-    .B1(\u_m1_res_fifo.mem[4][14] ),
-    .B2(_06131_),
+ sky130_fd_sc_hd__o22a_4 _11976_ (.A1(_05994_),
+    .A2(_06027_),
+    .B1(\u_m1_res_fifo.mem[4][13] ),
+    .B2(_06029_),
     .X(_00366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12181_ (.A1(_06096_),
-    .A2(_06129_),
-    .B1(\u_m1_res_fifo.mem[4][13] ),
-    .B2(_06131_),
+ sky130_fd_sc_hd__o22a_4 _11977_ (.A1(_05995_),
+    .A2(_06027_),
+    .B1(\u_m1_res_fifo.mem[4][12] ),
+    .B2(_06029_),
     .X(_00365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12182_ (.A1(_06097_),
-    .A2(_06129_),
-    .B1(\u_m1_res_fifo.mem[4][12] ),
-    .B2(_06131_),
+ sky130_fd_sc_hd__buf_2 _11978_ (.A(_06026_),
+    .X(_06030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11979_ (.A(_06028_),
+    .X(_06031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11980_ (.A1(_05996_),
+    .A2(_06030_),
+    .B1(\u_m1_res_fifo.mem[4][11] ),
+    .B2(_06031_),
     .X(_00364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12183_ (.A(_06128_),
-    .X(_06132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12184_ (.A(_06130_),
-    .X(_06133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12185_ (.A1(_06098_),
-    .A2(_06132_),
-    .B1(\u_m1_res_fifo.mem[4][11] ),
-    .B2(_06133_),
+ sky130_fd_sc_hd__o22a_4 _11981_ (.A1(_05999_),
+    .A2(_06030_),
+    .B1(\u_m1_res_fifo.mem[4][10] ),
+    .B2(_06031_),
     .X(_00363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12186_ (.A1(_06101_),
-    .A2(_06132_),
-    .B1(\u_m1_res_fifo.mem[4][10] ),
-    .B2(_06133_),
+ sky130_fd_sc_hd__o22a_4 _11982_ (.A1(_06000_),
+    .A2(_06030_),
+    .B1(\u_m1_res_fifo.mem[4][9] ),
+    .B2(_06031_),
     .X(_00362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12187_ (.A1(_06102_),
-    .A2(_06132_),
-    .B1(\u_m1_res_fifo.mem[4][9] ),
-    .B2(_06133_),
+ sky130_fd_sc_hd__o22a_4 _11983_ (.A1(_06001_),
+    .A2(_06030_),
+    .B1(\u_m1_res_fifo.mem[4][8] ),
+    .B2(_06031_),
     .X(_00361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12188_ (.A1(_06103_),
-    .A2(_06132_),
-    .B1(\u_m1_res_fifo.mem[4][8] ),
-    .B2(_06133_),
+ sky130_fd_sc_hd__buf_2 _11984_ (.A(_06026_),
+    .X(_06032_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11985_ (.A(_06028_),
+    .X(_06033_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11986_ (.A1(_06002_),
+    .A2(_06032_),
+    .B1(\u_m1_res_fifo.mem[4][7] ),
+    .B2(_06033_),
     .X(_00360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12189_ (.A(_06128_),
-    .X(_06134_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12190_ (.A(_06130_),
-    .X(_06135_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12191_ (.A1(_06104_),
-    .A2(_06134_),
-    .B1(\u_m1_res_fifo.mem[4][7] ),
-    .B2(_06135_),
+ sky130_fd_sc_hd__o22a_4 _11987_ (.A1(_06005_),
+    .A2(_06032_),
+    .B1(\u_m1_res_fifo.mem[4][6] ),
+    .B2(_06033_),
     .X(_00359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12192_ (.A1(_06107_),
-    .A2(_06134_),
-    .B1(\u_m1_res_fifo.mem[4][6] ),
-    .B2(_06135_),
+ sky130_fd_sc_hd__o22a_4 _11988_ (.A1(_06006_),
+    .A2(_06032_),
+    .B1(\u_m1_res_fifo.mem[4][5] ),
+    .B2(_06033_),
     .X(_00358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12193_ (.A1(_06108_),
-    .A2(_06134_),
-    .B1(\u_m1_res_fifo.mem[4][5] ),
-    .B2(_06135_),
+ sky130_fd_sc_hd__o22a_4 _11989_ (.A1(_06007_),
+    .A2(_06032_),
+    .B1(\u_m1_res_fifo.mem[4][4] ),
+    .B2(_06033_),
     .X(_00357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12194_ (.A1(_06109_),
-    .A2(_06134_),
-    .B1(\u_m1_res_fifo.mem[4][4] ),
-    .B2(_06135_),
+ sky130_fd_sc_hd__buf_2 _11990_ (.A(_06026_),
+    .X(_06034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11991_ (.A(_06028_),
+    .X(_06035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _11992_ (.A1(_06008_),
+    .A2(_06034_),
+    .B1(\u_m1_res_fifo.mem[4][3] ),
+    .B2(_06035_),
     .X(_00356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12195_ (.A(_06128_),
-    .X(_06136_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12196_ (.A(_06130_),
-    .X(_06137_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12197_ (.A1(_06110_),
-    .A2(_06136_),
-    .B1(\u_m1_res_fifo.mem[4][3] ),
-    .B2(_06137_),
+ sky130_fd_sc_hd__o22a_4 _11993_ (.A1(_06011_),
+    .A2(_06034_),
+    .B1(\u_m1_res_fifo.mem[4][2] ),
+    .B2(_06035_),
     .X(_00355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12198_ (.A1(_06113_),
-    .A2(_06136_),
-    .B1(\u_m1_res_fifo.mem[4][2] ),
-    .B2(_06137_),
+ sky130_fd_sc_hd__o22a_4 _11994_ (.A1(_06012_),
+    .A2(_06034_),
+    .B1(\u_m1_res_fifo.mem[4][1] ),
+    .B2(_06035_),
     .X(_00354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12199_ (.A1(_06114_),
-    .A2(_06136_),
-    .B1(\u_m1_res_fifo.mem[4][1] ),
-    .B2(_06137_),
+ sky130_fd_sc_hd__o22a_4 _11995_ (.A1(_06013_),
+    .A2(_06034_),
+    .B1(\u_m1_res_fifo.mem[4][0] ),
+    .B2(_06035_),
     .X(_00353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12200_ (.A1(_06115_),
-    .A2(_06136_),
-    .B1(\u_m1_res_fifo.mem[4][0] ),
-    .B2(_06137_),
+ sky130_fd_sc_hd__or4_4 _11996_ (.A(_05212_),
+    .B(_05226_),
+    .C(_01480_),
+    .D(\u_m1_res_fifo.wr_ptr[1] ),
+    .X(_06036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11997_ (.A(_06036_),
+    .X(_06037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _11998_ (.A(_06037_),
+    .X(_06038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _11999_ (.A(_06036_),
+    .Y(_06039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12000_ (.A(_06039_),
+    .X(_06040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12001_ (.A(_06040_),
+    .X(_06041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12002_ (.A1(_05960_),
+    .A2(_06038_),
+    .B1(\u_m1_res_fifo.mem[5][31] ),
+    .B2(_06041_),
     .X(_00352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12201_ (.A(_05362_),
-    .B(_05376_),
-    .C(_01481_),
-    .D(\u_m1_res_fifo.wr_ptr[1] ),
-    .X(_06138_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12202_ (.A(_06138_),
-    .X(_06139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12203_ (.A(_06139_),
-    .X(_06140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12204_ (.A(_06138_),
-    .Y(_06141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12205_ (.A(_06141_),
-    .X(_06142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12206_ (.A(_06142_),
-    .X(_06143_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12207_ (.A1(_06062_),
-    .A2(_06140_),
-    .B1(\u_m1_res_fifo.mem[5][31] ),
-    .B2(_06143_),
+ sky130_fd_sc_hd__o22a_4 _12003_ (.A1(_05967_),
+    .A2(_06038_),
+    .B1(\u_m1_res_fifo.mem[5][30] ),
+    .B2(_06041_),
     .X(_00351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12208_ (.A1(_06069_),
-    .A2(_06140_),
-    .B1(\u_m1_res_fifo.mem[5][30] ),
-    .B2(_06143_),
+ sky130_fd_sc_hd__o22a_4 _12004_ (.A1(_05968_),
+    .A2(_06038_),
+    .B1(\u_m1_res_fifo.mem[5][29] ),
+    .B2(_06041_),
     .X(_00350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12209_ (.A1(_06070_),
-    .A2(_06140_),
-    .B1(\u_m1_res_fifo.mem[5][29] ),
-    .B2(_06143_),
+ sky130_fd_sc_hd__o22a_4 _12005_ (.A1(_05969_),
+    .A2(_06038_),
+    .B1(\u_m1_res_fifo.mem[5][28] ),
+    .B2(_06041_),
     .X(_00349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12210_ (.A1(_06071_),
-    .A2(_06140_),
-    .B1(\u_m1_res_fifo.mem[5][28] ),
-    .B2(_06143_),
+ sky130_fd_sc_hd__buf_2 _12006_ (.A(_06037_),
+    .X(_06042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12007_ (.A(_06040_),
+    .X(_06043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12008_ (.A1(_05970_),
+    .A2(_06042_),
+    .B1(\u_m1_res_fifo.mem[5][27] ),
+    .B2(_06043_),
     .X(_00348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12211_ (.A(_06139_),
-    .X(_06144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12212_ (.A(_06142_),
-    .X(_06145_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12213_ (.A1(_06072_),
-    .A2(_06144_),
-    .B1(\u_m1_res_fifo.mem[5][27] ),
-    .B2(_06145_),
+ sky130_fd_sc_hd__o22a_4 _12009_ (.A1(_05973_),
+    .A2(_06042_),
+    .B1(\u_m1_res_fifo.mem[5][26] ),
+    .B2(_06043_),
     .X(_00347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12214_ (.A1(_06075_),
-    .A2(_06144_),
-    .B1(\u_m1_res_fifo.mem[5][26] ),
-    .B2(_06145_),
+ sky130_fd_sc_hd__o22a_4 _12010_ (.A1(_05974_),
+    .A2(_06042_),
+    .B1(\u_m1_res_fifo.mem[5][25] ),
+    .B2(_06043_),
     .X(_00346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12215_ (.A1(_06076_),
-    .A2(_06144_),
-    .B1(\u_m1_res_fifo.mem[5][25] ),
-    .B2(_06145_),
+ sky130_fd_sc_hd__o22a_4 _12011_ (.A1(_05975_),
+    .A2(_06042_),
+    .B1(\u_m1_res_fifo.mem[5][24] ),
+    .B2(_06043_),
     .X(_00345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12216_ (.A1(_06077_),
-    .A2(_06144_),
-    .B1(\u_m1_res_fifo.mem[5][24] ),
-    .B2(_06145_),
+ sky130_fd_sc_hd__buf_2 _12012_ (.A(_06037_),
+    .X(_06044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12013_ (.A(_06040_),
+    .X(_06045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12014_ (.A1(_05976_),
+    .A2(_06044_),
+    .B1(\u_m1_res_fifo.mem[5][23] ),
+    .B2(_06045_),
     .X(_00344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12217_ (.A(_06139_),
-    .X(_06146_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12218_ (.A(_06142_),
-    .X(_06147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12219_ (.A1(_06078_),
-    .A2(_06146_),
-    .B1(\u_m1_res_fifo.mem[5][23] ),
-    .B2(_06147_),
+ sky130_fd_sc_hd__o22a_4 _12015_ (.A1(_05979_),
+    .A2(_06044_),
+    .B1(\u_m1_res_fifo.mem[5][22] ),
+    .B2(_06045_),
     .X(_00343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12220_ (.A1(_06081_),
-    .A2(_06146_),
-    .B1(\u_m1_res_fifo.mem[5][22] ),
-    .B2(_06147_),
+ sky130_fd_sc_hd__o22a_4 _12016_ (.A1(_05980_),
+    .A2(_06044_),
+    .B1(\u_m1_res_fifo.mem[5][21] ),
+    .B2(_06045_),
     .X(_00342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12221_ (.A1(_06082_),
-    .A2(_06146_),
-    .B1(\u_m1_res_fifo.mem[5][21] ),
-    .B2(_06147_),
+ sky130_fd_sc_hd__o22a_4 _12017_ (.A1(_05981_),
+    .A2(_06044_),
+    .B1(\u_m1_res_fifo.mem[5][20] ),
+    .B2(_06045_),
     .X(_00341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12222_ (.A1(_06083_),
-    .A2(_06146_),
-    .B1(\u_m1_res_fifo.mem[5][20] ),
-    .B2(_06147_),
+ sky130_fd_sc_hd__buf_2 _12018_ (.A(_06037_),
+    .X(_06046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12019_ (.A(_06040_),
+    .X(_06047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12020_ (.A1(_05982_),
+    .A2(_06046_),
+    .B1(\u_m1_res_fifo.mem[5][19] ),
+    .B2(_06047_),
     .X(_00340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12223_ (.A(_06139_),
-    .X(_06148_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12224_ (.A(_06142_),
-    .X(_06149_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12225_ (.A1(_06084_),
-    .A2(_06148_),
-    .B1(\u_m1_res_fifo.mem[5][19] ),
-    .B2(_06149_),
+ sky130_fd_sc_hd__o22a_4 _12021_ (.A1(_05985_),
+    .A2(_06046_),
+    .B1(\u_m1_res_fifo.mem[5][18] ),
+    .B2(_06047_),
     .X(_00339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12226_ (.A1(_06087_),
-    .A2(_06148_),
-    .B1(\u_m1_res_fifo.mem[5][18] ),
-    .B2(_06149_),
+ sky130_fd_sc_hd__o22a_4 _12022_ (.A1(_05986_),
+    .A2(_06046_),
+    .B1(\u_m1_res_fifo.mem[5][17] ),
+    .B2(_06047_),
     .X(_00338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12227_ (.A1(_06088_),
-    .A2(_06148_),
-    .B1(\u_m1_res_fifo.mem[5][17] ),
-    .B2(_06149_),
+ sky130_fd_sc_hd__o22a_4 _12023_ (.A1(_05987_),
+    .A2(_06046_),
+    .B1(\u_m1_res_fifo.mem[5][16] ),
+    .B2(_06047_),
     .X(_00337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12228_ (.A1(_06089_),
-    .A2(_06148_),
-    .B1(\u_m1_res_fifo.mem[5][16] ),
-    .B2(_06149_),
+ sky130_fd_sc_hd__buf_2 _12024_ (.A(_06036_),
+    .X(_06048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12025_ (.A(_06048_),
+    .X(_06049_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12026_ (.A(_06039_),
+    .X(_06050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12027_ (.A(_06050_),
+    .X(_06051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12028_ (.A1(_05988_),
+    .A2(_06049_),
+    .B1(\u_m1_res_fifo.mem[5][15] ),
+    .B2(_06051_),
     .X(_00336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12229_ (.A(_06138_),
-    .X(_06150_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12230_ (.A(_06150_),
-    .X(_06151_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12231_ (.A(_06141_),
-    .X(_06152_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12232_ (.A(_06152_),
-    .X(_06153_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12233_ (.A1(_06090_),
-    .A2(_06151_),
-    .B1(\u_m1_res_fifo.mem[5][15] ),
-    .B2(_06153_),
+ sky130_fd_sc_hd__o22a_4 _12029_ (.A1(_05993_),
+    .A2(_06049_),
+    .B1(\u_m1_res_fifo.mem[5][14] ),
+    .B2(_06051_),
     .X(_00335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12234_ (.A1(_06095_),
-    .A2(_06151_),
-    .B1(\u_m1_res_fifo.mem[5][14] ),
-    .B2(_06153_),
+ sky130_fd_sc_hd__o22a_4 _12030_ (.A1(_05994_),
+    .A2(_06049_),
+    .B1(\u_m1_res_fifo.mem[5][13] ),
+    .B2(_06051_),
     .X(_00334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12235_ (.A1(_06096_),
-    .A2(_06151_),
-    .B1(\u_m1_res_fifo.mem[5][13] ),
-    .B2(_06153_),
+ sky130_fd_sc_hd__o22a_4 _12031_ (.A1(_05995_),
+    .A2(_06049_),
+    .B1(\u_m1_res_fifo.mem[5][12] ),
+    .B2(_06051_),
     .X(_00333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12236_ (.A1(_06097_),
-    .A2(_06151_),
-    .B1(\u_m1_res_fifo.mem[5][12] ),
-    .B2(_06153_),
+ sky130_fd_sc_hd__buf_2 _12032_ (.A(_06048_),
+    .X(_06052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12033_ (.A(_06050_),
+    .X(_06053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12034_ (.A1(_05996_),
+    .A2(_06052_),
+    .B1(\u_m1_res_fifo.mem[5][11] ),
+    .B2(_06053_),
     .X(_00332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12237_ (.A(_06150_),
-    .X(_06154_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12238_ (.A(_06152_),
-    .X(_06155_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12239_ (.A1(_06098_),
-    .A2(_06154_),
-    .B1(\u_m1_res_fifo.mem[5][11] ),
-    .B2(_06155_),
+ sky130_fd_sc_hd__o22a_4 _12035_ (.A1(_05999_),
+    .A2(_06052_),
+    .B1(\u_m1_res_fifo.mem[5][10] ),
+    .B2(_06053_),
     .X(_00331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12240_ (.A1(_06101_),
-    .A2(_06154_),
-    .B1(\u_m1_res_fifo.mem[5][10] ),
-    .B2(_06155_),
+ sky130_fd_sc_hd__o22a_4 _12036_ (.A1(_06000_),
+    .A2(_06052_),
+    .B1(\u_m1_res_fifo.mem[5][9] ),
+    .B2(_06053_),
     .X(_00330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12241_ (.A1(_06102_),
-    .A2(_06154_),
-    .B1(\u_m1_res_fifo.mem[5][9] ),
-    .B2(_06155_),
+ sky130_fd_sc_hd__o22a_4 _12037_ (.A1(_06001_),
+    .A2(_06052_),
+    .B1(\u_m1_res_fifo.mem[5][8] ),
+    .B2(_06053_),
     .X(_00329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12242_ (.A1(_06103_),
-    .A2(_06154_),
-    .B1(\u_m1_res_fifo.mem[5][8] ),
-    .B2(_06155_),
+ sky130_fd_sc_hd__buf_2 _12038_ (.A(_06048_),
+    .X(_06054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12039_ (.A(_06050_),
+    .X(_06055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12040_ (.A1(_06002_),
+    .A2(_06054_),
+    .B1(\u_m1_res_fifo.mem[5][7] ),
+    .B2(_06055_),
     .X(_00328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12243_ (.A(_06150_),
-    .X(_06156_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12244_ (.A(_06152_),
-    .X(_06157_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12245_ (.A1(_06104_),
-    .A2(_06156_),
-    .B1(\u_m1_res_fifo.mem[5][7] ),
-    .B2(_06157_),
+ sky130_fd_sc_hd__o22a_4 _12041_ (.A1(_06005_),
+    .A2(_06054_),
+    .B1(\u_m1_res_fifo.mem[5][6] ),
+    .B2(_06055_),
     .X(_00327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12246_ (.A1(_06107_),
-    .A2(_06156_),
-    .B1(\u_m1_res_fifo.mem[5][6] ),
-    .B2(_06157_),
+ sky130_fd_sc_hd__o22a_4 _12042_ (.A1(_06006_),
+    .A2(_06054_),
+    .B1(\u_m1_res_fifo.mem[5][5] ),
+    .B2(_06055_),
     .X(_00326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12247_ (.A1(_06108_),
-    .A2(_06156_),
-    .B1(\u_m1_res_fifo.mem[5][5] ),
-    .B2(_06157_),
+ sky130_fd_sc_hd__o22a_4 _12043_ (.A1(_06007_),
+    .A2(_06054_),
+    .B1(\u_m1_res_fifo.mem[5][4] ),
+    .B2(_06055_),
     .X(_00325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12248_ (.A1(_06109_),
-    .A2(_06156_),
-    .B1(\u_m1_res_fifo.mem[5][4] ),
-    .B2(_06157_),
+ sky130_fd_sc_hd__buf_2 _12044_ (.A(_06048_),
+    .X(_06056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12045_ (.A(_06050_),
+    .X(_06057_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12046_ (.A1(_06008_),
+    .A2(_06056_),
+    .B1(\u_m1_res_fifo.mem[5][3] ),
+    .B2(_06057_),
     .X(_00324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12249_ (.A(_06150_),
-    .X(_06158_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12250_ (.A(_06152_),
-    .X(_06159_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12251_ (.A1(_06110_),
-    .A2(_06158_),
-    .B1(\u_m1_res_fifo.mem[5][3] ),
-    .B2(_06159_),
+ sky130_fd_sc_hd__o22a_4 _12047_ (.A1(_06011_),
+    .A2(_06056_),
+    .B1(\u_m1_res_fifo.mem[5][2] ),
+    .B2(_06057_),
     .X(_00323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12252_ (.A1(_06113_),
-    .A2(_06158_),
-    .B1(\u_m1_res_fifo.mem[5][2] ),
-    .B2(_06159_),
+ sky130_fd_sc_hd__o22a_4 _12048_ (.A1(_06012_),
+    .A2(_06056_),
+    .B1(\u_m1_res_fifo.mem[5][1] ),
+    .B2(_06057_),
     .X(_00322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12253_ (.A1(_06114_),
-    .A2(_06158_),
-    .B1(\u_m1_res_fifo.mem[5][1] ),
-    .B2(_06159_),
+ sky130_fd_sc_hd__o22a_4 _12049_ (.A1(_06013_),
+    .A2(_06056_),
+    .B1(\u_m1_res_fifo.mem[5][0] ),
+    .B2(_06057_),
     .X(_00321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12254_ (.A1(_06115_),
-    .A2(_06158_),
-    .B1(\u_m1_res_fifo.mem[5][0] ),
-    .B2(_06159_),
+ sky130_fd_sc_hd__or4_4 _12050_ (.A(_05892_),
+    .B(_05226_),
+    .C(_01489_),
+    .D(_05211_),
+    .X(_06058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12051_ (.A(_06058_),
+    .X(_06059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12052_ (.A(_06059_),
+    .X(_06060_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12053_ (.A(_06058_),
+    .Y(_06061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12054_ (.A(_06061_),
+    .X(_06062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12055_ (.A(_06062_),
+    .X(_06063_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12056_ (.A1(_05960_),
+    .A2(_06060_),
+    .B1(\u_m1_res_fifo.mem[6][31] ),
+    .B2(_06063_),
     .X(_00320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12255_ (.A(_05994_),
-    .B(_05376_),
-    .C(_01490_),
-    .D(_05361_),
-    .X(_06160_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12256_ (.A(_06160_),
-    .X(_06161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12257_ (.A(_06161_),
-    .X(_06162_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12258_ (.A(_06160_),
-    .Y(_06163_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12259_ (.A(_06163_),
-    .X(_06164_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12260_ (.A(_06164_),
-    .X(_06165_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12261_ (.A1(_06062_),
-    .A2(_06162_),
-    .B1(\u_m1_res_fifo.mem[6][31] ),
-    .B2(_06165_),
+ sky130_fd_sc_hd__o22a_4 _12057_ (.A1(_05967_),
+    .A2(_06060_),
+    .B1(\u_m1_res_fifo.mem[6][30] ),
+    .B2(_06063_),
     .X(_00319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12262_ (.A1(_06069_),
-    .A2(_06162_),
-    .B1(\u_m1_res_fifo.mem[6][30] ),
-    .B2(_06165_),
+ sky130_fd_sc_hd__o22a_4 _12058_ (.A1(_05968_),
+    .A2(_06060_),
+    .B1(\u_m1_res_fifo.mem[6][29] ),
+    .B2(_06063_),
     .X(_00318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12263_ (.A1(_06070_),
-    .A2(_06162_),
-    .B1(\u_m1_res_fifo.mem[6][29] ),
-    .B2(_06165_),
+ sky130_fd_sc_hd__o22a_4 _12059_ (.A1(_05969_),
+    .A2(_06060_),
+    .B1(\u_m1_res_fifo.mem[6][28] ),
+    .B2(_06063_),
     .X(_00317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12264_ (.A1(_06071_),
-    .A2(_06162_),
-    .B1(\u_m1_res_fifo.mem[6][28] ),
-    .B2(_06165_),
+ sky130_fd_sc_hd__buf_2 _12060_ (.A(_06059_),
+    .X(_06064_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12061_ (.A(_06062_),
+    .X(_06065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12062_ (.A1(_05970_),
+    .A2(_06064_),
+    .B1(\u_m1_res_fifo.mem[6][27] ),
+    .B2(_06065_),
     .X(_00316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12265_ (.A(_06161_),
-    .X(_06166_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12266_ (.A(_06164_),
-    .X(_06167_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12267_ (.A1(_06072_),
-    .A2(_06166_),
-    .B1(\u_m1_res_fifo.mem[6][27] ),
-    .B2(_06167_),
+ sky130_fd_sc_hd__o22a_4 _12063_ (.A1(_05973_),
+    .A2(_06064_),
+    .B1(\u_m1_res_fifo.mem[6][26] ),
+    .B2(_06065_),
     .X(_00315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12268_ (.A1(_06075_),
-    .A2(_06166_),
-    .B1(\u_m1_res_fifo.mem[6][26] ),
-    .B2(_06167_),
+ sky130_fd_sc_hd__o22a_4 _12064_ (.A1(_05974_),
+    .A2(_06064_),
+    .B1(\u_m1_res_fifo.mem[6][25] ),
+    .B2(_06065_),
     .X(_00314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12269_ (.A1(_06076_),
-    .A2(_06166_),
-    .B1(\u_m1_res_fifo.mem[6][25] ),
-    .B2(_06167_),
+ sky130_fd_sc_hd__o22a_4 _12065_ (.A1(_05975_),
+    .A2(_06064_),
+    .B1(\u_m1_res_fifo.mem[6][24] ),
+    .B2(_06065_),
     .X(_00313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12270_ (.A1(_06077_),
-    .A2(_06166_),
-    .B1(\u_m1_res_fifo.mem[6][24] ),
-    .B2(_06167_),
+ sky130_fd_sc_hd__buf_2 _12066_ (.A(_06059_),
+    .X(_06066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12067_ (.A(_06062_),
+    .X(_06067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12068_ (.A1(_05976_),
+    .A2(_06066_),
+    .B1(\u_m1_res_fifo.mem[6][23] ),
+    .B2(_06067_),
     .X(_00312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12271_ (.A(_06161_),
-    .X(_06168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12272_ (.A(_06164_),
-    .X(_06169_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12273_ (.A1(_06078_),
-    .A2(_06168_),
-    .B1(\u_m1_res_fifo.mem[6][23] ),
-    .B2(_06169_),
+ sky130_fd_sc_hd__o22a_4 _12069_ (.A1(_05979_),
+    .A2(_06066_),
+    .B1(\u_m1_res_fifo.mem[6][22] ),
+    .B2(_06067_),
     .X(_00311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12274_ (.A1(_06081_),
-    .A2(_06168_),
-    .B1(\u_m1_res_fifo.mem[6][22] ),
-    .B2(_06169_),
+ sky130_fd_sc_hd__o22a_4 _12070_ (.A1(_05980_),
+    .A2(_06066_),
+    .B1(\u_m1_res_fifo.mem[6][21] ),
+    .B2(_06067_),
     .X(_00310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12275_ (.A1(_06082_),
-    .A2(_06168_),
-    .B1(\u_m1_res_fifo.mem[6][21] ),
-    .B2(_06169_),
+ sky130_fd_sc_hd__o22a_4 _12071_ (.A1(_05981_),
+    .A2(_06066_),
+    .B1(\u_m1_res_fifo.mem[6][20] ),
+    .B2(_06067_),
     .X(_00309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12276_ (.A1(_06083_),
-    .A2(_06168_),
-    .B1(\u_m1_res_fifo.mem[6][20] ),
-    .B2(_06169_),
+ sky130_fd_sc_hd__buf_2 _12072_ (.A(_06059_),
+    .X(_06068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12073_ (.A(_06062_),
+    .X(_06069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12074_ (.A1(_05982_),
+    .A2(_06068_),
+    .B1(\u_m1_res_fifo.mem[6][19] ),
+    .B2(_06069_),
     .X(_00308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12277_ (.A(_06161_),
-    .X(_06170_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12278_ (.A(_06164_),
-    .X(_06171_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12279_ (.A1(_06084_),
-    .A2(_06170_),
-    .B1(\u_m1_res_fifo.mem[6][19] ),
-    .B2(_06171_),
+ sky130_fd_sc_hd__o22a_4 _12075_ (.A1(_05985_),
+    .A2(_06068_),
+    .B1(\u_m1_res_fifo.mem[6][18] ),
+    .B2(_06069_),
     .X(_00307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12280_ (.A1(_06087_),
-    .A2(_06170_),
-    .B1(\u_m1_res_fifo.mem[6][18] ),
-    .B2(_06171_),
+ sky130_fd_sc_hd__o22a_4 _12076_ (.A1(_05986_),
+    .A2(_06068_),
+    .B1(\u_m1_res_fifo.mem[6][17] ),
+    .B2(_06069_),
     .X(_00306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12281_ (.A1(_06088_),
-    .A2(_06170_),
-    .B1(\u_m1_res_fifo.mem[6][17] ),
-    .B2(_06171_),
+ sky130_fd_sc_hd__o22a_4 _12077_ (.A1(_05987_),
+    .A2(_06068_),
+    .B1(\u_m1_res_fifo.mem[6][16] ),
+    .B2(_06069_),
     .X(_00305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12282_ (.A1(_06089_),
-    .A2(_06170_),
-    .B1(\u_m1_res_fifo.mem[6][16] ),
-    .B2(_06171_),
+ sky130_fd_sc_hd__buf_2 _12078_ (.A(_06058_),
+    .X(_06070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12079_ (.A(_06070_),
+    .X(_06071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12080_ (.A(_06061_),
+    .X(_06072_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12081_ (.A(_06072_),
+    .X(_06073_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12082_ (.A1(_05988_),
+    .A2(_06071_),
+    .B1(\u_m1_res_fifo.mem[6][15] ),
+    .B2(_06073_),
     .X(_00304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12283_ (.A(_06160_),
-    .X(_06172_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12284_ (.A(_06172_),
-    .X(_06173_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12285_ (.A(_06163_),
-    .X(_06174_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12286_ (.A(_06174_),
-    .X(_06175_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12287_ (.A1(_06090_),
-    .A2(_06173_),
-    .B1(\u_m1_res_fifo.mem[6][15] ),
-    .B2(_06175_),
+ sky130_fd_sc_hd__o22a_4 _12083_ (.A1(_05993_),
+    .A2(_06071_),
+    .B1(\u_m1_res_fifo.mem[6][14] ),
+    .B2(_06073_),
     .X(_00303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12288_ (.A1(_06095_),
-    .A2(_06173_),
-    .B1(\u_m1_res_fifo.mem[6][14] ),
-    .B2(_06175_),
+ sky130_fd_sc_hd__o22a_4 _12084_ (.A1(_05994_),
+    .A2(_06071_),
+    .B1(\u_m1_res_fifo.mem[6][13] ),
+    .B2(_06073_),
     .X(_00302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12289_ (.A1(_06096_),
-    .A2(_06173_),
-    .B1(\u_m1_res_fifo.mem[6][13] ),
-    .B2(_06175_),
+ sky130_fd_sc_hd__o22a_4 _12085_ (.A1(_05995_),
+    .A2(_06071_),
+    .B1(\u_m1_res_fifo.mem[6][12] ),
+    .B2(_06073_),
     .X(_00301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12290_ (.A1(_06097_),
-    .A2(_06173_),
-    .B1(\u_m1_res_fifo.mem[6][12] ),
-    .B2(_06175_),
+ sky130_fd_sc_hd__buf_2 _12086_ (.A(_06070_),
+    .X(_06074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12087_ (.A(_06072_),
+    .X(_06075_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12088_ (.A1(_05996_),
+    .A2(_06074_),
+    .B1(\u_m1_res_fifo.mem[6][11] ),
+    .B2(_06075_),
     .X(_00300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12291_ (.A(_06172_),
-    .X(_06176_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12292_ (.A(_06174_),
-    .X(_06177_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12293_ (.A1(_06098_),
-    .A2(_06176_),
-    .B1(\u_m1_res_fifo.mem[6][11] ),
-    .B2(_06177_),
+ sky130_fd_sc_hd__o22a_4 _12089_ (.A1(_05999_),
+    .A2(_06074_),
+    .B1(\u_m1_res_fifo.mem[6][10] ),
+    .B2(_06075_),
     .X(_00299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12294_ (.A1(_06101_),
-    .A2(_06176_),
-    .B1(\u_m1_res_fifo.mem[6][10] ),
-    .B2(_06177_),
+ sky130_fd_sc_hd__o22a_4 _12090_ (.A1(_06000_),
+    .A2(_06074_),
+    .B1(\u_m1_res_fifo.mem[6][9] ),
+    .B2(_06075_),
     .X(_00298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12295_ (.A1(_06102_),
-    .A2(_06176_),
-    .B1(\u_m1_res_fifo.mem[6][9] ),
-    .B2(_06177_),
+ sky130_fd_sc_hd__o22a_4 _12091_ (.A1(_06001_),
+    .A2(_06074_),
+    .B1(\u_m1_res_fifo.mem[6][8] ),
+    .B2(_06075_),
     .X(_00297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12296_ (.A1(_06103_),
-    .A2(_06176_),
-    .B1(\u_m1_res_fifo.mem[6][8] ),
-    .B2(_06177_),
+ sky130_fd_sc_hd__buf_2 _12092_ (.A(_06070_),
+    .X(_06076_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12093_ (.A(_06072_),
+    .X(_06077_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12094_ (.A1(_06002_),
+    .A2(_06076_),
+    .B1(\u_m1_res_fifo.mem[6][7] ),
+    .B2(_06077_),
     .X(_00296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12297_ (.A(_06172_),
-    .X(_06178_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12298_ (.A(_06174_),
-    .X(_06179_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12299_ (.A1(_06104_),
-    .A2(_06178_),
-    .B1(\u_m1_res_fifo.mem[6][7] ),
-    .B2(_06179_),
+ sky130_fd_sc_hd__o22a_4 _12095_ (.A1(_06005_),
+    .A2(_06076_),
+    .B1(\u_m1_res_fifo.mem[6][6] ),
+    .B2(_06077_),
     .X(_00295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12300_ (.A1(_06107_),
-    .A2(_06178_),
-    .B1(\u_m1_res_fifo.mem[6][6] ),
-    .B2(_06179_),
+ sky130_fd_sc_hd__o22a_4 _12096_ (.A1(_06006_),
+    .A2(_06076_),
+    .B1(\u_m1_res_fifo.mem[6][5] ),
+    .B2(_06077_),
     .X(_00294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12301_ (.A1(_06108_),
-    .A2(_06178_),
-    .B1(\u_m1_res_fifo.mem[6][5] ),
-    .B2(_06179_),
+ sky130_fd_sc_hd__o22a_4 _12097_ (.A1(_06007_),
+    .A2(_06076_),
+    .B1(\u_m1_res_fifo.mem[6][4] ),
+    .B2(_06077_),
     .X(_00293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12302_ (.A1(_06109_),
-    .A2(_06178_),
-    .B1(\u_m1_res_fifo.mem[6][4] ),
-    .B2(_06179_),
+ sky130_fd_sc_hd__buf_2 _12098_ (.A(_06070_),
+    .X(_06078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12099_ (.A(_06072_),
+    .X(_06079_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12100_ (.A1(_06008_),
+    .A2(_06078_),
+    .B1(\u_m1_res_fifo.mem[6][3] ),
+    .B2(_06079_),
     .X(_00292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12303_ (.A(_06172_),
-    .X(_06180_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12304_ (.A(_06174_),
-    .X(_06181_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12305_ (.A1(_06110_),
-    .A2(_06180_),
-    .B1(\u_m1_res_fifo.mem[6][3] ),
-    .B2(_06181_),
+ sky130_fd_sc_hd__o22a_4 _12101_ (.A1(_06011_),
+    .A2(_06078_),
+    .B1(\u_m1_res_fifo.mem[6][2] ),
+    .B2(_06079_),
     .X(_00291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12306_ (.A1(_06113_),
-    .A2(_06180_),
-    .B1(\u_m1_res_fifo.mem[6][2] ),
-    .B2(_06181_),
+ sky130_fd_sc_hd__o22a_4 _12102_ (.A1(_06012_),
+    .A2(_06078_),
+    .B1(\u_m1_res_fifo.mem[6][1] ),
+    .B2(_06079_),
     .X(_00290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12307_ (.A1(_06114_),
-    .A2(_06180_),
-    .B1(\u_m1_res_fifo.mem[6][1] ),
-    .B2(_06181_),
+ sky130_fd_sc_hd__o22a_4 _12103_ (.A1(_06013_),
+    .A2(_06078_),
+    .B1(\u_m1_res_fifo.mem[6][0] ),
+    .B2(_06079_),
     .X(_00289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12308_ (.A1(_06115_),
-    .A2(_06180_),
-    .B1(\u_m1_res_fifo.mem[6][0] ),
-    .B2(_06181_),
+ sky130_fd_sc_hd__or4_4 _12104_ (.A(_05403_),
+    .B(_05299_),
+    .C(_05285_),
+    .D(_05296_),
+    .X(_06080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12105_ (.A(_06080_),
+    .X(_06081_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12106_ (.A(_06081_),
+    .X(_06082_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12107_ (.A(_06080_),
+    .Y(_06083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12108_ (.A(_06083_),
+    .X(_06084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12109_ (.A(_06084_),
+    .X(_06085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12110_ (.A1(_05549_),
+    .A2(_06082_),
+    .B1(\u_m0_res_fifo.mem[4][31] ),
+    .B2(_06085_),
     .X(_00288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _12309_ (.A(_05506_),
-    .B(_05391_),
-    .X(_06182_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12310_ (.A(_06182_),
-    .X(_06183_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12311_ (.A(_06183_),
-    .X(_06184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12312_ (.A(_06182_),
-    .Y(_06185_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12313_ (.A(_06185_),
-    .X(_06186_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12314_ (.A(_06186_),
-    .X(_06187_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12315_ (.A1(_05743_),
-    .A2(_06184_),
-    .B1(\u_m0_res_fifo.mem[3][31] ),
-    .B2(_06187_),
+ sky130_fd_sc_hd__o22a_4 _12111_ (.A1(_05556_),
+    .A2(_06082_),
+    .B1(\u_m0_res_fifo.mem[4][30] ),
+    .B2(_06085_),
     .X(_00287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12316_ (.A1(_05750_),
-    .A2(_06184_),
-    .B1(\u_m0_res_fifo.mem[3][30] ),
-    .B2(_06187_),
+ sky130_fd_sc_hd__o22a_4 _12112_ (.A1(_05557_),
+    .A2(_06082_),
+    .B1(\u_m0_res_fifo.mem[4][29] ),
+    .B2(_06085_),
     .X(_00286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12317_ (.A1(_05751_),
-    .A2(_06184_),
-    .B1(\u_m0_res_fifo.mem[3][29] ),
-    .B2(_06187_),
+ sky130_fd_sc_hd__o22a_4 _12113_ (.A1(_05558_),
+    .A2(_06082_),
+    .B1(\u_m0_res_fifo.mem[4][28] ),
+    .B2(_06085_),
     .X(_00285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12318_ (.A1(_05752_),
-    .A2(_06184_),
-    .B1(\u_m0_res_fifo.mem[3][28] ),
-    .B2(_06187_),
+ sky130_fd_sc_hd__buf_2 _12114_ (.A(_06081_),
+    .X(_06086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12115_ (.A(_06084_),
+    .X(_06087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12116_ (.A1(_05559_),
+    .A2(_06086_),
+    .B1(\u_m0_res_fifo.mem[4][27] ),
+    .B2(_06087_),
     .X(_00284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12319_ (.A(_06183_),
-    .X(_06188_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12320_ (.A(_06186_),
-    .X(_06189_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12321_ (.A1(_05753_),
-    .A2(_06188_),
-    .B1(\u_m0_res_fifo.mem[3][27] ),
-    .B2(_06189_),
+ sky130_fd_sc_hd__o22a_4 _12117_ (.A1(_05562_),
+    .A2(_06086_),
+    .B1(\u_m0_res_fifo.mem[4][26] ),
+    .B2(_06087_),
     .X(_00283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12322_ (.A1(_05756_),
-    .A2(_06188_),
-    .B1(\u_m0_res_fifo.mem[3][26] ),
-    .B2(_06189_),
+ sky130_fd_sc_hd__o22a_4 _12118_ (.A1(_05563_),
+    .A2(_06086_),
+    .B1(\u_m0_res_fifo.mem[4][25] ),
+    .B2(_06087_),
     .X(_00282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12323_ (.A1(_05757_),
-    .A2(_06188_),
-    .B1(\u_m0_res_fifo.mem[3][25] ),
-    .B2(_06189_),
+ sky130_fd_sc_hd__o22a_4 _12119_ (.A1(_05564_),
+    .A2(_06086_),
+    .B1(\u_m0_res_fifo.mem[4][24] ),
+    .B2(_06087_),
     .X(_00281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12324_ (.A1(_05758_),
-    .A2(_06188_),
-    .B1(\u_m0_res_fifo.mem[3][24] ),
-    .B2(_06189_),
+ sky130_fd_sc_hd__buf_2 _12120_ (.A(_06081_),
+    .X(_06088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12121_ (.A(_06084_),
+    .X(_06089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12122_ (.A1(_05565_),
+    .A2(_06088_),
+    .B1(\u_m0_res_fifo.mem[4][23] ),
+    .B2(_06089_),
     .X(_00280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12325_ (.A(_06183_),
-    .X(_06190_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12326_ (.A(_06186_),
-    .X(_06191_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12327_ (.A1(_05759_),
-    .A2(_06190_),
-    .B1(\u_m0_res_fifo.mem[3][23] ),
-    .B2(_06191_),
+ sky130_fd_sc_hd__o22a_4 _12123_ (.A1(_05568_),
+    .A2(_06088_),
+    .B1(\u_m0_res_fifo.mem[4][22] ),
+    .B2(_06089_),
     .X(_00279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12328_ (.A1(_05762_),
-    .A2(_06190_),
-    .B1(\u_m0_res_fifo.mem[3][22] ),
-    .B2(_06191_),
+ sky130_fd_sc_hd__o22a_4 _12124_ (.A1(_05569_),
+    .A2(_06088_),
+    .B1(\u_m0_res_fifo.mem[4][21] ),
+    .B2(_06089_),
     .X(_00278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12329_ (.A1(_05763_),
-    .A2(_06190_),
-    .B1(\u_m0_res_fifo.mem[3][21] ),
-    .B2(_06191_),
+ sky130_fd_sc_hd__o22a_4 _12125_ (.A1(_05570_),
+    .A2(_06088_),
+    .B1(\u_m0_res_fifo.mem[4][20] ),
+    .B2(_06089_),
     .X(_00277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12330_ (.A1(_05764_),
-    .A2(_06190_),
-    .B1(\u_m0_res_fifo.mem[3][20] ),
-    .B2(_06191_),
+ sky130_fd_sc_hd__buf_2 _12126_ (.A(_06081_),
+    .X(_06090_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12127_ (.A(_06084_),
+    .X(_06091_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12128_ (.A1(_05571_),
+    .A2(_06090_),
+    .B1(\u_m0_res_fifo.mem[4][19] ),
+    .B2(_06091_),
     .X(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12331_ (.A(_06183_),
-    .X(_06192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12332_ (.A(_06186_),
-    .X(_06193_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12333_ (.A1(_05765_),
-    .A2(_06192_),
-    .B1(\u_m0_res_fifo.mem[3][19] ),
-    .B2(_06193_),
+ sky130_fd_sc_hd__o22a_4 _12129_ (.A1(_05574_),
+    .A2(_06090_),
+    .B1(\u_m0_res_fifo.mem[4][18] ),
+    .B2(_06091_),
     .X(_00275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12334_ (.A1(_05768_),
-    .A2(_06192_),
-    .B1(\u_m0_res_fifo.mem[3][18] ),
-    .B2(_06193_),
+ sky130_fd_sc_hd__o22a_4 _12130_ (.A1(_05575_),
+    .A2(_06090_),
+    .B1(\u_m0_res_fifo.mem[4][17] ),
+    .B2(_06091_),
     .X(_00274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12335_ (.A1(_05769_),
-    .A2(_06192_),
-    .B1(\u_m0_res_fifo.mem[3][17] ),
-    .B2(_06193_),
+ sky130_fd_sc_hd__o22a_4 _12131_ (.A1(_05576_),
+    .A2(_06090_),
+    .B1(\u_m0_res_fifo.mem[4][16] ),
+    .B2(_06091_),
     .X(_00273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12336_ (.A1(_05770_),
-    .A2(_06192_),
-    .B1(\u_m0_res_fifo.mem[3][16] ),
-    .B2(_06193_),
+ sky130_fd_sc_hd__buf_2 _12132_ (.A(_06080_),
+    .X(_06092_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12133_ (.A(_06092_),
+    .X(_06093_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12134_ (.A(_06083_),
+    .X(_06094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12135_ (.A(_06094_),
+    .X(_06095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12136_ (.A1(_05577_),
+    .A2(_06093_),
+    .B1(\u_m0_res_fifo.mem[4][15] ),
+    .B2(_06095_),
     .X(_00272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12337_ (.A(_06182_),
-    .X(_06194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12338_ (.A(_06194_),
-    .X(_06195_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12339_ (.A(_06185_),
-    .X(_06196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12340_ (.A(_06196_),
-    .X(_06197_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12341_ (.A1(_05771_),
-    .A2(_06195_),
-    .B1(\u_m0_res_fifo.mem[3][15] ),
-    .B2(_06197_),
+ sky130_fd_sc_hd__o22a_4 _12137_ (.A1(_05582_),
+    .A2(_06093_),
+    .B1(\u_m0_res_fifo.mem[4][14] ),
+    .B2(_06095_),
     .X(_00271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12342_ (.A1(_05776_),
-    .A2(_06195_),
-    .B1(\u_m0_res_fifo.mem[3][14] ),
-    .B2(_06197_),
+ sky130_fd_sc_hd__o22a_4 _12138_ (.A1(_05583_),
+    .A2(_06093_),
+    .B1(\u_m0_res_fifo.mem[4][13] ),
+    .B2(_06095_),
     .X(_00270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12343_ (.A1(_05777_),
-    .A2(_06195_),
-    .B1(\u_m0_res_fifo.mem[3][13] ),
-    .B2(_06197_),
+ sky130_fd_sc_hd__o22a_4 _12139_ (.A1(_05584_),
+    .A2(_06093_),
+    .B1(\u_m0_res_fifo.mem[4][12] ),
+    .B2(_06095_),
     .X(_00269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12344_ (.A1(_05778_),
-    .A2(_06195_),
-    .B1(\u_m0_res_fifo.mem[3][12] ),
-    .B2(_06197_),
+ sky130_fd_sc_hd__buf_2 _12140_ (.A(_06092_),
+    .X(_06096_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12141_ (.A(_06094_),
+    .X(_06097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12142_ (.A1(_05585_),
+    .A2(_06096_),
+    .B1(\u_m0_res_fifo.mem[4][11] ),
+    .B2(_06097_),
     .X(_00268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12345_ (.A(_06194_),
-    .X(_06198_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12346_ (.A(_06196_),
-    .X(_06199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12347_ (.A1(_05779_),
-    .A2(_06198_),
-    .B1(\u_m0_res_fifo.mem[3][11] ),
-    .B2(_06199_),
+ sky130_fd_sc_hd__o22a_4 _12143_ (.A1(_05588_),
+    .A2(_06096_),
+    .B1(\u_m0_res_fifo.mem[4][10] ),
+    .B2(_06097_),
     .X(_00267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12348_ (.A1(_05782_),
-    .A2(_06198_),
-    .B1(\u_m0_res_fifo.mem[3][10] ),
-    .B2(_06199_),
+ sky130_fd_sc_hd__o22a_4 _12144_ (.A1(_05589_),
+    .A2(_06096_),
+    .B1(\u_m0_res_fifo.mem[4][9] ),
+    .B2(_06097_),
     .X(_00266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12349_ (.A1(_05783_),
-    .A2(_06198_),
-    .B1(\u_m0_res_fifo.mem[3][9] ),
-    .B2(_06199_),
+ sky130_fd_sc_hd__o22a_4 _12145_ (.A1(_05590_),
+    .A2(_06096_),
+    .B1(\u_m0_res_fifo.mem[4][8] ),
+    .B2(_06097_),
     .X(_00265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12350_ (.A1(_05784_),
-    .A2(_06198_),
-    .B1(\u_m0_res_fifo.mem[3][8] ),
-    .B2(_06199_),
+ sky130_fd_sc_hd__buf_2 _12146_ (.A(_06092_),
+    .X(_06098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12147_ (.A(_06094_),
+    .X(_06099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12148_ (.A1(_05591_),
+    .A2(_06098_),
+    .B1(\u_m0_res_fifo.mem[4][7] ),
+    .B2(_06099_),
     .X(_00264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12351_ (.A(_06194_),
-    .X(_06200_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12352_ (.A(_06196_),
-    .X(_06201_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12353_ (.A1(_05785_),
-    .A2(_06200_),
-    .B1(\u_m0_res_fifo.mem[3][7] ),
-    .B2(_06201_),
+ sky130_fd_sc_hd__o22a_4 _12149_ (.A1(_05594_),
+    .A2(_06098_),
+    .B1(\u_m0_res_fifo.mem[4][6] ),
+    .B2(_06099_),
     .X(_00263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12354_ (.A1(_05788_),
-    .A2(_06200_),
-    .B1(\u_m0_res_fifo.mem[3][6] ),
-    .B2(_06201_),
+ sky130_fd_sc_hd__o22a_4 _12150_ (.A1(_05595_),
+    .A2(_06098_),
+    .B1(\u_m0_res_fifo.mem[4][5] ),
+    .B2(_06099_),
     .X(_00262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12355_ (.A1(_05789_),
-    .A2(_06200_),
-    .B1(\u_m0_res_fifo.mem[3][5] ),
-    .B2(_06201_),
+ sky130_fd_sc_hd__o22a_4 _12151_ (.A1(_05596_),
+    .A2(_06098_),
+    .B1(\u_m0_res_fifo.mem[4][4] ),
+    .B2(_06099_),
     .X(_00261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12356_ (.A1(_05790_),
-    .A2(_06200_),
-    .B1(\u_m0_res_fifo.mem[3][4] ),
-    .B2(_06201_),
+ sky130_fd_sc_hd__buf_2 _12152_ (.A(_06092_),
+    .X(_06100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12153_ (.A(_06094_),
+    .X(_06101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12154_ (.A1(_05597_),
+    .A2(_06100_),
+    .B1(\u_m0_res_fifo.mem[4][3] ),
+    .B2(_06101_),
     .X(_00260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12357_ (.A(_06194_),
-    .X(_06202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12358_ (.A(_06196_),
-    .X(_06203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12359_ (.A1(_05791_),
-    .A2(_06202_),
-    .B1(\u_m0_res_fifo.mem[3][3] ),
-    .B2(_06203_),
+ sky130_fd_sc_hd__o22a_4 _12155_ (.A1(_05600_),
+    .A2(_06100_),
+    .B1(\u_m0_res_fifo.mem[4][2] ),
+    .B2(_06101_),
     .X(_00259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12360_ (.A1(_05794_),
-    .A2(_06202_),
-    .B1(\u_m0_res_fifo.mem[3][2] ),
-    .B2(_06203_),
+ sky130_fd_sc_hd__o22a_4 _12156_ (.A1(_05601_),
+    .A2(_06100_),
+    .B1(\u_m0_res_fifo.mem[4][1] ),
+    .B2(_06101_),
     .X(_00258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12361_ (.A1(_05795_),
-    .A2(_06202_),
-    .B1(\u_m0_res_fifo.mem[3][1] ),
-    .B2(_06203_),
+ sky130_fd_sc_hd__o22a_4 _12157_ (.A1(_05602_),
+    .A2(_06100_),
+    .B1(\u_m0_res_fifo.mem[4][0] ),
+    .B2(_06101_),
     .X(_00257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12362_ (.A1(_05796_),
-    .A2(_06202_),
-    .B1(\u_m0_res_fifo.mem[3][0] ),
-    .B2(_06203_),
+ sky130_fd_sc_hd__or4_4 _12158_ (.A(_05286_),
+    .B(_05299_),
+    .C(_01409_),
+    .D(\u_m0_res_fifo.wr_ptr[1] ),
+    .X(_06102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12159_ (.A(_06102_),
+    .X(_06103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12160_ (.A(_06103_),
+    .X(_06104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12161_ (.A(_06102_),
+    .Y(_06105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12162_ (.A(_06105_),
+    .X(_06106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12163_ (.A(_06106_),
+    .X(_06107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12164_ (.A1(_05549_),
+    .A2(_06104_),
+    .B1(\u_m0_res_fifo.mem[5][31] ),
+    .B2(_06107_),
     .X(_00256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12363_ (.A(_05505_),
-    .B(_05401_),
-    .C(_05387_),
-    .D(_05398_),
-    .X(_06204_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12364_ (.A(_06204_),
-    .X(_06205_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12365_ (.A(_06205_),
-    .X(_06206_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12366_ (.A(_06204_),
-    .Y(_06207_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12367_ (.A(_06207_),
-    .X(_06208_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12368_ (.A(_06208_),
-    .X(_06209_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12369_ (.A1(_05743_),
-    .A2(_06206_),
-    .B1(\u_m0_res_fifo.mem[4][31] ),
-    .B2(_06209_),
+ sky130_fd_sc_hd__o22a_4 _12165_ (.A1(_05556_),
+    .A2(_06104_),
+    .B1(\u_m0_res_fifo.mem[5][30] ),
+    .B2(_06107_),
     .X(_00255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12370_ (.A1(_05750_),
-    .A2(_06206_),
-    .B1(\u_m0_res_fifo.mem[4][30] ),
-    .B2(_06209_),
+ sky130_fd_sc_hd__o22a_4 _12166_ (.A1(_05557_),
+    .A2(_06104_),
+    .B1(\u_m0_res_fifo.mem[5][29] ),
+    .B2(_06107_),
     .X(_00254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12371_ (.A1(_05751_),
-    .A2(_06206_),
-    .B1(\u_m0_res_fifo.mem[4][29] ),
-    .B2(_06209_),
+ sky130_fd_sc_hd__o22a_4 _12167_ (.A1(_05558_),
+    .A2(_06104_),
+    .B1(\u_m0_res_fifo.mem[5][28] ),
+    .B2(_06107_),
     .X(_00253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12372_ (.A1(_05752_),
-    .A2(_06206_),
-    .B1(\u_m0_res_fifo.mem[4][28] ),
-    .B2(_06209_),
+ sky130_fd_sc_hd__buf_2 _12168_ (.A(_06103_),
+    .X(_06108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12169_ (.A(_06106_),
+    .X(_06109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12170_ (.A1(_05559_),
+    .A2(_06108_),
+    .B1(\u_m0_res_fifo.mem[5][27] ),
+    .B2(_06109_),
     .X(_00252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12373_ (.A(_06205_),
-    .X(_06210_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12374_ (.A(_06208_),
-    .X(_06211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12375_ (.A1(_05753_),
-    .A2(_06210_),
-    .B1(\u_m0_res_fifo.mem[4][27] ),
-    .B2(_06211_),
+ sky130_fd_sc_hd__o22a_4 _12171_ (.A1(_05562_),
+    .A2(_06108_),
+    .B1(\u_m0_res_fifo.mem[5][26] ),
+    .B2(_06109_),
     .X(_00251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12376_ (.A1(_05756_),
-    .A2(_06210_),
-    .B1(\u_m0_res_fifo.mem[4][26] ),
-    .B2(_06211_),
+ sky130_fd_sc_hd__o22a_4 _12172_ (.A1(_05563_),
+    .A2(_06108_),
+    .B1(\u_m0_res_fifo.mem[5][25] ),
+    .B2(_06109_),
     .X(_00250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12377_ (.A1(_05757_),
-    .A2(_06210_),
-    .B1(\u_m0_res_fifo.mem[4][25] ),
-    .B2(_06211_),
+ sky130_fd_sc_hd__o22a_4 _12173_ (.A1(_05564_),
+    .A2(_06108_),
+    .B1(\u_m0_res_fifo.mem[5][24] ),
+    .B2(_06109_),
     .X(_00249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12378_ (.A1(_05758_),
-    .A2(_06210_),
-    .B1(\u_m0_res_fifo.mem[4][24] ),
-    .B2(_06211_),
+ sky130_fd_sc_hd__buf_2 _12174_ (.A(_06103_),
+    .X(_06110_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12175_ (.A(_06106_),
+    .X(_06111_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12176_ (.A1(_05565_),
+    .A2(_06110_),
+    .B1(\u_m0_res_fifo.mem[5][23] ),
+    .B2(_06111_),
     .X(_00248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12379_ (.A(_06205_),
-    .X(_06212_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12380_ (.A(_06208_),
-    .X(_06213_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12381_ (.A1(_05759_),
-    .A2(_06212_),
-    .B1(\u_m0_res_fifo.mem[4][23] ),
-    .B2(_06213_),
+ sky130_fd_sc_hd__o22a_4 _12177_ (.A1(_05568_),
+    .A2(_06110_),
+    .B1(\u_m0_res_fifo.mem[5][22] ),
+    .B2(_06111_),
     .X(_00247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12382_ (.A1(_05762_),
-    .A2(_06212_),
-    .B1(\u_m0_res_fifo.mem[4][22] ),
-    .B2(_06213_),
+ sky130_fd_sc_hd__o22a_4 _12178_ (.A1(_05569_),
+    .A2(_06110_),
+    .B1(\u_m0_res_fifo.mem[5][21] ),
+    .B2(_06111_),
     .X(_00246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12383_ (.A1(_05763_),
-    .A2(_06212_),
-    .B1(\u_m0_res_fifo.mem[4][21] ),
-    .B2(_06213_),
+ sky130_fd_sc_hd__o22a_4 _12179_ (.A1(_05570_),
+    .A2(_06110_),
+    .B1(\u_m0_res_fifo.mem[5][20] ),
+    .B2(_06111_),
     .X(_00245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12384_ (.A1(_05764_),
-    .A2(_06212_),
-    .B1(\u_m0_res_fifo.mem[4][20] ),
-    .B2(_06213_),
+ sky130_fd_sc_hd__buf_2 _12180_ (.A(_06103_),
+    .X(_06112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12181_ (.A(_06106_),
+    .X(_06113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12182_ (.A1(_05571_),
+    .A2(_06112_),
+    .B1(\u_m0_res_fifo.mem[5][19] ),
+    .B2(_06113_),
     .X(_00244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12385_ (.A(_06205_),
-    .X(_06214_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12386_ (.A(_06208_),
-    .X(_06215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12387_ (.A1(_05765_),
-    .A2(_06214_),
-    .B1(\u_m0_res_fifo.mem[4][19] ),
-    .B2(_06215_),
+ sky130_fd_sc_hd__o22a_4 _12183_ (.A1(_05574_),
+    .A2(_06112_),
+    .B1(\u_m0_res_fifo.mem[5][18] ),
+    .B2(_06113_),
     .X(_00243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12388_ (.A1(_05768_),
-    .A2(_06214_),
-    .B1(\u_m0_res_fifo.mem[4][18] ),
-    .B2(_06215_),
+ sky130_fd_sc_hd__o22a_4 _12184_ (.A1(_05575_),
+    .A2(_06112_),
+    .B1(\u_m0_res_fifo.mem[5][17] ),
+    .B2(_06113_),
     .X(_00242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12389_ (.A1(_05769_),
-    .A2(_06214_),
-    .B1(\u_m0_res_fifo.mem[4][17] ),
-    .B2(_06215_),
+ sky130_fd_sc_hd__o22a_4 _12185_ (.A1(_05576_),
+    .A2(_06112_),
+    .B1(\u_m0_res_fifo.mem[5][16] ),
+    .B2(_06113_),
     .X(_00241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12390_ (.A1(_05770_),
-    .A2(_06214_),
-    .B1(\u_m0_res_fifo.mem[4][16] ),
-    .B2(_06215_),
+ sky130_fd_sc_hd__buf_2 _12186_ (.A(_06102_),
+    .X(_06114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12187_ (.A(_06114_),
+    .X(_06115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12188_ (.A(_06105_),
+    .X(_06116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12189_ (.A(_06116_),
+    .X(_06117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12190_ (.A1(_05577_),
+    .A2(_06115_),
+    .B1(\u_m0_res_fifo.mem[5][15] ),
+    .B2(_06117_),
     .X(_00240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12391_ (.A(_06204_),
-    .X(_06216_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12392_ (.A(_06216_),
-    .X(_06217_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12393_ (.A(_06207_),
-    .X(_06218_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12394_ (.A(_06218_),
-    .X(_06219_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12395_ (.A1(_05771_),
-    .A2(_06217_),
-    .B1(\u_m0_res_fifo.mem[4][15] ),
-    .B2(_06219_),
+ sky130_fd_sc_hd__o22a_4 _12191_ (.A1(_05582_),
+    .A2(_06115_),
+    .B1(\u_m0_res_fifo.mem[5][14] ),
+    .B2(_06117_),
     .X(_00239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12396_ (.A1(_05776_),
-    .A2(_06217_),
-    .B1(\u_m0_res_fifo.mem[4][14] ),
-    .B2(_06219_),
+ sky130_fd_sc_hd__o22a_4 _12192_ (.A1(_05583_),
+    .A2(_06115_),
+    .B1(\u_m0_res_fifo.mem[5][13] ),
+    .B2(_06117_),
     .X(_00238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12397_ (.A1(_05777_),
-    .A2(_06217_),
-    .B1(\u_m0_res_fifo.mem[4][13] ),
-    .B2(_06219_),
+ sky130_fd_sc_hd__o22a_4 _12193_ (.A1(_05584_),
+    .A2(_06115_),
+    .B1(\u_m0_res_fifo.mem[5][12] ),
+    .B2(_06117_),
     .X(_00237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12398_ (.A1(_05778_),
-    .A2(_06217_),
-    .B1(\u_m0_res_fifo.mem[4][12] ),
-    .B2(_06219_),
+ sky130_fd_sc_hd__buf_2 _12194_ (.A(_06114_),
+    .X(_06118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12195_ (.A(_06116_),
+    .X(_06119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12196_ (.A1(_05585_),
+    .A2(_06118_),
+    .B1(\u_m0_res_fifo.mem[5][11] ),
+    .B2(_06119_),
     .X(_00236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12399_ (.A(_06216_),
-    .X(_06220_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12400_ (.A(_06218_),
-    .X(_06221_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12401_ (.A1(_05779_),
-    .A2(_06220_),
-    .B1(\u_m0_res_fifo.mem[4][11] ),
-    .B2(_06221_),
+ sky130_fd_sc_hd__o22a_4 _12197_ (.A1(_05588_),
+    .A2(_06118_),
+    .B1(\u_m0_res_fifo.mem[5][10] ),
+    .B2(_06119_),
     .X(_00235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12402_ (.A1(_05782_),
-    .A2(_06220_),
-    .B1(\u_m0_res_fifo.mem[4][10] ),
-    .B2(_06221_),
+ sky130_fd_sc_hd__o22a_4 _12198_ (.A1(_05589_),
+    .A2(_06118_),
+    .B1(\u_m0_res_fifo.mem[5][9] ),
+    .B2(_06119_),
     .X(_00234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12403_ (.A1(_05783_),
-    .A2(_06220_),
-    .B1(\u_m0_res_fifo.mem[4][9] ),
-    .B2(_06221_),
+ sky130_fd_sc_hd__o22a_4 _12199_ (.A1(_05590_),
+    .A2(_06118_),
+    .B1(\u_m0_res_fifo.mem[5][8] ),
+    .B2(_06119_),
     .X(_00233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12404_ (.A1(_05784_),
-    .A2(_06220_),
-    .B1(\u_m0_res_fifo.mem[4][8] ),
-    .B2(_06221_),
+ sky130_fd_sc_hd__buf_2 _12200_ (.A(_06114_),
+    .X(_06120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12201_ (.A(_06116_),
+    .X(_06121_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12202_ (.A1(_05591_),
+    .A2(_06120_),
+    .B1(\u_m0_res_fifo.mem[5][7] ),
+    .B2(_06121_),
     .X(_00232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12405_ (.A(_06216_),
-    .X(_06222_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12406_ (.A(_06218_),
-    .X(_06223_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12407_ (.A1(_05785_),
-    .A2(_06222_),
-    .B1(\u_m0_res_fifo.mem[4][7] ),
-    .B2(_06223_),
+ sky130_fd_sc_hd__o22a_4 _12203_ (.A1(_05594_),
+    .A2(_06120_),
+    .B1(\u_m0_res_fifo.mem[5][6] ),
+    .B2(_06121_),
     .X(_00231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12408_ (.A1(_05788_),
-    .A2(_06222_),
-    .B1(\u_m0_res_fifo.mem[4][6] ),
-    .B2(_06223_),
+ sky130_fd_sc_hd__o22a_4 _12204_ (.A1(_05595_),
+    .A2(_06120_),
+    .B1(\u_m0_res_fifo.mem[5][5] ),
+    .B2(_06121_),
     .X(_00230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12409_ (.A1(_05789_),
-    .A2(_06222_),
-    .B1(\u_m0_res_fifo.mem[4][5] ),
-    .B2(_06223_),
+ sky130_fd_sc_hd__o22a_4 _12205_ (.A1(_05596_),
+    .A2(_06120_),
+    .B1(\u_m0_res_fifo.mem[5][4] ),
+    .B2(_06121_),
     .X(_00229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12410_ (.A1(_05790_),
-    .A2(_06222_),
-    .B1(\u_m0_res_fifo.mem[4][4] ),
-    .B2(_06223_),
+ sky130_fd_sc_hd__buf_2 _12206_ (.A(_06114_),
+    .X(_06122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12207_ (.A(_06116_),
+    .X(_06123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12208_ (.A1(_05597_),
+    .A2(_06122_),
+    .B1(\u_m0_res_fifo.mem[5][3] ),
+    .B2(_06123_),
     .X(_00228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12411_ (.A(_06216_),
-    .X(_06224_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12412_ (.A(_06218_),
-    .X(_06225_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12413_ (.A1(_05791_),
-    .A2(_06224_),
-    .B1(\u_m0_res_fifo.mem[4][3] ),
-    .B2(_06225_),
+ sky130_fd_sc_hd__o22a_4 _12209_ (.A1(_05600_),
+    .A2(_06122_),
+    .B1(\u_m0_res_fifo.mem[5][2] ),
+    .B2(_06123_),
     .X(_00227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12414_ (.A1(_05794_),
-    .A2(_06224_),
-    .B1(\u_m0_res_fifo.mem[4][2] ),
-    .B2(_06225_),
+ sky130_fd_sc_hd__o22a_4 _12210_ (.A1(_05601_),
+    .A2(_06122_),
+    .B1(\u_m0_res_fifo.mem[5][1] ),
+    .B2(_06123_),
     .X(_00226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12415_ (.A1(_05795_),
-    .A2(_06224_),
-    .B1(\u_m0_res_fifo.mem[4][1] ),
-    .B2(_06225_),
+ sky130_fd_sc_hd__o22a_4 _12211_ (.A1(_05602_),
+    .A2(_06122_),
+    .B1(\u_m0_res_fifo.mem[5][0] ),
+    .B2(_06123_),
     .X(_00225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12416_ (.A1(_05796_),
-    .A2(_06224_),
-    .B1(\u_m0_res_fifo.mem[4][0] ),
-    .B2(_06225_),
+ sky130_fd_sc_hd__or4_4 _12212_ (.A(_05403_),
+    .B(_05299_),
+    .C(_01418_),
+    .D(_05285_),
+    .X(_06124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12213_ (.A(_06124_),
+    .X(_06125_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12214_ (.A(_06125_),
+    .X(_06126_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12215_ (.A(_06124_),
+    .Y(_06127_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12216_ (.A(_06127_),
+    .X(_06128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12217_ (.A(_06128_),
+    .X(_06129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12218_ (.A1(_05549_),
+    .A2(_06126_),
+    .B1(\u_m0_res_fifo.mem[6][31] ),
+    .B2(_06129_),
     .X(_00224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12417_ (.A(_05388_),
-    .B(_05401_),
-    .C(_01407_),
-    .D(\u_m0_res_fifo.wr_ptr[1] ),
-    .X(_06226_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12418_ (.A(_06226_),
-    .X(_06227_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12419_ (.A(_06227_),
-    .X(_06228_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12420_ (.A(_06226_),
-    .Y(_06229_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12421_ (.A(_06229_),
-    .X(_06230_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12422_ (.A(_06230_),
-    .X(_06231_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12423_ (.A1(_05743_),
-    .A2(_06228_),
-    .B1(\u_m0_res_fifo.mem[5][31] ),
-    .B2(_06231_),
+ sky130_fd_sc_hd__o22a_4 _12219_ (.A1(_05556_),
+    .A2(_06126_),
+    .B1(\u_m0_res_fifo.mem[6][30] ),
+    .B2(_06129_),
     .X(_00223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12424_ (.A1(_05750_),
-    .A2(_06228_),
-    .B1(\u_m0_res_fifo.mem[5][30] ),
-    .B2(_06231_),
+ sky130_fd_sc_hd__o22a_4 _12220_ (.A1(_05557_),
+    .A2(_06126_),
+    .B1(\u_m0_res_fifo.mem[6][29] ),
+    .B2(_06129_),
     .X(_00222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12425_ (.A1(_05751_),
-    .A2(_06228_),
-    .B1(\u_m0_res_fifo.mem[5][29] ),
-    .B2(_06231_),
+ sky130_fd_sc_hd__o22a_4 _12221_ (.A1(_05558_),
+    .A2(_06126_),
+    .B1(\u_m0_res_fifo.mem[6][28] ),
+    .B2(_06129_),
     .X(_00221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12426_ (.A1(_05752_),
-    .A2(_06228_),
-    .B1(\u_m0_res_fifo.mem[5][28] ),
-    .B2(_06231_),
+ sky130_fd_sc_hd__buf_2 _12222_ (.A(_06125_),
+    .X(_06130_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12223_ (.A(_06128_),
+    .X(_06131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12224_ (.A1(_05559_),
+    .A2(_06130_),
+    .B1(\u_m0_res_fifo.mem[6][27] ),
+    .B2(_06131_),
     .X(_00220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12427_ (.A(_06227_),
-    .X(_06232_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12428_ (.A(_06230_),
-    .X(_06233_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12429_ (.A1(_05753_),
-    .A2(_06232_),
-    .B1(\u_m0_res_fifo.mem[5][27] ),
-    .B2(_06233_),
+ sky130_fd_sc_hd__o22a_4 _12225_ (.A1(_05562_),
+    .A2(_06130_),
+    .B1(\u_m0_res_fifo.mem[6][26] ),
+    .B2(_06131_),
     .X(_00219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12430_ (.A1(_05756_),
-    .A2(_06232_),
-    .B1(\u_m0_res_fifo.mem[5][26] ),
-    .B2(_06233_),
+ sky130_fd_sc_hd__o22a_4 _12226_ (.A1(_05563_),
+    .A2(_06130_),
+    .B1(\u_m0_res_fifo.mem[6][25] ),
+    .B2(_06131_),
     .X(_00218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12431_ (.A1(_05757_),
-    .A2(_06232_),
-    .B1(\u_m0_res_fifo.mem[5][25] ),
-    .B2(_06233_),
+ sky130_fd_sc_hd__o22a_4 _12227_ (.A1(_05564_),
+    .A2(_06130_),
+    .B1(\u_m0_res_fifo.mem[6][24] ),
+    .B2(_06131_),
     .X(_00217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12432_ (.A1(_05758_),
-    .A2(_06232_),
-    .B1(\u_m0_res_fifo.mem[5][24] ),
-    .B2(_06233_),
+ sky130_fd_sc_hd__buf_2 _12228_ (.A(_06125_),
+    .X(_06132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12229_ (.A(_06128_),
+    .X(_06133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12230_ (.A1(_05565_),
+    .A2(_06132_),
+    .B1(\u_m0_res_fifo.mem[6][23] ),
+    .B2(_06133_),
     .X(_00216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12433_ (.A(_06227_),
-    .X(_06234_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12434_ (.A(_06230_),
-    .X(_06235_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12435_ (.A1(_05759_),
-    .A2(_06234_),
-    .B1(\u_m0_res_fifo.mem[5][23] ),
-    .B2(_06235_),
+ sky130_fd_sc_hd__o22a_4 _12231_ (.A1(_05568_),
+    .A2(_06132_),
+    .B1(\u_m0_res_fifo.mem[6][22] ),
+    .B2(_06133_),
     .X(_00215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12436_ (.A1(_05762_),
-    .A2(_06234_),
-    .B1(\u_m0_res_fifo.mem[5][22] ),
-    .B2(_06235_),
+ sky130_fd_sc_hd__o22a_4 _12232_ (.A1(_05569_),
+    .A2(_06132_),
+    .B1(\u_m0_res_fifo.mem[6][21] ),
+    .B2(_06133_),
     .X(_00214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12437_ (.A1(_05763_),
-    .A2(_06234_),
-    .B1(\u_m0_res_fifo.mem[5][21] ),
-    .B2(_06235_),
+ sky130_fd_sc_hd__o22a_4 _12233_ (.A1(_05570_),
+    .A2(_06132_),
+    .B1(\u_m0_res_fifo.mem[6][20] ),
+    .B2(_06133_),
     .X(_00213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12438_ (.A1(_05764_),
-    .A2(_06234_),
-    .B1(\u_m0_res_fifo.mem[5][20] ),
-    .B2(_06235_),
+ sky130_fd_sc_hd__buf_2 _12234_ (.A(_06125_),
+    .X(_06134_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12235_ (.A(_06128_),
+    .X(_06135_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12236_ (.A1(_05571_),
+    .A2(_06134_),
+    .B1(\u_m0_res_fifo.mem[6][19] ),
+    .B2(_06135_),
     .X(_00212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12439_ (.A(_06227_),
-    .X(_06236_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12440_ (.A(_06230_),
-    .X(_06237_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12441_ (.A1(_05765_),
-    .A2(_06236_),
-    .B1(\u_m0_res_fifo.mem[5][19] ),
-    .B2(_06237_),
+ sky130_fd_sc_hd__o22a_4 _12237_ (.A1(_05574_),
+    .A2(_06134_),
+    .B1(\u_m0_res_fifo.mem[6][18] ),
+    .B2(_06135_),
     .X(_00211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12442_ (.A1(_05768_),
-    .A2(_06236_),
-    .B1(\u_m0_res_fifo.mem[5][18] ),
-    .B2(_06237_),
+ sky130_fd_sc_hd__o22a_4 _12238_ (.A1(_05575_),
+    .A2(_06134_),
+    .B1(\u_m0_res_fifo.mem[6][17] ),
+    .B2(_06135_),
     .X(_00210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12443_ (.A1(_05769_),
-    .A2(_06236_),
-    .B1(\u_m0_res_fifo.mem[5][17] ),
-    .B2(_06237_),
+ sky130_fd_sc_hd__o22a_4 _12239_ (.A1(_05576_),
+    .A2(_06134_),
+    .B1(\u_m0_res_fifo.mem[6][16] ),
+    .B2(_06135_),
     .X(_00209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12444_ (.A1(_05770_),
-    .A2(_06236_),
-    .B1(\u_m0_res_fifo.mem[5][16] ),
-    .B2(_06237_),
+ sky130_fd_sc_hd__buf_2 _12240_ (.A(_06124_),
+    .X(_06136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12241_ (.A(_06136_),
+    .X(_06137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12242_ (.A(_06127_),
+    .X(_06138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12243_ (.A(_06138_),
+    .X(_06139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12244_ (.A1(_05577_),
+    .A2(_06137_),
+    .B1(\u_m0_res_fifo.mem[6][15] ),
+    .B2(_06139_),
     .X(_00208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12445_ (.A(_06226_),
-    .X(_06238_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12446_ (.A(_06238_),
-    .X(_06239_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12447_ (.A(_06229_),
-    .X(_06240_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12448_ (.A(_06240_),
-    .X(_06241_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12449_ (.A1(_05771_),
-    .A2(_06239_),
-    .B1(\u_m0_res_fifo.mem[5][15] ),
-    .B2(_06241_),
+ sky130_fd_sc_hd__o22a_4 _12245_ (.A1(_05582_),
+    .A2(_06137_),
+    .B1(\u_m0_res_fifo.mem[6][14] ),
+    .B2(_06139_),
     .X(_00207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12450_ (.A1(_05776_),
-    .A2(_06239_),
-    .B1(\u_m0_res_fifo.mem[5][14] ),
-    .B2(_06241_),
+ sky130_fd_sc_hd__o22a_4 _12246_ (.A1(_05583_),
+    .A2(_06137_),
+    .B1(\u_m0_res_fifo.mem[6][13] ),
+    .B2(_06139_),
     .X(_00206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12451_ (.A1(_05777_),
-    .A2(_06239_),
-    .B1(\u_m0_res_fifo.mem[5][13] ),
-    .B2(_06241_),
+ sky130_fd_sc_hd__o22a_4 _12247_ (.A1(_05584_),
+    .A2(_06137_),
+    .B1(\u_m0_res_fifo.mem[6][12] ),
+    .B2(_06139_),
     .X(_00205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12452_ (.A1(_05778_),
-    .A2(_06239_),
-    .B1(\u_m0_res_fifo.mem[5][12] ),
-    .B2(_06241_),
+ sky130_fd_sc_hd__buf_2 _12248_ (.A(_06136_),
+    .X(_06140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12249_ (.A(_06138_),
+    .X(_06141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12250_ (.A1(_05585_),
+    .A2(_06140_),
+    .B1(\u_m0_res_fifo.mem[6][11] ),
+    .B2(_06141_),
     .X(_00204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12453_ (.A(_06238_),
-    .X(_06242_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12454_ (.A(_06240_),
-    .X(_06243_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12455_ (.A1(_05779_),
-    .A2(_06242_),
-    .B1(\u_m0_res_fifo.mem[5][11] ),
-    .B2(_06243_),
+ sky130_fd_sc_hd__o22a_4 _12251_ (.A1(_05588_),
+    .A2(_06140_),
+    .B1(\u_m0_res_fifo.mem[6][10] ),
+    .B2(_06141_),
     .X(_00203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12456_ (.A1(_05782_),
-    .A2(_06242_),
-    .B1(\u_m0_res_fifo.mem[5][10] ),
-    .B2(_06243_),
+ sky130_fd_sc_hd__o22a_4 _12252_ (.A1(_05589_),
+    .A2(_06140_),
+    .B1(\u_m0_res_fifo.mem[6][9] ),
+    .B2(_06141_),
     .X(_00202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12457_ (.A1(_05783_),
-    .A2(_06242_),
-    .B1(\u_m0_res_fifo.mem[5][9] ),
-    .B2(_06243_),
+ sky130_fd_sc_hd__o22a_4 _12253_ (.A1(_05590_),
+    .A2(_06140_),
+    .B1(\u_m0_res_fifo.mem[6][8] ),
+    .B2(_06141_),
     .X(_00201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12458_ (.A1(_05784_),
-    .A2(_06242_),
-    .B1(\u_m0_res_fifo.mem[5][8] ),
-    .B2(_06243_),
+ sky130_fd_sc_hd__buf_2 _12254_ (.A(_06136_),
+    .X(_06142_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12255_ (.A(_06138_),
+    .X(_06143_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12256_ (.A1(_05591_),
+    .A2(_06142_),
+    .B1(\u_m0_res_fifo.mem[6][7] ),
+    .B2(_06143_),
     .X(_00200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12459_ (.A(_06238_),
-    .X(_06244_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12460_ (.A(_06240_),
-    .X(_06245_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12461_ (.A1(_05785_),
-    .A2(_06244_),
-    .B1(\u_m0_res_fifo.mem[5][7] ),
-    .B2(_06245_),
+ sky130_fd_sc_hd__o22a_4 _12257_ (.A1(_05594_),
+    .A2(_06142_),
+    .B1(\u_m0_res_fifo.mem[6][6] ),
+    .B2(_06143_),
     .X(_00199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12462_ (.A1(_05788_),
-    .A2(_06244_),
-    .B1(\u_m0_res_fifo.mem[5][6] ),
-    .B2(_06245_),
+ sky130_fd_sc_hd__o22a_4 _12258_ (.A1(_05595_),
+    .A2(_06142_),
+    .B1(\u_m0_res_fifo.mem[6][5] ),
+    .B2(_06143_),
     .X(_00198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12463_ (.A1(_05789_),
-    .A2(_06244_),
-    .B1(\u_m0_res_fifo.mem[5][5] ),
-    .B2(_06245_),
+ sky130_fd_sc_hd__o22a_4 _12259_ (.A1(_05596_),
+    .A2(_06142_),
+    .B1(\u_m0_res_fifo.mem[6][4] ),
+    .B2(_06143_),
     .X(_00197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12464_ (.A1(_05790_),
-    .A2(_06244_),
-    .B1(\u_m0_res_fifo.mem[5][4] ),
-    .B2(_06245_),
+ sky130_fd_sc_hd__buf_2 _12260_ (.A(_06136_),
+    .X(_06144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12261_ (.A(_06138_),
+    .X(_06145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12262_ (.A1(_05597_),
+    .A2(_06144_),
+    .B1(\u_m0_res_fifo.mem[6][3] ),
+    .B2(_06145_),
     .X(_00196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12465_ (.A(_06238_),
-    .X(_06246_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12466_ (.A(_06240_),
-    .X(_06247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12467_ (.A1(_05791_),
-    .A2(_06246_),
-    .B1(\u_m0_res_fifo.mem[5][3] ),
-    .B2(_06247_),
+ sky130_fd_sc_hd__o22a_4 _12263_ (.A1(_05600_),
+    .A2(_06144_),
+    .B1(\u_m0_res_fifo.mem[6][2] ),
+    .B2(_06145_),
     .X(_00195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12468_ (.A1(_05794_),
-    .A2(_06246_),
-    .B1(\u_m0_res_fifo.mem[5][2] ),
-    .B2(_06247_),
+ sky130_fd_sc_hd__o22a_4 _12264_ (.A1(_05601_),
+    .A2(_06144_),
+    .B1(\u_m0_res_fifo.mem[6][1] ),
+    .B2(_06145_),
     .X(_00194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12469_ (.A1(_05795_),
-    .A2(_06246_),
-    .B1(\u_m0_res_fifo.mem[5][1] ),
-    .B2(_06247_),
+ sky130_fd_sc_hd__o22a_4 _12265_ (.A1(_05602_),
+    .A2(_06144_),
+    .B1(\u_m0_res_fifo.mem[6][0] ),
+    .B2(_06145_),
     .X(_00193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12470_ (.A1(_05796_),
-    .A2(_06246_),
-    .B1(\u_m0_res_fifo.mem[5][0] ),
-    .B2(_06247_),
+ sky130_fd_sc_hd__buf_2 _12266_ (.A(_05290_),
+    .X(_06146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12267_ (.A(_06146_),
+    .X(_06147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12268_ (.A(_05294_),
+    .X(_06148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12269_ (.A1(_06147_),
+    .A2(_05409_),
+    .B1(\u_m0_res_fifo.mem[7][31] ),
+    .B2(_06148_),
     .X(_00192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12471_ (.A(_05392_),
-    .X(_06248_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12472_ (.A(_06248_),
-    .X(_06249_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12473_ (.A(_05396_),
-    .X(_06250_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12474_ (.A1(_06249_),
-    .A2(_05511_),
-    .B1(\u_m0_res_fifo.mem[7][31] ),
-    .B2(_06250_),
+ sky130_fd_sc_hd__o22a_4 _12270_ (.A1(_06147_),
+    .A2(_05414_),
+    .B1(\u_m0_res_fifo.mem[7][30] ),
+    .B2(_06148_),
     .X(_00191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12475_ (.A1(_06249_),
-    .A2(_05516_),
-    .B1(\u_m0_res_fifo.mem[7][30] ),
-    .B2(_06250_),
+ sky130_fd_sc_hd__o22a_4 _12271_ (.A1(_06147_),
+    .A2(_05416_),
+    .B1(\u_m0_res_fifo.mem[7][29] ),
+    .B2(_06148_),
     .X(_00190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12476_ (.A1(_06249_),
-    .A2(_05518_),
-    .B1(\u_m0_res_fifo.mem[7][29] ),
-    .B2(_06250_),
+ sky130_fd_sc_hd__o22a_4 _12272_ (.A1(_06147_),
+    .A2(_05419_),
+    .B1(\u_m0_res_fifo.mem[7][28] ),
+    .B2(_06148_),
     .X(_00189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12477_ (.A1(_06249_),
-    .A2(_05521_),
-    .B1(\u_m0_res_fifo.mem[7][28] ),
-    .B2(_06250_),
+ sky130_fd_sc_hd__buf_2 _12273_ (.A(_06146_),
+    .X(_06149_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12274_ (.A(_05294_),
+    .X(_06150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12275_ (.A1(_06149_),
+    .A2(_05422_),
+    .B1(\u_m0_res_fifo.mem[7][27] ),
+    .B2(_06150_),
     .X(_00188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12478_ (.A(_06248_),
-    .X(_06251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12479_ (.A(_05396_),
-    .X(_06252_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12480_ (.A1(_06251_),
-    .A2(_05524_),
-    .B1(\u_m0_res_fifo.mem[7][27] ),
-    .B2(_06252_),
+ sky130_fd_sc_hd__o22a_4 _12276_ (.A1(_06149_),
+    .A2(_05425_),
+    .B1(\u_m0_res_fifo.mem[7][26] ),
+    .B2(_06150_),
     .X(_00187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12481_ (.A1(_06251_),
-    .A2(_05527_),
-    .B1(\u_m0_res_fifo.mem[7][26] ),
-    .B2(_06252_),
+ sky130_fd_sc_hd__o22a_4 _12277_ (.A1(_06149_),
+    .A2(_05427_),
+    .B1(\u_m0_res_fifo.mem[7][25] ),
+    .B2(_06150_),
     .X(_00186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12482_ (.A1(_06251_),
-    .A2(_05529_),
-    .B1(\u_m0_res_fifo.mem[7][25] ),
-    .B2(_06252_),
+ sky130_fd_sc_hd__o22a_4 _12278_ (.A1(_06149_),
+    .A2(_05430_),
+    .B1(\u_m0_res_fifo.mem[7][24] ),
+    .B2(_06150_),
     .X(_00185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12483_ (.A1(_06251_),
-    .A2(_05532_),
-    .B1(\u_m0_res_fifo.mem[7][24] ),
-    .B2(_06252_),
+ sky130_fd_sc_hd__buf_2 _12279_ (.A(_06146_),
+    .X(_06151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12280_ (.A(_05294_),
+    .X(_06152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12281_ (.A1(_06151_),
+    .A2(_05433_),
+    .B1(\u_m0_res_fifo.mem[7][23] ),
+    .B2(_06152_),
     .X(_00184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12484_ (.A(_06248_),
-    .X(_06253_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12485_ (.A(_05396_),
-    .X(_06254_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12486_ (.A1(_06253_),
-    .A2(_05535_),
-    .B1(\u_m0_res_fifo.mem[7][23] ),
-    .B2(_06254_),
+ sky130_fd_sc_hd__o22a_4 _12282_ (.A1(_06151_),
+    .A2(_05436_),
+    .B1(\u_m0_res_fifo.mem[7][22] ),
+    .B2(_06152_),
     .X(_00183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12487_ (.A1(_06253_),
-    .A2(_05538_),
-    .B1(\u_m0_res_fifo.mem[7][22] ),
-    .B2(_06254_),
+ sky130_fd_sc_hd__o22a_4 _12283_ (.A1(_06151_),
+    .A2(_05438_),
+    .B1(\u_m0_res_fifo.mem[7][21] ),
+    .B2(_06152_),
     .X(_00182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12488_ (.A1(_06253_),
-    .A2(_05540_),
-    .B1(\u_m0_res_fifo.mem[7][21] ),
-    .B2(_06254_),
+ sky130_fd_sc_hd__o22a_4 _12284_ (.A1(_06151_),
+    .A2(_05442_),
+    .B1(\u_m0_res_fifo.mem[7][20] ),
+    .B2(_06152_),
     .X(_00181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12489_ (.A1(_06253_),
-    .A2(_05544_),
-    .B1(\u_m0_res_fifo.mem[7][20] ),
-    .B2(_06254_),
+ sky130_fd_sc_hd__buf_2 _12285_ (.A(_06146_),
+    .X(_06153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12286_ (.A(_05291_),
+    .X(_06154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12287_ (.A(_06154_),
+    .X(_06155_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12288_ (.A1(_06153_),
+    .A2(_05445_),
+    .B1(\u_m0_res_fifo.mem[7][19] ),
+    .B2(_06155_),
     .X(_00180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12490_ (.A(_06248_),
-    .X(_06255_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12491_ (.A(_05393_),
-    .X(_06256_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12492_ (.A(_06256_),
-    .X(_06257_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12493_ (.A1(_06255_),
-    .A2(_05547_),
-    .B1(\u_m0_res_fifo.mem[7][19] ),
-    .B2(_06257_),
+ sky130_fd_sc_hd__o22a_4 _12289_ (.A1(_06153_),
+    .A2(_05448_),
+    .B1(\u_m0_res_fifo.mem[7][18] ),
+    .B2(_06155_),
     .X(_00179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12494_ (.A1(_06255_),
-    .A2(_05550_),
-    .B1(\u_m0_res_fifo.mem[7][18] ),
-    .B2(_06257_),
+ sky130_fd_sc_hd__o22a_4 _12290_ (.A1(_06153_),
+    .A2(_05450_),
+    .B1(\u_m0_res_fifo.mem[7][17] ),
+    .B2(_06155_),
     .X(_00178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12495_ (.A1(_06255_),
-    .A2(_05552_),
-    .B1(\u_m0_res_fifo.mem[7][17] ),
-    .B2(_06257_),
+ sky130_fd_sc_hd__o22a_4 _12291_ (.A1(_06153_),
+    .A2(_05453_),
+    .B1(\u_m0_res_fifo.mem[7][16] ),
+    .B2(_06155_),
     .X(_00177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12496_ (.A1(_06255_),
-    .A2(_05555_),
-    .B1(\u_m0_res_fifo.mem[7][16] ),
-    .B2(_06257_),
+ sky130_fd_sc_hd__buf_2 _12292_ (.A(_05290_),
+    .X(_06156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12293_ (.A(_06156_),
+    .X(_06157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12294_ (.A(_06154_),
+    .X(_06158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12295_ (.A1(_06157_),
+    .A2(_05457_),
+    .B1(\u_m0_res_fifo.mem[7][15] ),
+    .B2(_06158_),
     .X(_00176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12497_ (.A(_05392_),
-    .X(_06258_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12498_ (.A(_06258_),
-    .X(_06259_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12499_ (.A(_06256_),
-    .X(_06260_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12500_ (.A1(_06259_),
-    .A2(_05559_),
-    .B1(\u_m0_res_fifo.mem[7][15] ),
-    .B2(_06260_),
+ sky130_fd_sc_hd__o22a_4 _12296_ (.A1(_06157_),
+    .A2(_05461_),
+    .B1(\u_m0_res_fifo.mem[7][14] ),
+    .B2(_06158_),
     .X(_00175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12501_ (.A1(_06259_),
-    .A2(_05563_),
-    .B1(\u_m0_res_fifo.mem[7][14] ),
-    .B2(_06260_),
+ sky130_fd_sc_hd__o22a_4 _12297_ (.A1(_06157_),
+    .A2(_05463_),
+    .B1(\u_m0_res_fifo.mem[7][13] ),
+    .B2(_06158_),
     .X(_00174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12502_ (.A1(_06259_),
-    .A2(_05565_),
-    .B1(\u_m0_res_fifo.mem[7][13] ),
-    .B2(_06260_),
+ sky130_fd_sc_hd__o22a_4 _12298_ (.A1(_06157_),
+    .A2(_05466_),
+    .B1(\u_m0_res_fifo.mem[7][12] ),
+    .B2(_06158_),
     .X(_00173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12503_ (.A1(_06259_),
-    .A2(_05568_),
-    .B1(\u_m0_res_fifo.mem[7][12] ),
-    .B2(_06260_),
+ sky130_fd_sc_hd__buf_2 _12299_ (.A(_06156_),
+    .X(_06159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12300_ (.A(_06154_),
+    .X(_06160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12301_ (.A1(_06159_),
+    .A2(_05469_),
+    .B1(\u_m0_res_fifo.mem[7][11] ),
+    .B2(_06160_),
     .X(_00172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12504_ (.A(_06258_),
-    .X(_06261_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12505_ (.A(_06256_),
-    .X(_06262_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12506_ (.A1(_06261_),
-    .A2(_05571_),
-    .B1(\u_m0_res_fifo.mem[7][11] ),
-    .B2(_06262_),
+ sky130_fd_sc_hd__o22a_4 _12302_ (.A1(_06159_),
+    .A2(_05472_),
+    .B1(\u_m0_res_fifo.mem[7][10] ),
+    .B2(_06160_),
     .X(_00171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12507_ (.A1(_06261_),
-    .A2(_05574_),
-    .B1(\u_m0_res_fifo.mem[7][10] ),
-    .B2(_06262_),
+ sky130_fd_sc_hd__o22a_4 _12303_ (.A1(_06159_),
+    .A2(_05474_),
+    .B1(\u_m0_res_fifo.mem[7][9] ),
+    .B2(_06160_),
     .X(_00170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12508_ (.A1(_06261_),
-    .A2(_05576_),
-    .B1(\u_m0_res_fifo.mem[7][9] ),
-    .B2(_06262_),
+ sky130_fd_sc_hd__o22a_4 _12304_ (.A1(_06159_),
+    .A2(_05477_),
+    .B1(\u_m0_res_fifo.mem[7][8] ),
+    .B2(_06160_),
     .X(_00169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12509_ (.A1(_06261_),
-    .A2(_05579_),
-    .B1(\u_m0_res_fifo.mem[7][8] ),
-    .B2(_06262_),
+ sky130_fd_sc_hd__buf_2 _12305_ (.A(_06156_),
+    .X(_06161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12306_ (.A(_06154_),
+    .X(_06162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12307_ (.A1(_06161_),
+    .A2(_05480_),
+    .B1(\u_m0_res_fifo.mem[7][7] ),
+    .B2(_06162_),
     .X(_00168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12510_ (.A(_06258_),
-    .X(_06263_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12511_ (.A(_06256_),
-    .X(_06264_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12512_ (.A1(_06263_),
-    .A2(_05582_),
-    .B1(\u_m0_res_fifo.mem[7][7] ),
-    .B2(_06264_),
+ sky130_fd_sc_hd__o22a_4 _12308_ (.A1(_06161_),
+    .A2(_05483_),
+    .B1(\u_m0_res_fifo.mem[7][6] ),
+    .B2(_06162_),
     .X(_00167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12513_ (.A1(_06263_),
-    .A2(_05585_),
-    .B1(\u_m0_res_fifo.mem[7][6] ),
-    .B2(_06264_),
+ sky130_fd_sc_hd__o22a_4 _12309_ (.A1(_06161_),
+    .A2(_05485_),
+    .B1(\u_m0_res_fifo.mem[7][5] ),
+    .B2(_06162_),
     .X(_00166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12514_ (.A1(_06263_),
-    .A2(_05587_),
-    .B1(\u_m0_res_fifo.mem[7][5] ),
-    .B2(_06264_),
+ sky130_fd_sc_hd__o22a_4 _12310_ (.A1(_06161_),
+    .A2(_05488_),
+    .B1(\u_m0_res_fifo.mem[7][4] ),
+    .B2(_06162_),
     .X(_00165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12515_ (.A1(_06263_),
-    .A2(_05590_),
-    .B1(\u_m0_res_fifo.mem[7][4] ),
-    .B2(_06264_),
+ sky130_fd_sc_hd__buf_2 _12311_ (.A(_06156_),
+    .X(_06163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12312_ (.A(_05291_),
+    .X(_06164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12313_ (.A1(_06163_),
+    .A2(_05491_),
+    .B1(\u_m0_res_fifo.mem[7][3] ),
+    .B2(_06164_),
     .X(_00164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12516_ (.A(_06258_),
-    .X(_06265_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12517_ (.A(_05393_),
-    .X(_06266_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12518_ (.A1(_06265_),
-    .A2(_05593_),
-    .B1(\u_m0_res_fifo.mem[7][3] ),
-    .B2(_06266_),
+ sky130_fd_sc_hd__o22a_4 _12314_ (.A1(_06163_),
+    .A2(_05494_),
+    .B1(\u_m0_res_fifo.mem[7][2] ),
+    .B2(_06164_),
     .X(_00163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12519_ (.A1(_06265_),
-    .A2(_05596_),
-    .B1(\u_m0_res_fifo.mem[7][2] ),
-    .B2(_06266_),
+ sky130_fd_sc_hd__o22a_4 _12315_ (.A1(_06163_),
+    .A2(_05496_),
+    .B1(\u_m0_res_fifo.mem[7][1] ),
+    .B2(_06164_),
     .X(_00162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12520_ (.A1(_06265_),
-    .A2(_05598_),
-    .B1(\u_m0_res_fifo.mem[7][1] ),
-    .B2(_06266_),
+ sky130_fd_sc_hd__o22a_4 _12316_ (.A1(_06163_),
+    .A2(_05498_),
+    .B1(\u_m0_res_fifo.mem[7][0] ),
+    .B2(_06164_),
     .X(_00161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12521_ (.A1(_06265_),
-    .A2(_05600_),
-    .B1(\u_m0_res_fifo.mem[7][0] ),
-    .B2(_06266_),
+ sky130_fd_sc_hd__or3_4 _12317_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
+    .B(_01831_),
+    .C(_03272_),
+    .X(_06165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12318_ (.A(_06165_),
+    .X(_06166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12319_ (.A(_06166_),
+    .X(_06167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12320_ (.A1_N(_05709_),
+    .A2_N(_06167_),
+    .B1(\u_m1_cmd_fifo.mem[0][31] ),
+    .B2(_06167_),
     .X(_00160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _12522_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
-    .B(_01832_),
-    .C(_03277_),
-    .X(_06267_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12523_ (.A(_06267_),
-    .X(_06268_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12524_ (.A(_06268_),
-    .X(_06269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12525_ (.A1_N(_05811_),
-    .A2_N(_06269_),
-    .B1(\u_m1_cmd_fifo.mem[0][31] ),
-    .B2(_06269_),
+ sky130_fd_sc_hd__a2bb2o_4 _12321_ (.A1_N(_05713_),
+    .A2_N(_06167_),
+    .B1(\u_m1_cmd_fifo.mem[0][30] ),
+    .B2(_06167_),
     .X(_00159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12526_ (.A1_N(_05815_),
-    .A2_N(_06269_),
-    .B1(\u_m1_cmd_fifo.mem[0][30] ),
-    .B2(_06269_),
+ sky130_fd_sc_hd__buf_2 _12322_ (.A(_06166_),
+    .X(_06168_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12323_ (.A1_N(_05720_),
+    .A2_N(_06168_),
+    .B1(\u_m1_cmd_fifo.mem[0][29] ),
+    .B2(_06168_),
     .X(_00158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12527_ (.A(_06268_),
-    .X(_06270_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12528_ (.A1_N(_05822_),
-    .A2_N(_06270_),
-    .B1(\u_m1_cmd_fifo.mem[0][29] ),
-    .B2(_06270_),
+ sky130_fd_sc_hd__a2bb2o_4 _12324_ (.A1_N(_05730_),
+    .A2_N(_06168_),
+    .B1(\u_m1_cmd_fifo.mem[0][28] ),
+    .B2(_06168_),
     .X(_00157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12529_ (.A1_N(_05832_),
-    .A2_N(_06270_),
-    .B1(\u_m1_cmd_fifo.mem[0][28] ),
-    .B2(_06270_),
+ sky130_fd_sc_hd__buf_2 _12325_ (.A(_06166_),
+    .X(_06169_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12326_ (.A1_N(_05738_),
+    .A2_N(_06169_),
+    .B1(\u_m1_cmd_fifo.mem[0][27] ),
+    .B2(_06169_),
     .X(_00156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12530_ (.A(_06268_),
-    .X(_06271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12531_ (.A1_N(_05840_),
-    .A2_N(_06271_),
-    .B1(\u_m1_cmd_fifo.mem[0][27] ),
-    .B2(_06271_),
+ sky130_fd_sc_hd__a2bb2o_4 _12327_ (.A1_N(_05742_),
+    .A2_N(_06169_),
+    .B1(\u_m1_cmd_fifo.mem[0][26] ),
+    .B2(_06169_),
     .X(_00155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12532_ (.A1_N(_05844_),
-    .A2_N(_06271_),
-    .B1(\u_m1_cmd_fifo.mem[0][26] ),
-    .B2(_06271_),
+ sky130_fd_sc_hd__buf_2 _12328_ (.A(_06166_),
+    .X(_06170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12329_ (.A1_N(_05748_),
+    .A2_N(_06170_),
+    .B1(\u_m1_cmd_fifo.mem[0][25] ),
+    .B2(_06170_),
     .X(_00154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12533_ (.A(_06268_),
-    .X(_06272_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12534_ (.A1_N(_05850_),
-    .A2_N(_06272_),
-    .B1(\u_m1_cmd_fifo.mem[0][25] ),
-    .B2(_06272_),
+ sky130_fd_sc_hd__a2bb2o_4 _12330_ (.A1_N(_05755_),
+    .A2_N(_06170_),
+    .B1(\u_m1_cmd_fifo.mem[0][24] ),
+    .B2(_06170_),
     .X(_00153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12535_ (.A1_N(_05857_),
-    .A2_N(_06272_),
-    .B1(\u_m1_cmd_fifo.mem[0][24] ),
-    .B2(_06272_),
+ sky130_fd_sc_hd__buf_2 _12331_ (.A(_06165_),
+    .X(_06171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12332_ (.A(_06171_),
+    .X(_06172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12333_ (.A1_N(_05762_),
+    .A2_N(_06172_),
+    .B1(\u_m1_cmd_fifo.mem[0][23] ),
+    .B2(_06172_),
     .X(_00152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12536_ (.A(_06267_),
-    .X(_06273_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12537_ (.A(_06273_),
-    .X(_06274_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12538_ (.A1_N(_05864_),
-    .A2_N(_06274_),
-    .B1(\u_m1_cmd_fifo.mem[0][23] ),
-    .B2(_06274_),
+ sky130_fd_sc_hd__a2bb2o_4 _12334_ (.A1_N(_05766_),
+    .A2_N(_06172_),
+    .B1(\u_m1_cmd_fifo.mem[0][22] ),
+    .B2(_06172_),
     .X(_00151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12539_ (.A1_N(_05868_),
-    .A2_N(_06274_),
-    .B1(\u_m1_cmd_fifo.mem[0][22] ),
-    .B2(_06274_),
+ sky130_fd_sc_hd__buf_2 _12335_ (.A(_06171_),
+    .X(_06173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12336_ (.A1_N(_05773_),
+    .A2_N(_06173_),
+    .B1(\u_m1_cmd_fifo.mem[0][21] ),
+    .B2(_06173_),
     .X(_00150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12540_ (.A(_06273_),
-    .X(_06275_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12541_ (.A1_N(_05875_),
-    .A2_N(_06275_),
-    .B1(\u_m1_cmd_fifo.mem[0][21] ),
-    .B2(_06275_),
+ sky130_fd_sc_hd__a2bb2o_4 _12337_ (.A1_N(_05780_),
+    .A2_N(_06173_),
+    .B1(\u_m1_cmd_fifo.mem[0][20] ),
+    .B2(_06173_),
     .X(_00149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12542_ (.A1_N(_05882_),
-    .A2_N(_06275_),
-    .B1(\u_m1_cmd_fifo.mem[0][20] ),
-    .B2(_06275_),
+ sky130_fd_sc_hd__buf_2 _12338_ (.A(_06171_),
+    .X(_06174_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12339_ (.A1_N(_05788_),
+    .A2_N(_06174_),
+    .B1(\u_m1_cmd_fifo.mem[0][19] ),
+    .B2(_06174_),
     .X(_00148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12543_ (.A(_06273_),
-    .X(_06276_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12544_ (.A1_N(_05890_),
-    .A2_N(_06276_),
-    .B1(\u_m1_cmd_fifo.mem[0][19] ),
-    .B2(_06276_),
+ sky130_fd_sc_hd__a2bb2o_4 _12340_ (.A1_N(_05792_),
+    .A2_N(_06174_),
+    .B1(\u_m1_cmd_fifo.mem[0][18] ),
+    .B2(_06174_),
     .X(_00147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12545_ (.A1_N(_05894_),
-    .A2_N(_06276_),
-    .B1(\u_m1_cmd_fifo.mem[0][18] ),
-    .B2(_06276_),
+ sky130_fd_sc_hd__buf_2 _12341_ (.A(_06171_),
+    .X(_06175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12342_ (.A1_N(_05797_),
+    .A2_N(_06175_),
+    .B1(\u_m1_cmd_fifo.mem[0][17] ),
+    .B2(_06175_),
     .X(_00146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12546_ (.A(_06273_),
-    .X(_06277_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12547_ (.A1_N(_05899_),
-    .A2_N(_06277_),
-    .B1(\u_m1_cmd_fifo.mem[0][17] ),
-    .B2(_06277_),
+ sky130_fd_sc_hd__a2bb2o_4 _12343_ (.A1_N(_05801_),
+    .A2_N(_06175_),
+    .B1(\u_m1_cmd_fifo.mem[0][16] ),
+    .B2(_06175_),
     .X(_00145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12548_ (.A1_N(_05903_),
-    .A2_N(_06277_),
-    .B1(\u_m1_cmd_fifo.mem[0][16] ),
-    .B2(_06277_),
+ sky130_fd_sc_hd__buf_2 _12344_ (.A(_06165_),
+    .X(_06176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12345_ (.A(_06176_),
+    .X(_06177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12346_ (.A1_N(_05809_),
+    .A2_N(_06177_),
+    .B1(\u_m1_cmd_fifo.mem[0][15] ),
+    .B2(_06177_),
     .X(_00144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12549_ (.A(_06267_),
-    .X(_06278_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12550_ (.A(_06278_),
-    .X(_06279_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12551_ (.A1_N(_05911_),
-    .A2_N(_06279_),
-    .B1(\u_m1_cmd_fifo.mem[0][15] ),
-    .B2(_06279_),
+ sky130_fd_sc_hd__a2bb2o_4 _12347_ (.A1_N(_05815_),
+    .A2_N(_06177_),
+    .B1(\u_m1_cmd_fifo.mem[0][14] ),
+    .B2(_06177_),
     .X(_00143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12552_ (.A1_N(_05917_),
-    .A2_N(_06279_),
-    .B1(\u_m1_cmd_fifo.mem[0][14] ),
-    .B2(_06279_),
+ sky130_fd_sc_hd__buf_2 _12348_ (.A(_06176_),
+    .X(_06178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12349_ (.A1_N(_05820_),
+    .A2_N(_06178_),
+    .B1(\u_m1_cmd_fifo.mem[0][13] ),
+    .B2(_06178_),
     .X(_00142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12553_ (.A(_06278_),
-    .X(_06280_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12554_ (.A1_N(_05922_),
-    .A2_N(_06280_),
-    .B1(\u_m1_cmd_fifo.mem[0][13] ),
-    .B2(_06280_),
+ sky130_fd_sc_hd__a2bb2o_4 _12350_ (.A1_N(_05825_),
+    .A2_N(_06178_),
+    .B1(\u_m1_cmd_fifo.mem[0][12] ),
+    .B2(_06178_),
     .X(_00141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12555_ (.A1_N(_05927_),
-    .A2_N(_06280_),
-    .B1(\u_m1_cmd_fifo.mem[0][12] ),
-    .B2(_06280_),
+ sky130_fd_sc_hd__buf_2 _12351_ (.A(_06176_),
+    .X(_06179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12352_ (.A1_N(_05833_),
+    .A2_N(_06179_),
+    .B1(\u_m1_cmd_fifo.mem[0][11] ),
+    .B2(_06179_),
     .X(_00140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12556_ (.A(_06278_),
-    .X(_06281_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12557_ (.A1_N(_05935_),
-    .A2_N(_06281_),
-    .B1(\u_m1_cmd_fifo.mem[0][11] ),
-    .B2(_06281_),
+ sky130_fd_sc_hd__a2bb2o_4 _12353_ (.A1_N(_05839_),
+    .A2_N(_06179_),
+    .B1(\u_m1_cmd_fifo.mem[0][10] ),
+    .B2(_06179_),
     .X(_00139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12558_ (.A1_N(_05941_),
-    .A2_N(_06281_),
-    .B1(\u_m1_cmd_fifo.mem[0][10] ),
-    .B2(_06281_),
+ sky130_fd_sc_hd__buf_2 _12354_ (.A(_06176_),
+    .X(_06180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12355_ (.A1_N(_05845_),
+    .A2_N(_06180_),
+    .B1(\u_m1_cmd_fifo.mem[0][9] ),
+    .B2(_06180_),
     .X(_00138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12559_ (.A(_06278_),
-    .X(_06282_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12560_ (.A1_N(_05947_),
-    .A2_N(_06282_),
-    .B1(\u_m1_cmd_fifo.mem[0][9] ),
-    .B2(_06282_),
+ sky130_fd_sc_hd__a2bb2o_4 _12356_ (.A1_N(_05849_),
+    .A2_N(_06180_),
+    .B1(\u_m1_cmd_fifo.mem[0][8] ),
+    .B2(_06180_),
     .X(_00137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12561_ (.A1_N(_05951_),
-    .A2_N(_06282_),
-    .B1(\u_m1_cmd_fifo.mem[0][8] ),
-    .B2(_06282_),
+ sky130_fd_sc_hd__buf_2 _12357_ (.A(_06165_),
+    .X(_06181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12358_ (.A(_06181_),
+    .X(_06182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12359_ (.A1_N(_05855_),
+    .A2_N(_06182_),
+    .B1(\u_m1_cmd_fifo.mem[0][7] ),
+    .B2(_06182_),
     .X(_00136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12562_ (.A(_06267_),
-    .X(_06283_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12563_ (.A(_06283_),
-    .X(_06284_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12564_ (.A1_N(_05957_),
-    .A2_N(_06284_),
-    .B1(\u_m1_cmd_fifo.mem[0][7] ),
-    .B2(_06284_),
+ sky130_fd_sc_hd__a2bb2o_4 _12360_ (.A1_N(_05861_),
+    .A2_N(_06182_),
+    .B1(\u_m1_cmd_fifo.mem[0][6] ),
+    .B2(_06182_),
     .X(_00135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12565_ (.A1_N(_05963_),
-    .A2_N(_06284_),
-    .B1(\u_m1_cmd_fifo.mem[0][6] ),
-    .B2(_06284_),
+ sky130_fd_sc_hd__buf_2 _12361_ (.A(_06181_),
+    .X(_06183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12362_ (.A1_N(_05868_),
+    .A2_N(_06183_),
+    .B1(\u_m1_cmd_fifo.mem[0][5] ),
+    .B2(_06183_),
     .X(_00134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12566_ (.A(_06283_),
-    .X(_06285_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12567_ (.A1_N(_05970_),
-    .A2_N(_06285_),
-    .B1(\u_m1_cmd_fifo.mem[0][5] ),
-    .B2(_06285_),
+ sky130_fd_sc_hd__a2bb2o_4 _12363_ (.A1_N(_05872_),
+    .A2_N(_06183_),
+    .B1(\u_m1_cmd_fifo.mem[0][4] ),
+    .B2(_06183_),
     .X(_00133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12568_ (.A1_N(_05974_),
-    .A2_N(_06285_),
-    .B1(\u_m1_cmd_fifo.mem[0][4] ),
-    .B2(_06285_),
+ sky130_fd_sc_hd__buf_2 _12364_ (.A(_06181_),
+    .X(_06184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12365_ (.A1_N(_05878_),
+    .A2_N(_06184_),
+    .B1(\u_m1_cmd_fifo.mem[0][3] ),
+    .B2(_06184_),
     .X(_00132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12569_ (.A(_06283_),
-    .X(_06286_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12570_ (.A1_N(_05980_),
-    .A2_N(_06286_),
-    .B1(\u_m1_cmd_fifo.mem[0][3] ),
-    .B2(_06286_),
+ sky130_fd_sc_hd__a2bb2o_4 _12366_ (.A1_N(_05882_),
+    .A2_N(_06184_),
+    .B1(\u_m1_cmd_fifo.mem[0][2] ),
+    .B2(_06184_),
     .X(_00131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12571_ (.A1_N(_05984_),
-    .A2_N(_06286_),
-    .B1(\u_m1_cmd_fifo.mem[0][2] ),
-    .B2(_06286_),
+ sky130_fd_sc_hd__buf_2 _12367_ (.A(_06181_),
+    .X(_06185_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12368_ (.A1_N(_05887_),
+    .A2_N(_06185_),
+    .B1(\u_m1_cmd_fifo.mem[0][1] ),
+    .B2(_06185_),
     .X(_00130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12572_ (.A(_06283_),
-    .X(_06287_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12573_ (.A1_N(_05989_),
-    .A2_N(_06287_),
-    .B1(\u_m1_cmd_fifo.mem[0][1] ),
-    .B2(_06287_),
+ sky130_fd_sc_hd__a2bb2o_4 _12369_ (.A1_N(_05891_),
+    .A2_N(_06185_),
+    .B1(\u_m1_cmd_fifo.mem[0][0] ),
+    .B2(_06185_),
     .X(_00129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12574_ (.A1_N(_05993_),
-    .A2_N(_06287_),
-    .B1(\u_m1_cmd_fifo.mem[0][0] ),
-    .B2(_06287_),
+ sky130_fd_sc_hd__or2_4 _12370_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
+    .B(_03273_),
+    .X(_06186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12371_ (.A(_06186_),
+    .X(_06187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12372_ (.A(_06187_),
+    .X(_06188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12373_ (.A1_N(_06188_),
+    .A2_N(_05709_),
+    .B1(\u_m1_cmd_fifo.mem[1][31] ),
+    .B2(_06188_),
     .X(_00128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _12575_ (.A(\u_m1_cmd_fifo.wr_ptr[1] ),
-    .B(_03278_),
-    .X(_06288_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12576_ (.A(_06288_),
-    .X(_06289_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12577_ (.A(_06289_),
-    .X(_06290_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12578_ (.A1_N(_06290_),
-    .A2_N(_05811_),
-    .B1(\u_m1_cmd_fifo.mem[1][31] ),
-    .B2(_06290_),
+ sky130_fd_sc_hd__a2bb2o_4 _12374_ (.A1_N(_06188_),
+    .A2_N(_05713_),
+    .B1(\u_m1_cmd_fifo.mem[1][30] ),
+    .B2(_06188_),
     .X(_00127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12579_ (.A1_N(_06290_),
-    .A2_N(_05815_),
-    .B1(\u_m1_cmd_fifo.mem[1][30] ),
-    .B2(_06290_),
+ sky130_fd_sc_hd__buf_2 _12375_ (.A(_06187_),
+    .X(_06189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12376_ (.A1_N(_06189_),
+    .A2_N(_05720_),
+    .B1(\u_m1_cmd_fifo.mem[1][29] ),
+    .B2(_06189_),
     .X(_00126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12580_ (.A(_06289_),
-    .X(_06291_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12581_ (.A1_N(_06291_),
-    .A2_N(_05822_),
-    .B1(\u_m1_cmd_fifo.mem[1][29] ),
-    .B2(_06291_),
+ sky130_fd_sc_hd__a2bb2o_4 _12377_ (.A1_N(_06189_),
+    .A2_N(_05730_),
+    .B1(\u_m1_cmd_fifo.mem[1][28] ),
+    .B2(_06189_),
     .X(_00125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12582_ (.A1_N(_06291_),
-    .A2_N(_05832_),
-    .B1(\u_m1_cmd_fifo.mem[1][28] ),
-    .B2(_06291_),
+ sky130_fd_sc_hd__buf_2 _12378_ (.A(_06187_),
+    .X(_06190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12379_ (.A1_N(_06190_),
+    .A2_N(_05738_),
+    .B1(\u_m1_cmd_fifo.mem[1][27] ),
+    .B2(_06190_),
     .X(_00124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12583_ (.A(_06289_),
-    .X(_06292_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12584_ (.A1_N(_06292_),
-    .A2_N(_05840_),
-    .B1(\u_m1_cmd_fifo.mem[1][27] ),
-    .B2(_06292_),
+ sky130_fd_sc_hd__a2bb2o_4 _12380_ (.A1_N(_06190_),
+    .A2_N(_05742_),
+    .B1(\u_m1_cmd_fifo.mem[1][26] ),
+    .B2(_06190_),
     .X(_00123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12585_ (.A1_N(_06292_),
-    .A2_N(_05844_),
-    .B1(\u_m1_cmd_fifo.mem[1][26] ),
-    .B2(_06292_),
+ sky130_fd_sc_hd__buf_2 _12381_ (.A(_06187_),
+    .X(_06191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12382_ (.A1_N(_06191_),
+    .A2_N(_05748_),
+    .B1(\u_m1_cmd_fifo.mem[1][25] ),
+    .B2(_06191_),
     .X(_00122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12586_ (.A(_06289_),
-    .X(_06293_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12587_ (.A1_N(_06293_),
-    .A2_N(_05850_),
-    .B1(\u_m1_cmd_fifo.mem[1][25] ),
-    .B2(_06293_),
+ sky130_fd_sc_hd__a2bb2o_4 _12383_ (.A1_N(_06191_),
+    .A2_N(_05755_),
+    .B1(\u_m1_cmd_fifo.mem[1][24] ),
+    .B2(_06191_),
     .X(_00121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12588_ (.A1_N(_06293_),
-    .A2_N(_05857_),
-    .B1(\u_m1_cmd_fifo.mem[1][24] ),
-    .B2(_06293_),
+ sky130_fd_sc_hd__buf_2 _12384_ (.A(_06186_),
+    .X(_06192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12385_ (.A(_06192_),
+    .X(_06193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12386_ (.A1_N(_06193_),
+    .A2_N(_05762_),
+    .B1(\u_m1_cmd_fifo.mem[1][23] ),
+    .B2(_06193_),
     .X(_00120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12589_ (.A(_06288_),
-    .X(_06294_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12590_ (.A(_06294_),
-    .X(_06295_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12591_ (.A1_N(_06295_),
-    .A2_N(_05864_),
-    .B1(\u_m1_cmd_fifo.mem[1][23] ),
-    .B2(_06295_),
+ sky130_fd_sc_hd__a2bb2o_4 _12387_ (.A1_N(_06193_),
+    .A2_N(_05766_),
+    .B1(\u_m1_cmd_fifo.mem[1][22] ),
+    .B2(_06193_),
     .X(_00119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12592_ (.A1_N(_06295_),
-    .A2_N(_05868_),
-    .B1(\u_m1_cmd_fifo.mem[1][22] ),
-    .B2(_06295_),
+ sky130_fd_sc_hd__buf_2 _12388_ (.A(_06192_),
+    .X(_06194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12389_ (.A1_N(_06194_),
+    .A2_N(_05773_),
+    .B1(\u_m1_cmd_fifo.mem[1][21] ),
+    .B2(_06194_),
     .X(_00118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12593_ (.A(_06294_),
-    .X(_06296_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12594_ (.A1_N(_06296_),
-    .A2_N(_05875_),
-    .B1(\u_m1_cmd_fifo.mem[1][21] ),
-    .B2(_06296_),
+ sky130_fd_sc_hd__a2bb2o_4 _12390_ (.A1_N(_06194_),
+    .A2_N(_05780_),
+    .B1(\u_m1_cmd_fifo.mem[1][20] ),
+    .B2(_06194_),
     .X(_00117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12595_ (.A1_N(_06296_),
-    .A2_N(_05882_),
-    .B1(\u_m1_cmd_fifo.mem[1][20] ),
-    .B2(_06296_),
+ sky130_fd_sc_hd__buf_2 _12391_ (.A(_06192_),
+    .X(_06195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12392_ (.A1_N(_06195_),
+    .A2_N(_05788_),
+    .B1(\u_m1_cmd_fifo.mem[1][19] ),
+    .B2(_06195_),
     .X(_00116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12596_ (.A(_06294_),
-    .X(_06297_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12597_ (.A1_N(_06297_),
-    .A2_N(_05890_),
-    .B1(\u_m1_cmd_fifo.mem[1][19] ),
-    .B2(_06297_),
+ sky130_fd_sc_hd__a2bb2o_4 _12393_ (.A1_N(_06195_),
+    .A2_N(_05792_),
+    .B1(\u_m1_cmd_fifo.mem[1][18] ),
+    .B2(_06195_),
     .X(_00115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12598_ (.A1_N(_06297_),
-    .A2_N(_05894_),
-    .B1(\u_m1_cmd_fifo.mem[1][18] ),
-    .B2(_06297_),
+ sky130_fd_sc_hd__buf_2 _12394_ (.A(_06192_),
+    .X(_06196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12395_ (.A1_N(_06196_),
+    .A2_N(_05797_),
+    .B1(\u_m1_cmd_fifo.mem[1][17] ),
+    .B2(_06196_),
     .X(_00114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12599_ (.A(_06294_),
-    .X(_06298_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12600_ (.A1_N(_06298_),
-    .A2_N(_05899_),
-    .B1(\u_m1_cmd_fifo.mem[1][17] ),
-    .B2(_06298_),
+ sky130_fd_sc_hd__a2bb2o_4 _12396_ (.A1_N(_06196_),
+    .A2_N(_05801_),
+    .B1(\u_m1_cmd_fifo.mem[1][16] ),
+    .B2(_06196_),
     .X(_00113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12601_ (.A1_N(_06298_),
-    .A2_N(_05903_),
-    .B1(\u_m1_cmd_fifo.mem[1][16] ),
-    .B2(_06298_),
+ sky130_fd_sc_hd__buf_2 _12397_ (.A(_06186_),
+    .X(_06197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12398_ (.A(_06197_),
+    .X(_06198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12399_ (.A1_N(_06198_),
+    .A2_N(_05809_),
+    .B1(\u_m1_cmd_fifo.mem[1][15] ),
+    .B2(_06198_),
     .X(_00112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12602_ (.A(_06288_),
-    .X(_06299_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12603_ (.A(_06299_),
-    .X(_06300_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12604_ (.A1_N(_06300_),
-    .A2_N(_05911_),
-    .B1(\u_m1_cmd_fifo.mem[1][15] ),
-    .B2(_06300_),
+ sky130_fd_sc_hd__a2bb2o_4 _12400_ (.A1_N(_06198_),
+    .A2_N(_05815_),
+    .B1(\u_m1_cmd_fifo.mem[1][14] ),
+    .B2(_06198_),
     .X(_00111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12605_ (.A1_N(_06300_),
-    .A2_N(_05917_),
-    .B1(\u_m1_cmd_fifo.mem[1][14] ),
-    .B2(_06300_),
+ sky130_fd_sc_hd__buf_2 _12401_ (.A(_06197_),
+    .X(_06199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12402_ (.A1_N(_06199_),
+    .A2_N(_05820_),
+    .B1(\u_m1_cmd_fifo.mem[1][13] ),
+    .B2(_06199_),
     .X(_00110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12606_ (.A(_06299_),
-    .X(_06301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12607_ (.A1_N(_06301_),
-    .A2_N(_05922_),
-    .B1(\u_m1_cmd_fifo.mem[1][13] ),
-    .B2(_06301_),
+ sky130_fd_sc_hd__a2bb2o_4 _12403_ (.A1_N(_06199_),
+    .A2_N(_05825_),
+    .B1(\u_m1_cmd_fifo.mem[1][12] ),
+    .B2(_06199_),
     .X(_00109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12608_ (.A1_N(_06301_),
-    .A2_N(_05927_),
-    .B1(\u_m1_cmd_fifo.mem[1][12] ),
-    .B2(_06301_),
+ sky130_fd_sc_hd__buf_2 _12404_ (.A(_06197_),
+    .X(_06200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12405_ (.A1_N(_06200_),
+    .A2_N(_05833_),
+    .B1(\u_m1_cmd_fifo.mem[1][11] ),
+    .B2(_06200_),
     .X(_00108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12609_ (.A(_06299_),
-    .X(_06302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12610_ (.A1_N(_06302_),
-    .A2_N(_05935_),
-    .B1(\u_m1_cmd_fifo.mem[1][11] ),
-    .B2(_06302_),
+ sky130_fd_sc_hd__a2bb2o_4 _12406_ (.A1_N(_06200_),
+    .A2_N(_05839_),
+    .B1(\u_m1_cmd_fifo.mem[1][10] ),
+    .B2(_06200_),
     .X(_00107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12611_ (.A1_N(_06302_),
-    .A2_N(_05941_),
-    .B1(\u_m1_cmd_fifo.mem[1][10] ),
-    .B2(_06302_),
+ sky130_fd_sc_hd__buf_2 _12407_ (.A(_06197_),
+    .X(_06201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12408_ (.A1_N(_06201_),
+    .A2_N(_05845_),
+    .B1(\u_m1_cmd_fifo.mem[1][9] ),
+    .B2(_06201_),
     .X(_00106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12612_ (.A(_06299_),
-    .X(_06303_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12613_ (.A1_N(_06303_),
-    .A2_N(_05947_),
-    .B1(\u_m1_cmd_fifo.mem[1][9] ),
-    .B2(_06303_),
+ sky130_fd_sc_hd__a2bb2o_4 _12409_ (.A1_N(_06201_),
+    .A2_N(_05849_),
+    .B1(\u_m1_cmd_fifo.mem[1][8] ),
+    .B2(_06201_),
     .X(_00105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12614_ (.A1_N(_06303_),
-    .A2_N(_05951_),
-    .B1(\u_m1_cmd_fifo.mem[1][8] ),
-    .B2(_06303_),
+ sky130_fd_sc_hd__buf_2 _12410_ (.A(_06186_),
+    .X(_06202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12411_ (.A(_06202_),
+    .X(_06203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12412_ (.A1_N(_06203_),
+    .A2_N(_05855_),
+    .B1(\u_m1_cmd_fifo.mem[1][7] ),
+    .B2(_06203_),
     .X(_00104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12615_ (.A(_06288_),
-    .X(_06304_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12616_ (.A(_06304_),
-    .X(_06305_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12617_ (.A1_N(_06305_),
-    .A2_N(_05957_),
-    .B1(\u_m1_cmd_fifo.mem[1][7] ),
-    .B2(_06305_),
+ sky130_fd_sc_hd__a2bb2o_4 _12413_ (.A1_N(_06203_),
+    .A2_N(_05861_),
+    .B1(\u_m1_cmd_fifo.mem[1][6] ),
+    .B2(_06203_),
     .X(_00103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12618_ (.A1_N(_06305_),
-    .A2_N(_05963_),
-    .B1(\u_m1_cmd_fifo.mem[1][6] ),
-    .B2(_06305_),
+ sky130_fd_sc_hd__buf_2 _12414_ (.A(_06202_),
+    .X(_06204_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12415_ (.A1_N(_06204_),
+    .A2_N(_05868_),
+    .B1(\u_m1_cmd_fifo.mem[1][5] ),
+    .B2(_06204_),
     .X(_00102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12619_ (.A(_06304_),
-    .X(_06306_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12620_ (.A1_N(_06306_),
-    .A2_N(_05970_),
-    .B1(\u_m1_cmd_fifo.mem[1][5] ),
-    .B2(_06306_),
+ sky130_fd_sc_hd__a2bb2o_4 _12416_ (.A1_N(_06204_),
+    .A2_N(_05872_),
+    .B1(\u_m1_cmd_fifo.mem[1][4] ),
+    .B2(_06204_),
     .X(_00101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12621_ (.A1_N(_06306_),
-    .A2_N(_05974_),
-    .B1(\u_m1_cmd_fifo.mem[1][4] ),
-    .B2(_06306_),
+ sky130_fd_sc_hd__buf_2 _12417_ (.A(_06202_),
+    .X(_06205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12418_ (.A1_N(_06205_),
+    .A2_N(_05878_),
+    .B1(\u_m1_cmd_fifo.mem[1][3] ),
+    .B2(_06205_),
     .X(_00100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12622_ (.A(_06304_),
-    .X(_06307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12623_ (.A1_N(_06307_),
-    .A2_N(_05980_),
-    .B1(\u_m1_cmd_fifo.mem[1][3] ),
-    .B2(_06307_),
+ sky130_fd_sc_hd__a2bb2o_4 _12419_ (.A1_N(_06205_),
+    .A2_N(_05882_),
+    .B1(\u_m1_cmd_fifo.mem[1][2] ),
+    .B2(_06205_),
     .X(_00099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12624_ (.A1_N(_06307_),
-    .A2_N(_05984_),
-    .B1(\u_m1_cmd_fifo.mem[1][2] ),
-    .B2(_06307_),
+ sky130_fd_sc_hd__buf_2 _12420_ (.A(_06202_),
+    .X(_06206_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12421_ (.A1_N(_06206_),
+    .A2_N(_05887_),
+    .B1(\u_m1_cmd_fifo.mem[1][1] ),
+    .B2(_06206_),
     .X(_00098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12625_ (.A(_06304_),
-    .X(_06308_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12626_ (.A1_N(_06308_),
-    .A2_N(_05989_),
-    .B1(\u_m1_cmd_fifo.mem[1][1] ),
-    .B2(_06308_),
+ sky130_fd_sc_hd__a2bb2o_4 _12422_ (.A1_N(_06206_),
+    .A2_N(_05891_),
+    .B1(\u_m1_cmd_fifo.mem[1][0] ),
+    .B2(_06206_),
     .X(_00097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12627_ (.A1_N(_06308_),
-    .A2_N(_05993_),
-    .B1(\u_m1_cmd_fifo.mem[1][0] ),
-    .B2(_06308_),
+ sky130_fd_sc_hd__or3_4 _12423_ (.A(_01835_),
+    .B(_01831_),
+    .C(_03272_),
+    .X(_06207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12424_ (.A(_06207_),
+    .X(_06208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12425_ (.A(_06208_),
+    .X(_06209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12426_ (.A1_N(_05709_),
+    .A2_N(_06209_),
+    .B1(\u_m1_cmd_fifo.mem[2][31] ),
+    .B2(_06209_),
     .X(_00096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or3_4 _12628_ (.A(_01836_),
-    .B(_01832_),
-    .C(_03277_),
-    .X(_06309_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12629_ (.A(_06309_),
-    .X(_06310_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12630_ (.A(_06310_),
-    .X(_06311_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12631_ (.A1_N(_05811_),
-    .A2_N(_06311_),
-    .B1(\u_m1_cmd_fifo.mem[2][31] ),
-    .B2(_06311_),
+ sky130_fd_sc_hd__a2bb2o_4 _12427_ (.A1_N(_05713_),
+    .A2_N(_06209_),
+    .B1(\u_m1_cmd_fifo.mem[2][30] ),
+    .B2(_06209_),
     .X(_00095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12632_ (.A1_N(_05815_),
-    .A2_N(_06311_),
-    .B1(\u_m1_cmd_fifo.mem[2][30] ),
-    .B2(_06311_),
+ sky130_fd_sc_hd__buf_2 _12428_ (.A(_06208_),
+    .X(_06210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12429_ (.A1_N(_05720_),
+    .A2_N(_06210_),
+    .B1(\u_m1_cmd_fifo.mem[2][29] ),
+    .B2(_06210_),
     .X(_00094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12633_ (.A(_06310_),
-    .X(_06312_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12634_ (.A1_N(_05822_),
-    .A2_N(_06312_),
-    .B1(\u_m1_cmd_fifo.mem[2][29] ),
-    .B2(_06312_),
+ sky130_fd_sc_hd__a2bb2o_4 _12430_ (.A1_N(_05730_),
+    .A2_N(_06210_),
+    .B1(\u_m1_cmd_fifo.mem[2][28] ),
+    .B2(_06210_),
     .X(_00093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12635_ (.A1_N(_05832_),
-    .A2_N(_06312_),
-    .B1(\u_m1_cmd_fifo.mem[2][28] ),
-    .B2(_06312_),
+ sky130_fd_sc_hd__buf_2 _12431_ (.A(_06208_),
+    .X(_06211_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12432_ (.A1_N(_05738_),
+    .A2_N(_06211_),
+    .B1(\u_m1_cmd_fifo.mem[2][27] ),
+    .B2(_06211_),
     .X(_00092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12636_ (.A(_06310_),
-    .X(_06313_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12637_ (.A1_N(_05840_),
-    .A2_N(_06313_),
-    .B1(\u_m1_cmd_fifo.mem[2][27] ),
-    .B2(_06313_),
+ sky130_fd_sc_hd__a2bb2o_4 _12433_ (.A1_N(_05742_),
+    .A2_N(_06211_),
+    .B1(\u_m1_cmd_fifo.mem[2][26] ),
+    .B2(_06211_),
     .X(_00091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12638_ (.A1_N(_05844_),
-    .A2_N(_06313_),
-    .B1(\u_m1_cmd_fifo.mem[2][26] ),
-    .B2(_06313_),
+ sky130_fd_sc_hd__buf_2 _12434_ (.A(_06208_),
+    .X(_06212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12435_ (.A1_N(_05748_),
+    .A2_N(_06212_),
+    .B1(\u_m1_cmd_fifo.mem[2][25] ),
+    .B2(_06212_),
     .X(_00090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12639_ (.A(_06310_),
-    .X(_06314_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12640_ (.A1_N(_05850_),
-    .A2_N(_06314_),
-    .B1(\u_m1_cmd_fifo.mem[2][25] ),
-    .B2(_06314_),
+ sky130_fd_sc_hd__a2bb2o_4 _12436_ (.A1_N(_05755_),
+    .A2_N(_06212_),
+    .B1(\u_m1_cmd_fifo.mem[2][24] ),
+    .B2(_06212_),
     .X(_00089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12641_ (.A1_N(_05857_),
-    .A2_N(_06314_),
-    .B1(\u_m1_cmd_fifo.mem[2][24] ),
-    .B2(_06314_),
+ sky130_fd_sc_hd__buf_2 _12437_ (.A(_06207_),
+    .X(_06213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12438_ (.A(_06213_),
+    .X(_06214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12439_ (.A1_N(_05762_),
+    .A2_N(_06214_),
+    .B1(\u_m1_cmd_fifo.mem[2][23] ),
+    .B2(_06214_),
     .X(_00088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12642_ (.A(_06309_),
-    .X(_06315_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12643_ (.A(_06315_),
-    .X(_06316_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12644_ (.A1_N(_05864_),
-    .A2_N(_06316_),
-    .B1(\u_m1_cmd_fifo.mem[2][23] ),
-    .B2(_06316_),
+ sky130_fd_sc_hd__a2bb2o_4 _12440_ (.A1_N(_05766_),
+    .A2_N(_06214_),
+    .B1(\u_m1_cmd_fifo.mem[2][22] ),
+    .B2(_06214_),
     .X(_00087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12645_ (.A1_N(_05868_),
-    .A2_N(_06316_),
-    .B1(\u_m1_cmd_fifo.mem[2][22] ),
-    .B2(_06316_),
+ sky130_fd_sc_hd__buf_2 _12441_ (.A(_06213_),
+    .X(_06215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12442_ (.A1_N(_05773_),
+    .A2_N(_06215_),
+    .B1(\u_m1_cmd_fifo.mem[2][21] ),
+    .B2(_06215_),
     .X(_00086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12646_ (.A(_06315_),
-    .X(_06317_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12647_ (.A1_N(_05875_),
-    .A2_N(_06317_),
-    .B1(\u_m1_cmd_fifo.mem[2][21] ),
-    .B2(_06317_),
+ sky130_fd_sc_hd__a2bb2o_4 _12443_ (.A1_N(_05780_),
+    .A2_N(_06215_),
+    .B1(\u_m1_cmd_fifo.mem[2][20] ),
+    .B2(_06215_),
     .X(_00085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12648_ (.A1_N(_05882_),
-    .A2_N(_06317_),
-    .B1(\u_m1_cmd_fifo.mem[2][20] ),
-    .B2(_06317_),
+ sky130_fd_sc_hd__buf_2 _12444_ (.A(_06213_),
+    .X(_06216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12445_ (.A1_N(_05788_),
+    .A2_N(_06216_),
+    .B1(\u_m1_cmd_fifo.mem[2][19] ),
+    .B2(_06216_),
     .X(_00084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12649_ (.A(_06315_),
-    .X(_06318_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12650_ (.A1_N(_05890_),
-    .A2_N(_06318_),
-    .B1(\u_m1_cmd_fifo.mem[2][19] ),
-    .B2(_06318_),
+ sky130_fd_sc_hd__a2bb2o_4 _12446_ (.A1_N(_05792_),
+    .A2_N(_06216_),
+    .B1(\u_m1_cmd_fifo.mem[2][18] ),
+    .B2(_06216_),
     .X(_00083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12651_ (.A1_N(_05894_),
-    .A2_N(_06318_),
-    .B1(\u_m1_cmd_fifo.mem[2][18] ),
-    .B2(_06318_),
+ sky130_fd_sc_hd__buf_2 _12447_ (.A(_06213_),
+    .X(_06217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12448_ (.A1_N(_05797_),
+    .A2_N(_06217_),
+    .B1(\u_m1_cmd_fifo.mem[2][17] ),
+    .B2(_06217_),
     .X(_00082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12652_ (.A(_06315_),
-    .X(_06319_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12653_ (.A1_N(_05899_),
-    .A2_N(_06319_),
-    .B1(\u_m1_cmd_fifo.mem[2][17] ),
-    .B2(_06319_),
+ sky130_fd_sc_hd__a2bb2o_4 _12449_ (.A1_N(_05801_),
+    .A2_N(_06217_),
+    .B1(\u_m1_cmd_fifo.mem[2][16] ),
+    .B2(_06217_),
     .X(_00081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12654_ (.A1_N(_05903_),
-    .A2_N(_06319_),
-    .B1(\u_m1_cmd_fifo.mem[2][16] ),
-    .B2(_06319_),
+ sky130_fd_sc_hd__buf_2 _12450_ (.A(_06207_),
+    .X(_06218_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12451_ (.A(_06218_),
+    .X(_06219_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12452_ (.A1_N(_05809_),
+    .A2_N(_06219_),
+    .B1(\u_m1_cmd_fifo.mem[2][15] ),
+    .B2(_06219_),
     .X(_00080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12655_ (.A(_06309_),
-    .X(_06320_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12656_ (.A(_06320_),
-    .X(_06321_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12657_ (.A1_N(_05911_),
-    .A2_N(_06321_),
-    .B1(\u_m1_cmd_fifo.mem[2][15] ),
-    .B2(_06321_),
+ sky130_fd_sc_hd__a2bb2o_4 _12453_ (.A1_N(_05815_),
+    .A2_N(_06219_),
+    .B1(\u_m1_cmd_fifo.mem[2][14] ),
+    .B2(_06219_),
     .X(_00079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12658_ (.A1_N(_05917_),
-    .A2_N(_06321_),
-    .B1(\u_m1_cmd_fifo.mem[2][14] ),
-    .B2(_06321_),
+ sky130_fd_sc_hd__buf_2 _12454_ (.A(_06218_),
+    .X(_06220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12455_ (.A1_N(_05820_),
+    .A2_N(_06220_),
+    .B1(\u_m1_cmd_fifo.mem[2][13] ),
+    .B2(_06220_),
     .X(_00078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12659_ (.A(_06320_),
-    .X(_06322_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12660_ (.A1_N(_05922_),
-    .A2_N(_06322_),
-    .B1(\u_m1_cmd_fifo.mem[2][13] ),
-    .B2(_06322_),
+ sky130_fd_sc_hd__a2bb2o_4 _12456_ (.A1_N(_05825_),
+    .A2_N(_06220_),
+    .B1(\u_m1_cmd_fifo.mem[2][12] ),
+    .B2(_06220_),
     .X(_00077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12661_ (.A1_N(_05927_),
-    .A2_N(_06322_),
-    .B1(\u_m1_cmd_fifo.mem[2][12] ),
-    .B2(_06322_),
+ sky130_fd_sc_hd__buf_2 _12457_ (.A(_06218_),
+    .X(_06221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12458_ (.A1_N(_05833_),
+    .A2_N(_06221_),
+    .B1(\u_m1_cmd_fifo.mem[2][11] ),
+    .B2(_06221_),
     .X(_00076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12662_ (.A(_06320_),
-    .X(_06323_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12663_ (.A1_N(_05935_),
-    .A2_N(_06323_),
-    .B1(\u_m1_cmd_fifo.mem[2][11] ),
-    .B2(_06323_),
+ sky130_fd_sc_hd__a2bb2o_4 _12459_ (.A1_N(_05839_),
+    .A2_N(_06221_),
+    .B1(\u_m1_cmd_fifo.mem[2][10] ),
+    .B2(_06221_),
     .X(_00075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12664_ (.A1_N(_05941_),
-    .A2_N(_06323_),
-    .B1(\u_m1_cmd_fifo.mem[2][10] ),
-    .B2(_06323_),
+ sky130_fd_sc_hd__buf_2 _12460_ (.A(_06218_),
+    .X(_06222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12461_ (.A1_N(_05845_),
+    .A2_N(_06222_),
+    .B1(\u_m1_cmd_fifo.mem[2][9] ),
+    .B2(_06222_),
     .X(_00074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12665_ (.A(_06320_),
-    .X(_06324_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12666_ (.A1_N(_05947_),
-    .A2_N(_06324_),
-    .B1(\u_m1_cmd_fifo.mem[2][9] ),
-    .B2(_06324_),
+ sky130_fd_sc_hd__a2bb2o_4 _12462_ (.A1_N(_05849_),
+    .A2_N(_06222_),
+    .B1(\u_m1_cmd_fifo.mem[2][8] ),
+    .B2(_06222_),
     .X(_00073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12667_ (.A1_N(_05951_),
-    .A2_N(_06324_),
-    .B1(\u_m1_cmd_fifo.mem[2][8] ),
-    .B2(_06324_),
+ sky130_fd_sc_hd__buf_2 _12463_ (.A(_06207_),
+    .X(_06223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12464_ (.A(_06223_),
+    .X(_06224_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12465_ (.A1_N(_05855_),
+    .A2_N(_06224_),
+    .B1(\u_m1_cmd_fifo.mem[2][7] ),
+    .B2(_06224_),
     .X(_00072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12668_ (.A(_06309_),
-    .X(_06325_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12669_ (.A(_06325_),
-    .X(_06326_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12670_ (.A1_N(_05957_),
-    .A2_N(_06326_),
-    .B1(\u_m1_cmd_fifo.mem[2][7] ),
-    .B2(_06326_),
+ sky130_fd_sc_hd__a2bb2o_4 _12466_ (.A1_N(_05861_),
+    .A2_N(_06224_),
+    .B1(\u_m1_cmd_fifo.mem[2][6] ),
+    .B2(_06224_),
     .X(_00071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12671_ (.A1_N(_05963_),
-    .A2_N(_06326_),
-    .B1(\u_m1_cmd_fifo.mem[2][6] ),
-    .B2(_06326_),
+ sky130_fd_sc_hd__buf_2 _12467_ (.A(_06223_),
+    .X(_06225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12468_ (.A1_N(_05868_),
+    .A2_N(_06225_),
+    .B1(\u_m1_cmd_fifo.mem[2][5] ),
+    .B2(_06225_),
     .X(_00070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12672_ (.A(_06325_),
-    .X(_06327_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12673_ (.A1_N(_05970_),
-    .A2_N(_06327_),
-    .B1(\u_m1_cmd_fifo.mem[2][5] ),
-    .B2(_06327_),
+ sky130_fd_sc_hd__a2bb2o_4 _12469_ (.A1_N(_05872_),
+    .A2_N(_06225_),
+    .B1(\u_m1_cmd_fifo.mem[2][4] ),
+    .B2(_06225_),
     .X(_00069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12674_ (.A1_N(_05974_),
-    .A2_N(_06327_),
-    .B1(\u_m1_cmd_fifo.mem[2][4] ),
-    .B2(_06327_),
+ sky130_fd_sc_hd__buf_2 _12470_ (.A(_06223_),
+    .X(_06226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12471_ (.A1_N(_05878_),
+    .A2_N(_06226_),
+    .B1(\u_m1_cmd_fifo.mem[2][3] ),
+    .B2(_06226_),
     .X(_00068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12675_ (.A(_06325_),
-    .X(_06328_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12676_ (.A1_N(_05980_),
-    .A2_N(_06328_),
-    .B1(\u_m1_cmd_fifo.mem[2][3] ),
-    .B2(_06328_),
+ sky130_fd_sc_hd__a2bb2o_4 _12472_ (.A1_N(_05882_),
+    .A2_N(_06226_),
+    .B1(\u_m1_cmd_fifo.mem[2][2] ),
+    .B2(_06226_),
     .X(_00067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12677_ (.A1_N(_05984_),
-    .A2_N(_06328_),
-    .B1(\u_m1_cmd_fifo.mem[2][2] ),
-    .B2(_06328_),
+ sky130_fd_sc_hd__buf_2 _12473_ (.A(_06223_),
+    .X(_06227_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__a2bb2o_4 _12474_ (.A1_N(_05887_),
+    .A2_N(_06227_),
+    .B1(\u_m1_cmd_fifo.mem[2][1] ),
+    .B2(_06227_),
     .X(_00066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12678_ (.A(_06325_),
-    .X(_06329_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12679_ (.A1_N(_05989_),
-    .A2_N(_06329_),
-    .B1(\u_m1_cmd_fifo.mem[2][1] ),
-    .B2(_06329_),
+ sky130_fd_sc_hd__a2bb2o_4 _12475_ (.A1_N(_05891_),
+    .A2_N(_06227_),
+    .B1(\u_m1_cmd_fifo.mem[2][0] ),
+    .B2(_06227_),
     .X(_00065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12680_ (.A1_N(_05993_),
-    .A2_N(_06329_),
-    .B1(\u_m1_cmd_fifo.mem[2][0] ),
-    .B2(_06329_),
-    .X(_00064_),
+ sky130_fd_sc_hd__and2_4 _12476_ (.A(_01864_),
+    .B(_05048_),
+    .X(_06228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _12681_ (.A(_01866_),
-    .B(_02522_),
-    .X(_06330_),
+ sky130_fd_sc_hd__o22a_4 _12477_ (.A1(_05171_),
+    .A2(_02498_),
+    .B1(psn_net_155),
+    .B2(_06228_),
+    .X(_06229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12682_ (.A1(_05248_),
-    .A2(_02523_),
-    .B1(_01527_),
-    .B2(_06330_),
-    .X(_06331_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12683_ (.A(_06331_),
+ sky130_fd_sc_hd__inv_2 _12478_ (.A(_06229_),
     .Y(_00001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12684_ (.A(_05175_),
-    .Y(_06332_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _12685_ (.A(_06332_),
-    .B(_05180_),
-    .X(_06333_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12686_ (.A(_06333_),
-    .X(io_oeb[3]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12687_ (.A1(\u_m0_cmd_fifo.empty ),
-    .A2(_02523_),
-    .B1(_05414_),
-    .B2(_06330_),
+ sky130_fd_sc_hd__o22a_4 _12479_ (.A1(\u_m0_cmd_fifo.empty ),
+    .A2(_02498_),
+    .B1(_05312_),
+    .B2(_06228_),
     .X(_00000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12688_ (.A(\u_spictrl.u_rxreg.rx_CS[0] ),
-    .Y(_06334_),
+ sky130_fd_sc_hd__o21a_4 _12480_ (.A1(_04642_),
+    .A2(_05017_),
+    .B1(\u_spictrl.u_rxreg.rx_CS[0] ),
+    .X(_06230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _12689_ (.A(_05048_),
-    .B(_04734_),
-    .X(_06335_),
+ sky130_fd_sc_hd__buf_2 _12481_ (.A(_04961_),
+    .X(_06231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _12690_ (.A1(_04805_),
-    .A2(_05062_),
-    .B1(_05063_),
-    .X(_06336_),
+ sky130_fd_sc_hd__or3_4 _12482_ (.A(_04634_),
+    .B(_05017_),
+    .C(_06231_),
+    .X(_06232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _12691_ (.A1(_05060_),
-    .A2(_06336_),
-    .B1(_03909_),
-    .X(_06337_),
+ sky130_fd_sc_hd__or2_4 _12483_ (.A(_04644_),
+    .B(_04960_),
+    .X(_06233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12692_ (.A1(_06334_),
-    .A2(_06335_),
-    .B1(_05078_),
-    .B2(_06337_),
-    .X(_06338_),
+ sky130_fd_sc_hd__a211o_4 _12484_ (.A1(_04977_),
+    .A2(_06232_),
+    .B1(_06233_),
+    .C1(_04956_),
+    .X(_06234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12693_ (.A(_06338_),
-    .Y(_00002_),
+ sky130_fd_sc_hd__inv_2 _12485_ (.A(_06234_),
+    .Y(_06235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _12694_ (.A1(_04592_),
-    .A2(psn_net_107),
-    .B1(_03835_),
-    .X(_06339_),
+ sky130_fd_sc_hd__or3_4 _12486_ (.A(_04660_),
+    .B(_06230_),
+    .C(_06235_),
+    .X(_00002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _12695_ (.A(_03906_),
-    .B(psn_net_112),
-    .X(_06340_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and4_4 _12696_ (.A(_03950_),
-    .B(_04667_),
-    .C(_03829_),
-    .D(_06340_),
-    .X(_06341_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12697_ (.A1(_03850_),
-    .A2(_06339_),
-    .B1(_03735_),
-    .B2(_06341_),
-    .X(_06342_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12698_ (.A(_06342_),
-    .Y(_00008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _12699_ (.A1(_05281_),
-    .A2(_02693_),
+ sky130_fd_sc_hd__o21a_4 _12487_ (.A1(_05247_),
+    .A2(_02702_),
     .B1(\u_spim_regs.spi_init_state[0] ),
     .X(_00009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12700_ (.A(_02678_),
-    .Y(_06343_),
+ sky130_fd_sc_hd__inv_2 _12488_ (.A(_02677_),
+    .Y(_06236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _12701_ (.A1(\u_spim_regs.spi_init_state[1] ),
-    .A2(_06343_),
-    .B1(_02612_),
+ sky130_fd_sc_hd__a21o_4 _12489_ (.A1(\u_spim_regs.spi_init_state[1] ),
+    .A2(_06236_),
+    .B1(_02667_),
     .X(_00010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12702_ (.A1_N(_05281_),
-    .A2_N(_02576_),
+ sky130_fd_sc_hd__a2bb2o_4 _12490_ (.A1_N(_05247_),
+    .A2_N(_02560_),
     .B1(\u_spim_regs.spi_init_state[2] ),
-    .B2(_06343_),
+    .B2(_06236_),
     .X(_00011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _12703_ (.A1(\u_spim_regs.spi_init_state[3] ),
-    .A2(_06343_),
-    .B1(_03604_),
+ sky130_fd_sc_hd__a21o_4 _12491_ (.A1(\u_spim_regs.spi_init_state[3] ),
+    .A2(_06236_),
+    .B1(_02656_),
     .X(_00012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12704_ (.A1_N(_02580_),
-    .A2_N(_05275_),
-    .B1(\u_spim_regs.spi_init_state[1] ),
-    .B2(_02678_),
-    .X(_00014_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _12705_ (.A1(_02567_),
-    .A2(_02694_),
-    .A3(\u_spim_regs.spi_init_state[0] ),
-    .B1(_02672_),
-    .B2(\u_spim_regs.spi_init_state[4] ),
-    .X(_00013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _12706_ (.A1(_02567_),
-    .A2(_02676_),
-    .A3(\u_spim_regs.spi_init_state[2] ),
-    .B1(_02672_),
-    .B2(\u_spim_regs.spi_init_state[6] ),
-    .X(_00015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _12707_ (.A1(\u_spim_regs.spi_init_state[3] ),
-    .A2(_02678_),
+ sky130_fd_sc_hd__a21o_4 _12492_ (.A1(\u_spim_regs.spi_init_state[3] ),
+    .A2(_02677_),
     .B1(\u_spim_regs.spi_init_state[7] ),
     .X(_00016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _12708_ (.A1(_04592_),
-    .A2(_03817_),
-    .B1(_04643_),
-    .X(_06344_),
+ sky130_fd_sc_hd__a32o_4 _12493_ (.A1(_05283_),
+    .A2(_02703_),
+    .A3(\u_spim_regs.spi_init_state[0] ),
+    .B1(_02634_),
+    .B2(\u_spim_regs.spi_init_state[4] ),
+    .X(_00013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12709_ (.A1(psn_net_184),
-    .A2(_03907_),
-    .B1(_04667_),
-    .B2(_06344_),
-    .X(_06345_),
+ sky130_fd_sc_hd__a32o_4 _12494_ (.A1(_02541_),
+    .A2(_02676_),
+    .A3(\u_spim_regs.spi_init_state[1] ),
+    .B1(_02634_),
+    .B2(\u_spim_regs.spi_init_state[5] ),
+    .X(_00014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12710_ (.A(_06345_),
-    .Y(_00007_),
+ sky130_fd_sc_hd__a32o_4 _12495_ (.A1(_02541_),
+    .A2(_02676_),
+    .A3(\u_spim_regs.spi_init_state[2] ),
+    .B1(_02634_),
+    .B2(\u_spim_regs.spi_init_state[6] ),
+    .X(_00015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _12711_ (.A1(_03816_),
-    .A2(_03370_),
-    .B1(_03735_),
-    .X(_06346_),
+ sky130_fd_sc_hd__o21a_4 _12496_ (.A1(_04594_),
+    .A2(_03875_),
+    .B1(_03705_),
+    .X(_06237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12712_ (.A1(_03816_),
-    .A2(_04643_),
-    .B1(_04592_),
-    .B2(_06346_),
-    .X(_00006_),
+ sky130_fd_sc_hd__o21a_4 _12497_ (.A1(_03812_),
+    .A2(_04357_),
+    .B1(_03845_),
+    .X(_06238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _12713_ (.A(_05048_),
-    .B(psn_net_231),
-    .C(_05060_),
-    .X(_06347_),
+ sky130_fd_sc_hd__a21oi_4 _12498_ (.A1(_04594_),
+    .A2(_06238_),
+    .B1(psn_net_141),
+    .Y(_06239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _12714_ (.A(_05055_),
-    .B(_06347_),
-    .X(_06348_),
+ sky130_fd_sc_hd__o22a_4 _12499_ (.A1(_03703_),
+    .A2(_06237_),
+    .B1(_03829_),
+    .B2(_06239_),
+    .X(_06240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a32o_4 _12715_ (.A1(\u_spictrl.rx_done ),
-    .A2(_05051_),
-    .A3(_06347_),
-    .B1(\u_spictrl.u_rxreg.rx_CS[3] ),
-    .B2(_06348_),
-    .X(_00005_),
+ sky130_fd_sc_hd__inv_2 _12500_ (.A(_06240_),
+    .Y(_00008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12716_ (.A(\u_spictrl.rx_done ),
-    .B(_05062_),
-    .C(_05097_),
-    .D(_05071_),
-    .X(_06349_),
+ sky130_fd_sc_hd__and2_4 _12501_ (.A(_03933_),
+    .B(_03703_),
+    .X(_06241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21bo_4 _12717_ (.A1(\u_spictrl.u_rxreg.rx_CS[1] ),
-    .A2(_06348_),
-    .B1_N(_06349_),
-    .X(_00003_),
+ sky130_fd_sc_hd__a32o_4 _12502_ (.A1(_03814_),
+    .A2(_04553_),
+    .A3(_03848_),
+    .B1(_03814_),
+    .B2(_06241_),
+    .X(_00007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _12718_ (.A(_00005_),
-    .B(_00003_),
-    .X(_00060_),
+ sky130_fd_sc_hd__o21a_4 _12503_ (.A1(_03364_),
+    .A2(psn_net_142),
+    .B1(_03831_),
+    .X(_06242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12719_ (.A1(_06334_),
-    .A2(_04691_),
+ sky130_fd_sc_hd__o21ai_4 _12504_ (.A1(_03932_),
+    .A2(_06242_),
     .B1(_03815_),
-    .B2(_05061_),
-    .X(_06350_),
+    .Y(_00006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _12720_ (.A1(_03906_),
-    .A2(_05072_),
-    .B1(_05048_),
-    .X(_06351_),
+ sky130_fd_sc_hd__or2_4 _12505_ (.A(_04955_),
+    .B(_06233_),
+    .X(_06243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12721_ (.A1(_05078_),
-    .A2(_06350_),
-    .B1(_05062_),
-    .B2(_06351_),
-    .X(_06352_),
+ sky130_fd_sc_hd__and2_4 _12506_ (.A(_04962_),
+    .B(_06243_),
+    .X(_06244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12722_ (.A(_06352_),
-    .Y(_00004_),
+ sky130_fd_sc_hd__o22a_4 _12507_ (.A1(_04977_),
+    .A2(_06244_),
+    .B1(_06232_),
+    .B2(_06243_),
+    .X(_06245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _12723_ (.A(_00005_),
-    .B(_00004_),
-    .X(_00059_),
+ sky130_fd_sc_hd__inv_2 _12508_ (.A(_06245_),
+    .Y(_00005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12724_ (.A(_01683_),
-    .Y(\u_m0_cmd_fifo.full ),
+ sky130_fd_sc_hd__or4_4 _12509_ (.A(_04721_),
+    .B(_06231_),
+    .C(_06233_),
+    .D(_04967_),
+    .X(_06246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _12725_ (.A(\u_spictrl.u_txreg.data_ready_f ),
-    .B(_04066_),
-    .C(_04675_),
+ sky130_fd_sc_hd__o21ai_4 _12510_ (.A1(_04978_),
+    .A2(_06244_),
+    .B1(_06246_),
+    .Y(_00003_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12511_ (.A(_00005_),
+    .B(_00003_),
     .X(_00061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _12726_ (.A(_05134_),
-    .B(_05140_),
+ sky130_fd_sc_hd__inv_2 _12512_ (.A(\u_spictrl.u_rxreg.rx_CS[0] ),
+    .Y(_06247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12513_ (.A(_04644_),
+    .B(_04956_),
+    .X(_06248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12514_ (.A1(_06247_),
+    .A2(_04642_),
+    .B1(_04978_),
+    .B2(_06248_),
+    .X(_06249_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o21a_4 _12515_ (.A1(_04660_),
+    .A2(_04968_),
+    .B1(_04962_),
+    .X(_06250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__o22a_4 _12516_ (.A1(_05017_),
+    .A2(_06249_),
+    .B1(_06231_),
+    .B2(_06250_),
+    .X(_06251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12517_ (.A(_06251_),
+    .Y(_00004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12518_ (.A(_00005_),
+    .B(_00004_),
+    .X(_00060_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12519_ (.A(\u_spictrl.spi_dummy ),
+    .B(_03838_),
+    .X(io_oeb[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12520_ (.A(_01684_),
+    .Y(\u_m0_cmd_fifo.full ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12521_ (.A(psn_net_198),
+    .B(psn_net_83),
+    .X(_06252_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 _12522_ (.A(_06252_),
+    .X(_00063_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__inv_2 _12523_ (.A(_00063_),
+    .Y(_06253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__and3_4 _12524_ (.A(\u_spictrl.u_txreg.data_ready_f ),
+    .B(_03815_),
+    .C(_06253_),
+    .X(_00062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12525_ (.A(_05028_),
+    .B(_05034_),
     .X(_00019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _12727_ (.A(\u_spictrl.u_clkgen.clk_cnt[0] ),
+ sky130_fd_sc_hd__or2_4 _12526_ (.A(_05028_),
+    .B(_05025_),
+    .X(_06254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__or2_4 _12527_ (.A(\u_spictrl.u_clkgen.clk_cnt[0] ),
     .B(\u_spictrl.u_clkgen.clk_cnt[1] ),
-    .X(_06353_),
+    .X(_06255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _12728_ (.A(_05134_),
-    .B(_05131_),
-    .X(_06354_),
+ sky130_fd_sc_hd__buf_2 _12528_ (.A(_05033_),
+    .X(_06256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12729_ (.A(_05139_),
-    .X(_06355_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _12730_ (.A(_06353_),
-    .B(_06354_),
-    .C(_06355_),
+ sky130_fd_sc_hd__and3_4 _12529_ (.A(_06254_),
+    .B(_06255_),
+    .C(_06256_),
     .X(_00020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _12731_ (.A(_05136_),
-    .B(_06354_),
-    .Y(_06356_),
+ sky130_fd_sc_hd__or2_4 _12530_ (.A(_05030_),
+    .B(_06254_),
+    .X(_06257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _12732_ (.A(_05136_),
-    .B(_06354_),
-    .X(_06357_),
+ sky130_fd_sc_hd__nand2_4 _12531_ (.A(_05030_),
+    .B(_06254_),
+    .Y(_06258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _12733_ (.A(_06356_),
-    .B(_06357_),
-    .C(_06355_),
+ sky130_fd_sc_hd__and3_4 _12532_ (.A(_06257_),
+    .B(_06258_),
+    .C(_06256_),
     .X(_00021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _12734_ (.A(_05124_),
-    .B(_06357_),
-    .Y(_06358_),
+ sky130_fd_sc_hd__nand2_4 _12533_ (.A(_05018_),
+    .B(_06257_),
+    .Y(_06259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _12735_ (.A(_05124_),
-    .B(_06357_),
-    .X(_06359_),
+ sky130_fd_sc_hd__or2_4 _12534_ (.A(_05018_),
+    .B(_06257_),
+    .X(_06260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _12736_ (.A(_06358_),
-    .B(_06359_),
-    .C(_06355_),
+ sky130_fd_sc_hd__and3_4 _12535_ (.A(_06259_),
+    .B(_06260_),
+    .C(_06256_),
     .X(_00022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nand2_4 _12737_ (.A(_05126_),
-    .B(_06359_),
-    .Y(_06360_),
+ sky130_fd_sc_hd__or2_4 _12536_ (.A(_05020_),
+    .B(_06260_),
+    .X(_06261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or2_4 _12738_ (.A(_05126_),
-    .B(_06359_),
-    .X(_06361_),
+ sky130_fd_sc_hd__nand2_4 _12537_ (.A(_05020_),
+    .B(_06260_),
+    .Y(_06262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _12739_ (.A(_06360_),
-    .B(_06361_),
-    .C(_05139_),
+ sky130_fd_sc_hd__and3_4 _12538_ (.A(_06261_),
+    .B(_06262_),
+    .C(_05033_),
     .X(_00023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _12740_ (.A(_05129_),
-    .B(_06361_),
-    .Y(_06362_),
+ sky130_fd_sc_hd__nor2_4 _12539_ (.A(_05023_),
+    .B(_06261_),
+    .Y(_06263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _12741_ (.A1(_05129_),
-    .A2(_06361_),
-    .B1(_05140_),
-    .C1(_06362_),
-    .X(_06363_),
+ sky130_fd_sc_hd__a211o_4 _12540_ (.A1(_05023_),
+    .A2(_06261_),
+    .B1(_05034_),
+    .C1(_06263_),
+    .X(_06264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12742_ (.A(_06363_),
+ sky130_fd_sc_hd__inv_2 _12541_ (.A(_06264_),
     .Y(_00024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__nor2_4 _12743_ (.A(_06355_),
+ sky130_fd_sc_hd__nor2_4 _12542_ (.A(_06256_),
     .B(_00017_),
     .Y(_00025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and2_4 _12744_ (.A(_02518_),
-    .B(_01527_),
-    .X(_06364_),
+ sky130_fd_sc_hd__and2_4 _12543_ (.A(_02494_),
+    .B(psn_net_154),
+    .X(_06265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a211o_4 _12745_ (.A1(_02517_),
-    .A2(_05353_),
-    .B1(_06364_),
+ sky130_fd_sc_hd__a211o_4 _12544_ (.A1(_02493_),
+    .A2(_05203_),
+    .B1(_06265_),
     .C1(spi_ctrl_status),
     .X(_00018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o21a_4 _12746_ (.A1(_03706_),
-    .A2(\u_spictrl.s_spi_mode[0] ),
-    .B1(io_oeb[3]),
+ sky130_fd_sc_hd__and2_4 _12545_ (.A(_03717_),
+    .B(io_oeb[3]),
     .X(io_oeb[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _12747_ (.A1(_04805_),
-    .A2(_05070_),
-    .B1(_05055_),
-    .X(_06365_),
+ sky130_fd_sc_hd__or2_4 _12546_ (.A(_06231_),
+    .B(_04972_),
+    .X(_06266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__and3_4 _12748_ (.A(_05063_),
-    .B(_05058_),
-    .C(_06365_),
-    .X(_06366_),
+ sky130_fd_sc_hd__and3_4 _12547_ (.A(_04977_),
+    .B(_04978_),
+    .C(_06266_),
+    .X(_06267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__or4_4 _12749_ (.A(_04749_),
-    .B(_05053_),
-    .C(_05060_),
-    .D(_06366_),
-    .X(_06367_),
+ sky130_fd_sc_hd__nor2_4 _12548_ (.A(_06248_),
+    .B(_06267_),
+    .Y(_00059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12750_ (.A(_06367_),
-    .Y(_00058_),
+ sky130_fd_sc_hd__nor2_4 _12549_ (.A(_04736_),
+    .B(_04981_),
+    .Y(_00026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a21o_4 _12751_ (.A1(\u_spim_regs.spi_init_state[7] ),
-    .A2(_02694_),
-    .B1(_05281_),
-    .X(_00063_),
+ sky130_fd_sc_hd__a21o_4 _12550_ (.A1(\u_spim_regs.spi_init_state[7] ),
+    .A2(_02703_),
+    .B1(_05247_),
+    .X(_00064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__a2bb2o_4 _12752_ (.A1_N(\u_wb_if.NextPreDCnt[7] ),
+ sky130_fd_sc_hd__a2bb2o_4 _12551_ (.A1_N(\u_wb_if.NextPreDCnt[7] ),
     .A2_N(_01438_),
     .B1(\u_wb_if.NextPreDCnt[7] ),
     .B2(_01438_),
-    .X(_06368_),
+    .X(_06268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__o22a_4 _12753_ (.A1(_01505_),
-    .A2(_01450_),
-    .B1(_01443_),
-    .B2(_06368_),
-    .X(_06369_),
+ sky130_fd_sc_hd__a2bb2o_4 _12552_ (.A1_N(_01461_),
+    .A2_N(_06268_),
+    .B1(\u_spim_regs.cfg_m0_data_cnt[7] ),
+    .B2(_01461_),
+    .X(_01278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _12754_ (.A(_06369_),
-    .Y(_01277_),
+ sky130_fd_sc_hd__conb_1 _12553_ (.LO(io_oeb[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _12755_ (.LO(io_oeb[0]),
+ sky130_fd_sc_hd__conb_1 _12554_ (.LO(io_oeb[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _12756_ (.LO(io_oeb[1]),
+ sky130_fd_sc_hd__conb_1 _12555_ (.LO(spi_debug[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _12757_ (.LO(spi_debug[1]),
+ sky130_fd_sc_hd__conb_1 _12556_ (.LO(spi_debug[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _12758_ (.LO(spi_debug[2]),
+ sky130_fd_sc_hd__conb_1 _12557_ (.LO(spi_debug[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _12759_ (.LO(spi_debug[3]),
+ sky130_fd_sc_hd__conb_1 _12558_ (.LO(spi_debug[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _12760_ (.LO(spi_debug[4]),
+ sky130_fd_sc_hd__conb_1 _12559_ (.LO(spi_debug[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _12761_ (.LO(spi_debug[5]),
+ sky130_fd_sc_hd__conb_1 _12560_ (.LO(spi_debug[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _12762_ (.LO(spi_debug[6]),
+ sky130_fd_sc_hd__conb_1 _12561_ (.LO(spi_debug[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _12763_ (.LO(spi_debug[7]),
+ sky130_fd_sc_hd__conb_1 _12562_ (.LO(spi_debug[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _12764_ (.LO(spi_debug[8]),
+ sky130_fd_sc_hd__conb_1 _12563_ (.LO(spi_debug[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _12765_ (.LO(spi_debug[12]),
+ sky130_fd_sc_hd__conb_1 _12564_ (.LO(spi_debug[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _12766_ (.LO(spi_debug[15]),
+ sky130_fd_sc_hd__conb_1 _12565_ (.LO(spi_debug[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _12767_ (.LO(spi_debug[16]),
+ sky130_fd_sc_hd__conb_1 _12566_ (.LO(wbd_err_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _12768_ (.LO(wbd_err_o),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12769_ (.A(psn_net_164),
+ sky130_fd_sc_hd__buf_2 _12567_ (.A(io_oeb[3]),
     .X(io_oeb[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12770_ (.A(io_oeb[5]),
+ sky130_fd_sc_hd__buf_2 _12568_ (.A(io_oeb[5]),
     .X(io_oeb[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12771_ (.A(\u_spictrl.spi_clk ),
+ sky130_fd_sc_hd__buf_2 _12569_ (.A(\u_spictrl.spi_clk ),
     .X(io_out[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12772_ (.A(\u_spictrl.spi_csn0 ),
+ sky130_fd_sc_hd__buf_2 _12570_ (.A(\u_spictrl.spi_csn0 ),
     .X(io_out[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12773_ (.A(spi_sdo0_out),
+ sky130_fd_sc_hd__buf_2 _12571_ (.A(spi_sdo0_out),
     .X(io_out[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12774_ (.A(spi_sdo1_out),
+ sky130_fd_sc_hd__buf_2 _12572_ (.A(spi_sdo1_out),
     .X(io_out[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12775_ (.A(spi_sdo2_out),
+ sky130_fd_sc_hd__buf_2 _12573_ (.A(spi_sdo2_out),
     .X(io_out[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12776_ (.A(spi_sdo3_out),
+ sky130_fd_sc_hd__buf_2 _12574_ (.A(spi_sdo3_out),
     .X(io_out[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12777_ (.A(spi_ctrl_status),
+ sky130_fd_sc_hd__buf_2 _12575_ (.A(spi_ctrl_status),
     .X(spi_debug[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12778_ (.A(\u_m1_res_fifo.empty ),
+ sky130_fd_sc_hd__buf_2 _12576_ (.A(\u_m1_res_fifo.empty ),
     .X(spi_debug[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12779_ (.A(\u_m1_res_fifo.full ),
+ sky130_fd_sc_hd__buf_2 _12577_ (.A(\u_m1_res_fifo.full ),
     .X(spi_debug[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12780_ (.A(\u_m1_cmd_fifo.empty ),
+ sky130_fd_sc_hd__buf_2 _12578_ (.A(\u_m1_cmd_fifo.empty ),
     .X(spi_debug[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12781_ (.A(\u_m1_cmd_fifo.full ),
+ sky130_fd_sc_hd__buf_2 _12579_ (.A(\u_m1_cmd_fifo.full ),
     .X(spi_debug[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12782_ (.A(\u_m0_res_fifo.empty ),
+ sky130_fd_sc_hd__buf_2 _12580_ (.A(\u_m0_res_fifo.empty ),
     .X(spi_debug[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12783_ (.A(\u_m0_res_fifo.full ),
+ sky130_fd_sc_hd__buf_2 _12581_ (.A(\u_m0_res_fifo.full ),
     .X(spi_debug[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12784_ (.A(\u_m0_cmd_fifo.empty ),
+ sky130_fd_sc_hd__buf_2 _12582_ (.A(\u_m0_cmd_fifo.empty ),
     .X(spi_debug[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12785_ (.A(\u_m0_cmd_fifo.full ),
+ sky130_fd_sc_hd__buf_2 _12583_ (.A(\u_m0_cmd_fifo.full ),
     .X(spi_debug[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12786_ (.A(\u_spim_regs.spi_init_done ),
+ sky130_fd_sc_hd__buf_2 _12584_ (.A(\u_spim_regs.spi_init_done ),
     .X(spi_debug[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12787_ (.A(\u_m1_res_fifo.flush ),
+ sky130_fd_sc_hd__buf_2 _12585_ (.A(\u_m1_res_fifo.flush ),
     .X(spi_debug[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _12788_ (.A(\u_m0_res_fifo.flush ),
+ sky130_fd_sc_hd__buf_2 _12586_ (.A(\u_m0_res_fifo.flush ),
     .X(spi_debug[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12789_ (.D(_00064_),
+ sky130_fd_sc_hd__dfxtp_4 _12587_ (.D(_00065_),
     .Q(\u_m1_cmd_fifo.mem[2][0] ),
-    .CLK(clknet_7_36_0_mclk),
+    .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12790_ (.D(_00065_),
+ sky130_fd_sc_hd__dfxtp_4 _12588_ (.D(_00066_),
     .Q(\u_m1_cmd_fifo.mem[2][1] ),
-    .CLK(clknet_7_36_0_mclk),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12791_ (.D(_00066_),
+ sky130_fd_sc_hd__dfxtp_4 _12589_ (.D(_00067_),
     .Q(\u_m1_cmd_fifo.mem[2][2] ),
-    .CLK(clknet_7_39_0_mclk),
+    .CLK(clknet_7_33_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12792_ (.D(_00067_),
+ sky130_fd_sc_hd__dfxtp_4 _12590_ (.D(_00068_),
     .Q(\u_m1_cmd_fifo.mem[2][3] ),
-    .CLK(clknet_7_39_0_mclk),
+    .CLK(clknet_7_33_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12793_ (.D(_00068_),
+ sky130_fd_sc_hd__dfxtp_4 _12591_ (.D(_00069_),
     .Q(\u_m1_cmd_fifo.mem[2][4] ),
-    .CLK(clknet_7_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12794_ (.D(_00069_),
-    .Q(\u_m1_cmd_fifo.mem[2][5] ),
-    .CLK(clknet_7_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12795_ (.D(_00070_),
-    .Q(\u_m1_cmd_fifo.mem[2][6] ),
-    .CLK(clknet_7_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12796_ (.D(_00071_),
-    .Q(\u_m1_cmd_fifo.mem[2][7] ),
     .CLK(clknet_7_38_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12797_ (.D(_00072_),
+ sky130_fd_sc_hd__dfxtp_4 _12592_ (.D(_00070_),
+    .Q(\u_m1_cmd_fifo.mem[2][5] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12593_ (.D(_00071_),
+    .Q(\u_m1_cmd_fifo.mem[2][6] ),
+    .CLK(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12594_ (.D(_00072_),
+    .Q(\u_m1_cmd_fifo.mem[2][7] ),
+    .CLK(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12595_ (.D(_00073_),
     .Q(\u_m1_cmd_fifo.mem[2][8] ),
-    .CLK(clknet_7_35_0_mclk),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12798_ (.D(_00073_),
+ sky130_fd_sc_hd__dfxtp_4 _12596_ (.D(_00074_),
     .Q(\u_m1_cmd_fifo.mem[2][9] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12597_ (.D(_00075_),
+    .Q(\u_m1_cmd_fifo.mem[2][10] ),
     .CLK(clknet_7_35_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12799_ (.D(_00074_),
-    .Q(\u_m1_cmd_fifo.mem[2][10] ),
-    .CLK(clknet_7_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12800_ (.D(_00075_),
+ sky130_fd_sc_hd__dfxtp_4 _12598_ (.D(_00076_),
     .Q(\u_m1_cmd_fifo.mem[2][11] ),
-    .CLK(clknet_7_34_0_mclk),
+    .CLK(clknet_7_35_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12801_ (.D(_00076_),
+ sky130_fd_sc_hd__dfxtp_4 _12599_ (.D(_00077_),
     .Q(\u_m1_cmd_fifo.mem[2][12] ),
-    .CLK(clknet_7_34_0_mclk),
+    .CLK(clknet_7_46_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12802_ (.D(_00077_),
+ sky130_fd_sc_hd__dfxtp_4 _12600_ (.D(_00078_),
     .Q(\u_m1_cmd_fifo.mem[2][13] ),
-    .CLK(clknet_7_34_0_mclk),
+    .CLK(clknet_7_46_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12803_ (.D(_00078_),
+ sky130_fd_sc_hd__dfxtp_4 _12601_ (.D(_00079_),
     .Q(\u_m1_cmd_fifo.mem[2][14] ),
-    .CLK(clknet_7_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12804_ (.D(_00079_),
-    .Q(\u_m1_cmd_fifo.mem[2][15] ),
-    .CLK(clknet_7_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12805_ (.D(_00080_),
-    .Q(\u_m1_cmd_fifo.mem[2][16] ),
-    .CLK(clknet_7_44_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12806_ (.D(_00081_),
-    .Q(\u_m1_cmd_fifo.mem[2][17] ),
-    .CLK(clknet_7_44_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12807_ (.D(_00082_),
-    .Q(\u_m1_cmd_fifo.mem[2][18] ),
-    .CLK(clknet_7_44_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12808_ (.D(_00083_),
-    .Q(\u_m1_cmd_fifo.mem[2][19] ),
-    .CLK(clknet_7_44_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12809_ (.D(_00084_),
-    .Q(\u_m1_cmd_fifo.mem[2][20] ),
-    .CLK(clknet_7_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12810_ (.D(_00085_),
-    .Q(\u_m1_cmd_fifo.mem[2][21] ),
-    .CLK(clknet_7_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12811_ (.D(_00086_),
-    .Q(\u_m1_cmd_fifo.mem[2][22] ),
-    .CLK(clknet_7_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12812_ (.D(_00087_),
-    .Q(\u_m1_cmd_fifo.mem[2][23] ),
-    .CLK(clknet_7_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12813_ (.D(_00088_),
-    .Q(\u_m1_cmd_fifo.mem[2][24] ),
     .CLK(clknet_7_42_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12814_ (.D(_00089_),
+ sky130_fd_sc_hd__dfxtp_4 _12602_ (.D(_00080_),
+    .Q(\u_m1_cmd_fifo.mem[2][15] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12603_ (.D(_00081_),
+    .Q(\u_m1_cmd_fifo.mem[2][16] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12604_ (.D(_00082_),
+    .Q(\u_m1_cmd_fifo.mem[2][17] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12605_ (.D(_00083_),
+    .Q(\u_m1_cmd_fifo.mem[2][18] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12606_ (.D(_00084_),
+    .Q(\u_m1_cmd_fifo.mem[2][19] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12607_ (.D(_00085_),
+    .Q(\u_m1_cmd_fifo.mem[2][20] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12608_ (.D(_00086_),
+    .Q(\u_m1_cmd_fifo.mem[2][21] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12609_ (.D(_00087_),
+    .Q(\u_m1_cmd_fifo.mem[2][22] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12610_ (.D(_00088_),
+    .Q(\u_m1_cmd_fifo.mem[2][23] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12611_ (.D(_00089_),
+    .Q(\u_m1_cmd_fifo.mem[2][24] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12612_ (.D(_00090_),
     .Q(\u_m1_cmd_fifo.mem[2][25] ),
-    .CLK(clknet_7_40_0_mclk),
+    .CLK(clknet_7_45_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12815_ (.D(_00090_),
+ sky130_fd_sc_hd__dfxtp_4 _12613_ (.D(_00091_),
     .Q(\u_m1_cmd_fifo.mem[2][26] ),
-    .CLK(clknet_7_40_0_mclk),
+    .CLK(clknet_7_45_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12816_ (.D(_00091_),
+ sky130_fd_sc_hd__dfxtp_4 _12614_ (.D(_00092_),
     .Q(\u_m1_cmd_fifo.mem[2][27] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12615_ (.D(_00093_),
+    .Q(\u_m1_cmd_fifo.mem[2][28] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12616_ (.D(_00094_),
+    .Q(\u_m1_cmd_fifo.mem[2][29] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12617_ (.D(_00095_),
+    .Q(\u_m1_cmd_fifo.mem[2][30] ),
     .CLK(clknet_7_41_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12817_ (.D(_00092_),
-    .Q(\u_m1_cmd_fifo.mem[2][28] ),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12818_ (.D(_00093_),
-    .Q(\u_m1_cmd_fifo.mem[2][29] ),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12819_ (.D(_00094_),
-    .Q(\u_m1_cmd_fifo.mem[2][30] ),
-    .CLK(clknet_7_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12820_ (.D(_00095_),
+ sky130_fd_sc_hd__dfxtp_4 _12618_ (.D(_00096_),
     .Q(\u_m1_cmd_fifo.mem[2][31] ),
-    .CLK(clknet_7_46_0_mclk),
+    .CLK(clknet_7_41_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12821_ (.D(_00096_),
+ sky130_fd_sc_hd__dfxtp_4 _12619_ (.D(_00097_),
     .Q(\u_m1_cmd_fifo.mem[1][0] ),
-    .CLK(clknet_7_38_0_mclk),
+    .CLK(clknet_7_35_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12822_ (.D(_00097_),
+ sky130_fd_sc_hd__dfxtp_4 _12620_ (.D(_00098_),
     .Q(\u_m1_cmd_fifo.mem[1][1] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12621_ (.D(_00099_),
+    .Q(\u_m1_cmd_fifo.mem[1][2] ),
     .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12823_ (.D(_00098_),
-    .Q(\u_m1_cmd_fifo.mem[1][2] ),
-    .CLK(clknet_7_39_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12824_ (.D(_00099_),
+ sky130_fd_sc_hd__dfxtp_4 _12622_ (.D(_00100_),
     .Q(\u_m1_cmd_fifo.mem[1][3] ),
-    .CLK(clknet_7_38_0_mclk),
+    .CLK(clknet_7_33_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12825_ (.D(_00100_),
+ sky130_fd_sc_hd__dfxtp_4 _12623_ (.D(_00101_),
     .Q(\u_m1_cmd_fifo.mem[1][4] ),
     .CLK(clknet_7_38_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12826_ (.D(_00101_),
+ sky130_fd_sc_hd__dfxtp_4 _12624_ (.D(_00102_),
     .Q(\u_m1_cmd_fifo.mem[1][5] ),
-    .CLK(clknet_7_36_0_mclk),
+    .CLK(clknet_7_39_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12827_ (.D(_00102_),
+ sky130_fd_sc_hd__dfxtp_4 _12625_ (.D(_00103_),
     .Q(\u_m1_cmd_fifo.mem[1][6] ),
-    .CLK(clknet_7_38_0_mclk),
+    .CLK(clknet_7_45_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12828_ (.D(_00103_),
+ sky130_fd_sc_hd__dfxtp_4 _12626_ (.D(_00104_),
     .Q(\u_m1_cmd_fifo.mem[1][7] ),
-    .CLK(clknet_7_38_0_mclk),
+    .CLK(clknet_7_44_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12829_ (.D(_00104_),
+ sky130_fd_sc_hd__dfxtp_4 _12627_ (.D(_00105_),
     .Q(\u_m1_cmd_fifo.mem[1][8] ),
-    .CLK(clknet_7_32_0_mclk),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12830_ (.D(_00105_),
+ sky130_fd_sc_hd__dfxtp_4 _12628_ (.D(_00106_),
     .Q(\u_m1_cmd_fifo.mem[1][9] ),
-    .CLK(clknet_7_33_0_mclk),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12831_ (.D(_00106_),
+ sky130_fd_sc_hd__dfxtp_4 _12629_ (.D(_00107_),
     .Q(\u_m1_cmd_fifo.mem[1][10] ),
-    .CLK(clknet_7_32_0_mclk),
+    .CLK(clknet_7_35_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12832_ (.D(_00107_),
+ sky130_fd_sc_hd__dfxtp_4 _12630_ (.D(_00108_),
     .Q(\u_m1_cmd_fifo.mem[1][11] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12631_ (.D(_00109_),
+    .Q(\u_m1_cmd_fifo.mem[1][12] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12632_ (.D(_00110_),
+    .Q(\u_m1_cmd_fifo.mem[1][13] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12633_ (.D(_00111_),
+    .Q(\u_m1_cmd_fifo.mem[1][14] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12634_ (.D(_00112_),
+    .Q(\u_m1_cmd_fifo.mem[1][15] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12635_ (.D(_00113_),
+    .Q(\u_m1_cmd_fifo.mem[1][16] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12636_ (.D(_00114_),
+    .Q(\u_m1_cmd_fifo.mem[1][17] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12637_ (.D(_00115_),
+    .Q(\u_m1_cmd_fifo.mem[1][18] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12638_ (.D(_00116_),
+    .Q(\u_m1_cmd_fifo.mem[1][19] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12639_ (.D(_00117_),
+    .Q(\u_m1_cmd_fifo.mem[1][20] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12640_ (.D(_00118_),
+    .Q(\u_m1_cmd_fifo.mem[1][21] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12641_ (.D(_00119_),
+    .Q(\u_m1_cmd_fifo.mem[1][22] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12642_ (.D(_00120_),
+    .Q(\u_m1_cmd_fifo.mem[1][23] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12643_ (.D(_00121_),
+    .Q(\u_m1_cmd_fifo.mem[1][24] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12644_ (.D(_00122_),
+    .Q(\u_m1_cmd_fifo.mem[1][25] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12645_ (.D(_00123_),
+    .Q(\u_m1_cmd_fifo.mem[1][26] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12646_ (.D(_00124_),
+    .Q(\u_m1_cmd_fifo.mem[1][27] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12647_ (.D(_00125_),
+    .Q(\u_m1_cmd_fifo.mem[1][28] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12648_ (.D(_00126_),
+    .Q(\u_m1_cmd_fifo.mem[1][29] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12649_ (.D(_00127_),
+    .Q(\u_m1_cmd_fifo.mem[1][30] ),
+    .CLK(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12650_ (.D(_00128_),
+    .Q(\u_m1_cmd_fifo.mem[1][31] ),
+    .CLK(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12651_ (.D(_00129_),
+    .Q(\u_m1_cmd_fifo.mem[0][0] ),
     .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12833_ (.D(_00108_),
-    .Q(\u_m1_cmd_fifo.mem[1][12] ),
-    .CLK(clknet_7_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12834_ (.D(_00109_),
-    .Q(\u_m1_cmd_fifo.mem[1][13] ),
-    .CLK(clknet_7_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12835_ (.D(_00110_),
-    .Q(\u_m1_cmd_fifo.mem[1][14] ),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12836_ (.D(_00111_),
-    .Q(\u_m1_cmd_fifo.mem[1][15] ),
-    .CLK(clknet_7_41_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12837_ (.D(_00112_),
-    .Q(\u_m1_cmd_fifo.mem[1][16] ),
-    .CLK(clknet_7_41_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12838_ (.D(_00113_),
-    .Q(\u_m1_cmd_fifo.mem[1][17] ),
-    .CLK(clknet_7_41_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12839_ (.D(_00114_),
-    .Q(\u_m1_cmd_fifo.mem[1][18] ),
-    .CLK(clknet_7_45_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12840_ (.D(_00115_),
-    .Q(\u_m1_cmd_fifo.mem[1][19] ),
-    .CLK(clknet_7_45_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12841_ (.D(_00116_),
-    .Q(\u_m1_cmd_fifo.mem[1][20] ),
-    .CLK(clknet_7_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12842_ (.D(_00117_),
-    .Q(\u_m1_cmd_fifo.mem[1][21] ),
-    .CLK(clknet_7_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12843_ (.D(_00118_),
-    .Q(\u_m1_cmd_fifo.mem[1][22] ),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12844_ (.D(_00119_),
-    .Q(\u_m1_cmd_fifo.mem[1][23] ),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12845_ (.D(_00120_),
-    .Q(\u_m1_cmd_fifo.mem[1][24] ),
-    .CLK(clknet_7_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12846_ (.D(_00121_),
-    .Q(\u_m1_cmd_fifo.mem[1][25] ),
-    .CLK(clknet_7_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12847_ (.D(_00122_),
-    .Q(\u_m1_cmd_fifo.mem[1][26] ),
-    .CLK(clknet_7_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12848_ (.D(_00123_),
-    .Q(\u_m1_cmd_fifo.mem[1][27] ),
-    .CLK(clknet_7_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12849_ (.D(_00124_),
-    .Q(\u_m1_cmd_fifo.mem[1][28] ),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12850_ (.D(_00125_),
-    .Q(\u_m1_cmd_fifo.mem[1][29] ),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12851_ (.D(_00126_),
-    .Q(\u_m1_cmd_fifo.mem[1][30] ),
-    .CLK(clknet_7_45_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12852_ (.D(_00127_),
-    .Q(\u_m1_cmd_fifo.mem[1][31] ),
-    .CLK(clknet_7_45_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12853_ (.D(_00128_),
-    .Q(\u_m1_cmd_fifo.mem[0][0] ),
-    .CLK(clknet_7_36_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12854_ (.D(_00129_),
+ sky130_fd_sc_hd__dfxtp_4 _12652_ (.D(_00130_),
     .Q(\u_m1_cmd_fifo.mem[0][1] ),
-    .CLK(clknet_7_36_0_mclk),
+    .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12855_ (.D(_00130_),
+ sky130_fd_sc_hd__dfxtp_4 _12653_ (.D(_00131_),
     .Q(\u_m1_cmd_fifo.mem[0][2] ),
     .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12856_ (.D(_00131_),
+ sky130_fd_sc_hd__dfxtp_4 _12654_ (.D(_00132_),
     .Q(\u_m1_cmd_fifo.mem[0][3] ),
-    .CLK(clknet_7_36_0_mclk),
+    .CLK(clknet_7_33_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12857_ (.D(_00132_),
+ sky130_fd_sc_hd__dfxtp_4 _12655_ (.D(_00133_),
     .Q(\u_m1_cmd_fifo.mem[0][4] ),
-    .CLK(clknet_7_36_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12858_ (.D(_00133_),
-    .Q(\u_m1_cmd_fifo.mem[0][5] ),
-    .CLK(clknet_7_36_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12859_ (.D(_00134_),
-    .Q(\u_m1_cmd_fifo.mem[0][6] ),
-    .CLK(clknet_7_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12860_ (.D(_00135_),
-    .Q(\u_m1_cmd_fifo.mem[0][7] ),
     .CLK(clknet_7_38_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12861_ (.D(_00136_),
+ sky130_fd_sc_hd__dfxtp_4 _12656_ (.D(_00134_),
+    .Q(\u_m1_cmd_fifo.mem[0][5] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12657_ (.D(_00135_),
+    .Q(\u_m1_cmd_fifo.mem[0][6] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12658_ (.D(_00136_),
+    .Q(\u_m1_cmd_fifo.mem[0][7] ),
+    .CLK(clknet_7_44_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12659_ (.D(_00137_),
     .Q(\u_m1_cmd_fifo.mem[0][8] ),
     .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12862_ (.D(_00137_),
+ sky130_fd_sc_hd__dfxtp_4 _12660_ (.D(_00138_),
     .Q(\u_m1_cmd_fifo.mem[0][9] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12661_ (.D(_00139_),
+    .Q(\u_m1_cmd_fifo.mem[0][10] ),
     .CLK(clknet_7_35_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12863_ (.D(_00138_),
-    .Q(\u_m1_cmd_fifo.mem[0][10] ),
-    .CLK(clknet_7_34_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12864_ (.D(_00139_),
+ sky130_fd_sc_hd__dfxtp_4 _12662_ (.D(_00140_),
     .Q(\u_m1_cmd_fifo.mem[0][11] ),
-    .CLK(clknet_7_34_0_mclk),
+    .CLK(clknet_7_35_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12865_ (.D(_00140_),
+ sky130_fd_sc_hd__dfxtp_4 _12663_ (.D(_00141_),
     .Q(\u_m1_cmd_fifo.mem[0][12] ),
-    .CLK(clknet_7_34_0_mclk),
+    .CLK(clknet_7_44_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12866_ (.D(_00141_),
+ sky130_fd_sc_hd__dfxtp_4 _12664_ (.D(_00142_),
     .Q(\u_m1_cmd_fifo.mem[0][13] ),
-    .CLK(clknet_7_34_0_mclk),
+    .CLK(clknet_7_44_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12867_ (.D(_00142_),
+ sky130_fd_sc_hd__dfxtp_4 _12665_ (.D(_00143_),
     .Q(\u_m1_cmd_fifo.mem[0][14] ),
-    .CLK(clknet_7_43_0_mclk),
+    .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12868_ (.D(_00143_),
+ sky130_fd_sc_hd__dfxtp_4 _12666_ (.D(_00144_),
     .Q(\u_m1_cmd_fifo.mem[0][15] ),
     .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12869_ (.D(_00144_),
+ sky130_fd_sc_hd__dfxtp_4 _12667_ (.D(_00145_),
     .Q(\u_m1_cmd_fifo.mem[0][16] ),
-    .CLK(clknet_7_41_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12870_ (.D(_00145_),
-    .Q(\u_m1_cmd_fifo.mem[0][17] ),
-    .CLK(clknet_7_44_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12871_ (.D(_00146_),
-    .Q(\u_m1_cmd_fifo.mem[0][18] ),
-    .CLK(clknet_7_44_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12872_ (.D(_00147_),
-    .Q(\u_m1_cmd_fifo.mem[0][19] ),
-    .CLK(clknet_7_45_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12873_ (.D(_00148_),
-    .Q(\u_m1_cmd_fifo.mem[0][20] ),
-    .CLK(clknet_7_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12874_ (.D(_00149_),
-    .Q(\u_m1_cmd_fifo.mem[0][21] ),
-    .CLK(clknet_7_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12875_ (.D(_00150_),
-    .Q(\u_m1_cmd_fifo.mem[0][22] ),
-    .CLK(clknet_7_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12876_ (.D(_00151_),
-    .Q(\u_m1_cmd_fifo.mem[0][23] ),
     .CLK(clknet_7_47_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12877_ (.D(_00152_),
+ sky130_fd_sc_hd__dfxtp_4 _12668_ (.D(_00146_),
+    .Q(\u_m1_cmd_fifo.mem[0][17] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12669_ (.D(_00147_),
+    .Q(\u_m1_cmd_fifo.mem[0][18] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12670_ (.D(_00148_),
+    .Q(\u_m1_cmd_fifo.mem[0][19] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12671_ (.D(_00149_),
+    .Q(\u_m1_cmd_fifo.mem[0][20] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12672_ (.D(_00150_),
+    .Q(\u_m1_cmd_fifo.mem[0][21] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12673_ (.D(_00151_),
+    .Q(\u_m1_cmd_fifo.mem[0][22] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12674_ (.D(_00152_),
+    .Q(\u_m1_cmd_fifo.mem[0][23] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12675_ (.D(_00153_),
     .Q(\u_m1_cmd_fifo.mem[0][24] ),
-    .CLK(clknet_7_42_0_mclk),
+    .CLK(clknet_7_50_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12878_ (.D(_00153_),
+ sky130_fd_sc_hd__dfxtp_4 _12676_ (.D(_00154_),
     .Q(\u_m1_cmd_fifo.mem[0][25] ),
-    .CLK(clknet_7_42_0_mclk),
+    .CLK(clknet_7_50_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12879_ (.D(_00154_),
+ sky130_fd_sc_hd__dfxtp_4 _12677_ (.D(_00155_),
     .Q(\u_m1_cmd_fifo.mem[0][26] ),
-    .CLK(clknet_7_40_0_mclk),
+    .CLK(clknet_7_50_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12880_ (.D(_00155_),
+ sky130_fd_sc_hd__dfxtp_4 _12678_ (.D(_00156_),
     .Q(\u_m1_cmd_fifo.mem[0][27] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12679_ (.D(_00157_),
+    .Q(\u_m1_cmd_fifo.mem[0][28] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12680_ (.D(_00158_),
+    .Q(\u_m1_cmd_fifo.mem[0][29] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12681_ (.D(_00159_),
+    .Q(\u_m1_cmd_fifo.mem[0][30] ),
+    .CLK(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12682_ (.D(_00160_),
+    .Q(\u_m1_cmd_fifo.mem[0][31] ),
     .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12881_ (.D(_00156_),
-    .Q(\u_m1_cmd_fifo.mem[0][28] ),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12882_ (.D(_00157_),
-    .Q(\u_m1_cmd_fifo.mem[0][29] ),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12883_ (.D(_00158_),
-    .Q(\u_m1_cmd_fifo.mem[0][30] ),
-    .CLK(clknet_7_44_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12884_ (.D(_00159_),
-    .Q(\u_m1_cmd_fifo.mem[0][31] ),
-    .CLK(clknet_7_44_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12885_ (.D(_00160_),
+ sky130_fd_sc_hd__dfxtp_4 _12683_ (.D(_00161_),
     .Q(\u_m0_res_fifo.mem[7][0] ),
-    .CLK(clknet_7_66_0_mclk),
+    .CLK(clknet_7_92_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12886_ (.D(_00161_),
+ sky130_fd_sc_hd__dfxtp_4 _12684_ (.D(_00162_),
     .Q(\u_m0_res_fifo.mem[7][1] ),
-    .CLK(clknet_7_66_0_mclk),
+    .CLK(clknet_7_92_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12887_ (.D(_00162_),
+ sky130_fd_sc_hd__dfxtp_4 _12685_ (.D(_00163_),
     .Q(\u_m0_res_fifo.mem[7][2] ),
-    .CLK(clknet_7_66_0_mclk),
+    .CLK(clknet_7_92_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12888_ (.D(_00163_),
+ sky130_fd_sc_hd__dfxtp_4 _12686_ (.D(_00164_),
     .Q(\u_m0_res_fifo.mem[7][3] ),
-    .CLK(clknet_7_66_0_mclk),
+    .CLK(clknet_7_92_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12889_ (.D(_00164_),
+ sky130_fd_sc_hd__dfxtp_4 _12687_ (.D(_00165_),
     .Q(\u_m0_res_fifo.mem[7][4] ),
-    .CLK(clknet_7_65_0_mclk),
+    .CLK(clknet_7_92_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12890_ (.D(_00165_),
+ sky130_fd_sc_hd__dfxtp_4 _12688_ (.D(_00166_),
     .Q(\u_m0_res_fifo.mem[7][5] ),
-    .CLK(clknet_7_65_0_mclk),
+    .CLK(clknet_7_92_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12891_ (.D(_00166_),
+ sky130_fd_sc_hd__dfxtp_4 _12689_ (.D(_00167_),
     .Q(\u_m0_res_fifo.mem[7][6] ),
-    .CLK(clknet_7_65_0_mclk),
+    .CLK(clknet_7_94_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12892_ (.D(_00167_),
+ sky130_fd_sc_hd__dfxtp_4 _12690_ (.D(_00168_),
     .Q(\u_m0_res_fifo.mem[7][7] ),
-    .CLK(clknet_7_65_0_mclk),
+    .CLK(clknet_7_89_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12893_ (.D(_00168_),
+ sky130_fd_sc_hd__dfxtp_4 _12691_ (.D(_00169_),
     .Q(\u_m0_res_fifo.mem[7][8] ),
-    .CLK(clknet_7_80_0_mclk),
+    .CLK(clknet_7_76_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12894_ (.D(_00169_),
+ sky130_fd_sc_hd__dfxtp_4 _12692_ (.D(_00170_),
     .Q(\u_m0_res_fifo.mem[7][9] ),
-    .CLK(clknet_7_80_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12895_ (.D(_00170_),
-    .Q(\u_m0_res_fifo.mem[7][10] ),
-    .CLK(clknet_7_69_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12896_ (.D(_00171_),
-    .Q(\u_m0_res_fifo.mem[7][11] ),
-    .CLK(clknet_7_80_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12897_ (.D(_00172_),
-    .Q(\u_m0_res_fifo.mem[7][12] ),
-    .CLK(clknet_7_80_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12898_ (.D(_00173_),
-    .Q(\u_m0_res_fifo.mem[7][13] ),
-    .CLK(clknet_7_80_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12899_ (.D(_00174_),
-    .Q(\u_m0_res_fifo.mem[7][14] ),
-    .CLK(clknet_7_80_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12900_ (.D(_00175_),
-    .Q(\u_m0_res_fifo.mem[7][15] ),
-    .CLK(clknet_7_80_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12901_ (.D(_00176_),
-    .Q(\u_m0_res_fifo.mem[7][16] ),
-    .CLK(clknet_7_83_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12902_ (.D(_00177_),
-    .Q(\u_m0_res_fifo.mem[7][17] ),
-    .CLK(clknet_7_83_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12903_ (.D(_00178_),
-    .Q(\u_m0_res_fifo.mem[7][18] ),
-    .CLK(clknet_7_83_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12904_ (.D(_00179_),
-    .Q(\u_m0_res_fifo.mem[7][19] ),
-    .CLK(clknet_7_83_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12905_ (.D(_00180_),
-    .Q(\u_m0_res_fifo.mem[7][20] ),
-    .CLK(clknet_7_86_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12906_ (.D(_00181_),
-    .Q(\u_m0_res_fifo.mem[7][21] ),
-    .CLK(clknet_7_83_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12907_ (.D(_00182_),
-    .Q(\u_m0_res_fifo.mem[7][22] ),
-    .CLK(clknet_7_86_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12908_ (.D(_00183_),
-    .Q(\u_m0_res_fifo.mem[7][23] ),
-    .CLK(clknet_7_88_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12909_ (.D(_00184_),
-    .Q(\u_m0_res_fifo.mem[7][24] ),
-    .CLK(clknet_7_77_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12910_ (.D(_00185_),
-    .Q(\u_m0_res_fifo.mem[7][25] ),
-    .CLK(clknet_7_90_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12911_ (.D(_00186_),
-    .Q(\u_m0_res_fifo.mem[7][26] ),
-    .CLK(clknet_7_77_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12912_ (.D(_00187_),
-    .Q(\u_m0_res_fifo.mem[7][27] ),
-    .CLK(clknet_7_77_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12913_ (.D(_00188_),
-    .Q(\u_m0_res_fifo.mem[7][28] ),
     .CLK(clknet_7_73_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12914_ (.D(_00189_),
+ sky130_fd_sc_hd__dfxtp_4 _12693_ (.D(_00171_),
+    .Q(\u_m0_res_fifo.mem[7][10] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12694_ (.D(_00172_),
+    .Q(\u_m0_res_fifo.mem[7][11] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12695_ (.D(_00173_),
+    .Q(\u_m0_res_fifo.mem[7][12] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12696_ (.D(_00174_),
+    .Q(\u_m0_res_fifo.mem[7][13] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12697_ (.D(_00175_),
+    .Q(\u_m0_res_fifo.mem[7][14] ),
+    .CLK(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12698_ (.D(_00176_),
+    .Q(\u_m0_res_fifo.mem[7][15] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12699_ (.D(_00177_),
+    .Q(\u_m0_res_fifo.mem[7][16] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12700_ (.D(_00178_),
+    .Q(\u_m0_res_fifo.mem[7][17] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12701_ (.D(_00179_),
+    .Q(\u_m0_res_fifo.mem[7][18] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12702_ (.D(_00180_),
+    .Q(\u_m0_res_fifo.mem[7][19] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12703_ (.D(_00181_),
+    .Q(\u_m0_res_fifo.mem[7][20] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12704_ (.D(_00182_),
+    .Q(\u_m0_res_fifo.mem[7][21] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12705_ (.D(_00183_),
+    .Q(\u_m0_res_fifo.mem[7][22] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12706_ (.D(_00184_),
+    .Q(\u_m0_res_fifo.mem[7][23] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12707_ (.D(_00185_),
+    .Q(\u_m0_res_fifo.mem[7][24] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12708_ (.D(_00186_),
+    .Q(\u_m0_res_fifo.mem[7][25] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12709_ (.D(_00187_),
+    .Q(\u_m0_res_fifo.mem[7][26] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12710_ (.D(_00188_),
+    .Q(\u_m0_res_fifo.mem[7][27] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12711_ (.D(_00189_),
+    .Q(\u_m0_res_fifo.mem[7][28] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12712_ (.D(_00190_),
     .Q(\u_m0_res_fifo.mem[7][29] ),
+    .CLK(clknet_7_86_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12713_ (.D(_00191_),
+    .Q(\u_m0_res_fifo.mem[7][30] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12714_ (.D(_00192_),
+    .Q(\u_m0_res_fifo.mem[7][31] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12715_ (.D(_00193_),
+    .Q(\u_m0_res_fifo.mem[6][0] ),
+    .CLK(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12716_ (.D(_00194_),
+    .Q(\u_m0_res_fifo.mem[6][1] ),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12717_ (.D(_00195_),
+    .Q(\u_m0_res_fifo.mem[6][2] ),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12718_ (.D(_00196_),
+    .Q(\u_m0_res_fifo.mem[6][3] ),
+    .CLK(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12719_ (.D(_00197_),
+    .Q(\u_m0_res_fifo.mem[6][4] ),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12720_ (.D(_00198_),
+    .Q(\u_m0_res_fifo.mem[6][5] ),
+    .CLK(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12721_ (.D(_00199_),
+    .Q(\u_m0_res_fifo.mem[6][6] ),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12722_ (.D(_00200_),
+    .Q(\u_m0_res_fifo.mem[6][7] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12723_ (.D(_00201_),
+    .Q(\u_m0_res_fifo.mem[6][8] ),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12724_ (.D(_00202_),
+    .Q(\u_m0_res_fifo.mem[6][9] ),
     .CLK(clknet_7_75_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12915_ (.D(_00190_),
-    .Q(\u_m0_res_fifo.mem[7][30] ),
-    .CLK(clknet_7_72_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12725_ (.D(_00203_),
+    .Q(\u_m0_res_fifo.mem[6][10] ),
+    .CLK(clknet_7_73_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12916_ (.D(_00191_),
-    .Q(\u_m0_res_fifo.mem[7][31] ),
-    .CLK(clknet_7_72_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12726_ (.D(_00204_),
+    .Q(\u_m0_res_fifo.mem[6][11] ),
+    .CLK(clknet_7_75_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12917_ (.D(_00192_),
-    .Q(\u_m0_res_fifo.mem[5][0] ),
-    .CLK(clknet_7_23_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12727_ (.D(_00205_),
+    .Q(\u_m0_res_fifo.mem[6][12] ),
+    .CLK(clknet_7_73_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12918_ (.D(_00193_),
-    .Q(\u_m0_res_fifo.mem[5][1] ),
+ sky130_fd_sc_hd__dfxtp_4 _12728_ (.D(_00206_),
+    .Q(\u_m0_res_fifo.mem[6][13] ),
+    .CLK(clknet_7_73_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12729_ (.D(_00207_),
+    .Q(\u_m0_res_fifo.mem[6][14] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12730_ (.D(_00208_),
+    .Q(\u_m0_res_fifo.mem[6][15] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12731_ (.D(_00209_),
+    .Q(\u_m0_res_fifo.mem[6][16] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12732_ (.D(_00210_),
+    .Q(\u_m0_res_fifo.mem[6][17] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12733_ (.D(_00211_),
+    .Q(\u_m0_res_fifo.mem[6][18] ),
     .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12919_ (.D(_00194_),
+ sky130_fd_sc_hd__dfxtp_4 _12734_ (.D(_00212_),
+    .Q(\u_m0_res_fifo.mem[6][19] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12735_ (.D(_00213_),
+    .Q(\u_m0_res_fifo.mem[6][20] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12736_ (.D(_00214_),
+    .Q(\u_m0_res_fifo.mem[6][21] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12737_ (.D(_00215_),
+    .Q(\u_m0_res_fifo.mem[6][22] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12738_ (.D(_00216_),
+    .Q(\u_m0_res_fifo.mem[6][23] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12739_ (.D(_00217_),
+    .Q(\u_m0_res_fifo.mem[6][24] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12740_ (.D(_00218_),
+    .Q(\u_m0_res_fifo.mem[6][25] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12741_ (.D(_00219_),
+    .Q(\u_m0_res_fifo.mem[6][26] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12742_ (.D(_00220_),
+    .Q(\u_m0_res_fifo.mem[6][27] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12743_ (.D(_00221_),
+    .Q(\u_m0_res_fifo.mem[6][28] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12744_ (.D(_00222_),
+    .Q(\u_m0_res_fifo.mem[6][29] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12745_ (.D(_00223_),
+    .Q(\u_m0_res_fifo.mem[6][30] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12746_ (.D(_00224_),
+    .Q(\u_m0_res_fifo.mem[6][31] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12747_ (.D(_00225_),
+    .Q(\u_m0_res_fifo.mem[5][0] ),
+    .CLK(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12748_ (.D(_00226_),
+    .Q(\u_m0_res_fifo.mem[5][1] ),
+    .CLK(clknet_7_93_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12749_ (.D(_00227_),
     .Q(\u_m0_res_fifo.mem[5][2] ),
-    .CLK(clknet_7_23_0_mclk),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12920_ (.D(_00195_),
+ sky130_fd_sc_hd__dfxtp_4 _12750_ (.D(_00228_),
     .Q(\u_m0_res_fifo.mem[5][3] ),
-    .CLK(clknet_7_23_0_mclk),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12921_ (.D(_00196_),
+ sky130_fd_sc_hd__dfxtp_4 _12751_ (.D(_00229_),
     .Q(\u_m0_res_fifo.mem[5][4] ),
-    .CLK(clknet_7_21_0_mclk),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12922_ (.D(_00197_),
+ sky130_fd_sc_hd__dfxtp_4 _12752_ (.D(_00230_),
     .Q(\u_m0_res_fifo.mem[5][5] ),
-    .CLK(clknet_7_21_0_mclk),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12923_ (.D(_00198_),
+ sky130_fd_sc_hd__dfxtp_4 _12753_ (.D(_00231_),
     .Q(\u_m0_res_fifo.mem[5][6] ),
-    .CLK(clknet_7_21_0_mclk),
+    .CLK(clknet_7_95_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12924_ (.D(_00199_),
+ sky130_fd_sc_hd__dfxtp_4 _12754_ (.D(_00232_),
     .Q(\u_m0_res_fifo.mem[5][7] ),
-    .CLK(clknet_7_21_0_mclk),
+    .CLK(clknet_7_95_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12925_ (.D(_00200_),
+ sky130_fd_sc_hd__dfxtp_4 _12755_ (.D(_00233_),
     .Q(\u_m0_res_fifo.mem[5][8] ),
-    .CLK(clknet_7_21_0_mclk),
+    .CLK(clknet_7_73_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12926_ (.D(_00201_),
+ sky130_fd_sc_hd__dfxtp_4 _12756_ (.D(_00234_),
     .Q(\u_m0_res_fifo.mem[5][9] ),
-    .CLK(clknet_7_64_0_mclk),
+    .CLK(clknet_7_72_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12927_ (.D(_00202_),
+ sky130_fd_sc_hd__dfxtp_4 _12757_ (.D(_00235_),
     .Q(\u_m0_res_fifo.mem[5][10] ),
-    .CLK(clknet_7_64_0_mclk),
+    .CLK(clknet_7_73_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12928_ (.D(_00203_),
+ sky130_fd_sc_hd__dfxtp_4 _12758_ (.D(_00236_),
     .Q(\u_m0_res_fifo.mem[5][11] ),
-    .CLK(clknet_7_64_0_mclk),
+    .CLK(clknet_7_72_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12929_ (.D(_00204_),
+ sky130_fd_sc_hd__dfxtp_4 _12759_ (.D(_00237_),
     .Q(\u_m0_res_fifo.mem[5][12] ),
-    .CLK(clknet_7_71_0_mclk),
+    .CLK(clknet_7_72_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12930_ (.D(_00205_),
+ sky130_fd_sc_hd__dfxtp_4 _12760_ (.D(_00238_),
     .Q(\u_m0_res_fifo.mem[5][13] ),
-    .CLK(clknet_7_71_0_mclk),
+    .CLK(clknet_7_72_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12931_ (.D(_00206_),
+ sky130_fd_sc_hd__dfxtp_4 _12761_ (.D(_00239_),
     .Q(\u_m0_res_fifo.mem[5][14] ),
-    .CLK(clknet_7_71_0_mclk),
+    .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12932_ (.D(_00207_),
+ sky130_fd_sc_hd__dfxtp_4 _12762_ (.D(_00240_),
     .Q(\u_m0_res_fifo.mem[5][15] ),
-    .CLK(clknet_7_71_0_mclk),
+    .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12933_ (.D(_00208_),
+ sky130_fd_sc_hd__dfxtp_4 _12763_ (.D(_00241_),
     .Q(\u_m0_res_fifo.mem[5][16] ),
-    .CLK(clknet_7_82_0_mclk),
+    .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12934_ (.D(_00209_),
+ sky130_fd_sc_hd__dfxtp_4 _12764_ (.D(_00242_),
     .Q(\u_m0_res_fifo.mem[5][17] ),
-    .CLK(clknet_7_82_0_mclk),
+    .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12935_ (.D(_00210_),
+ sky130_fd_sc_hd__dfxtp_4 _12765_ (.D(_00243_),
     .Q(\u_m0_res_fifo.mem[5][18] ),
-    .CLK(clknet_7_83_0_mclk),
+    .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12936_ (.D(_00211_),
+ sky130_fd_sc_hd__dfxtp_4 _12766_ (.D(_00244_),
     .Q(\u_m0_res_fifo.mem[5][19] ),
-    .CLK(clknet_7_82_0_mclk),
+    .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12937_ (.D(_00212_),
+ sky130_fd_sc_hd__dfxtp_4 _12767_ (.D(_00245_),
     .Q(\u_m0_res_fifo.mem[5][20] ),
-    .CLK(clknet_7_82_0_mclk),
+    .CLK(clknet_7_64_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12938_ (.D(_00213_),
+ sky130_fd_sc_hd__dfxtp_4 _12768_ (.D(_00246_),
     .Q(\u_m0_res_fifo.mem[5][21] ),
-    .CLK(clknet_7_88_0_mclk),
+    .CLK(clknet_7_64_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12939_ (.D(_00214_),
+ sky130_fd_sc_hd__dfxtp_4 _12769_ (.D(_00247_),
     .Q(\u_m0_res_fifo.mem[5][22] ),
-    .CLK(clknet_7_83_0_mclk),
+    .CLK(clknet_7_21_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12940_ (.D(_00215_),
+ sky130_fd_sc_hd__dfxtp_4 _12770_ (.D(_00248_),
     .Q(\u_m0_res_fifo.mem[5][23] ),
-    .CLK(clknet_7_88_0_mclk),
+    .CLK(clknet_7_21_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12941_ (.D(_00216_),
+ sky130_fd_sc_hd__dfxtp_4 _12771_ (.D(_00249_),
     .Q(\u_m0_res_fifo.mem[5][24] ),
-    .CLK(clknet_7_79_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12942_ (.D(_00217_),
-    .Q(\u_m0_res_fifo.mem[5][25] ),
-    .CLK(clknet_7_79_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12943_ (.D(_00218_),
-    .Q(\u_m0_res_fifo.mem[5][26] ),
-    .CLK(clknet_7_78_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12944_ (.D(_00219_),
-    .Q(\u_m0_res_fifo.mem[5][27] ),
-    .CLK(clknet_7_78_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12945_ (.D(_00220_),
-    .Q(\u_m0_res_fifo.mem[5][28] ),
-    .CLK(clknet_7_75_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12946_ (.D(_00221_),
-    .Q(\u_m0_res_fifo.mem[5][29] ),
-    .CLK(clknet_7_75_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12947_ (.D(_00222_),
-    .Q(\u_m0_res_fifo.mem[5][30] ),
-    .CLK(clknet_7_74_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12948_ (.D(_00223_),
-    .Q(\u_m0_res_fifo.mem[5][31] ),
-    .CLK(clknet_7_74_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12949_ (.D(_00224_),
-    .Q(\u_m0_res_fifo.mem[4][0] ),
-    .CLK(clknet_7_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12950_ (.D(_00225_),
-    .Q(\u_m0_res_fifo.mem[4][1] ),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12951_ (.D(_00226_),
-    .Q(\u_m0_res_fifo.mem[4][2] ),
-    .CLK(clknet_7_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12952_ (.D(_00227_),
-    .Q(\u_m0_res_fifo.mem[4][3] ),
-    .CLK(clknet_7_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12953_ (.D(_00228_),
-    .Q(\u_m0_res_fifo.mem[4][4] ),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12954_ (.D(_00229_),
-    .Q(\u_m0_res_fifo.mem[4][5] ),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12955_ (.D(_00230_),
-    .Q(\u_m0_res_fifo.mem[4][6] ),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12956_ (.D(_00231_),
-    .Q(\u_m0_res_fifo.mem[4][7] ),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12957_ (.D(_00232_),
-    .Q(\u_m0_res_fifo.mem[4][8] ),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12958_ (.D(_00233_),
-    .Q(\u_m0_res_fifo.mem[4][9] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12959_ (.D(_00234_),
-    .Q(\u_m0_res_fifo.mem[4][10] ),
-    .CLK(clknet_7_21_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12960_ (.D(_00235_),
-    .Q(\u_m0_res_fifo.mem[4][11] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12961_ (.D(_00236_),
-    .Q(\u_m0_res_fifo.mem[4][12] ),
     .CLK(clknet_7_69_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12962_ (.D(_00237_),
-    .Q(\u_m0_res_fifo.mem[4][13] ),
+ sky130_fd_sc_hd__dfxtp_4 _12772_ (.D(_00250_),
+    .Q(\u_m0_res_fifo.mem[5][25] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12773_ (.D(_00251_),
+    .Q(\u_m0_res_fifo.mem[5][26] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12774_ (.D(_00252_),
+    .Q(\u_m0_res_fifo.mem[5][27] ),
+    .CLK(clknet_7_80_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12775_ (.D(_00253_),
+    .Q(\u_m0_res_fifo.mem[5][28] ),
     .CLK(clknet_7_71_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12963_ (.D(_00238_),
-    .Q(\u_m0_res_fifo.mem[4][14] ),
-    .CLK(clknet_7_71_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12964_ (.D(_00239_),
-    .Q(\u_m0_res_fifo.mem[4][15] ),
-    .CLK(clknet_7_71_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12965_ (.D(_00240_),
-    .Q(\u_m0_res_fifo.mem[4][16] ),
+ sky130_fd_sc_hd__dfxtp_4 _12776_ (.D(_00254_),
+    .Q(\u_m0_res_fifo.mem[5][29] ),
     .CLK(clknet_7_82_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12966_ (.D(_00241_),
-    .Q(\u_m0_res_fifo.mem[4][17] ),
-    .CLK(clknet_7_83_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12967_ (.D(_00242_),
-    .Q(\u_m0_res_fifo.mem[4][18] ),
-    .CLK(clknet_7_83_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12968_ (.D(_00243_),
-    .Q(\u_m0_res_fifo.mem[4][19] ),
+ sky130_fd_sc_hd__dfxtp_4 _12777_ (.D(_00255_),
+    .Q(\u_m0_res_fifo.mem[5][30] ),
     .CLK(clknet_7_82_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12969_ (.D(_00244_),
-    .Q(\u_m0_res_fifo.mem[4][20] ),
-    .CLK(clknet_7_83_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12778_ (.D(_00256_),
+    .Q(\u_m0_res_fifo.mem[5][31] ),
+    .CLK(clknet_7_82_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12970_ (.D(_00245_),
-    .Q(\u_m0_res_fifo.mem[4][21] ),
-    .CLK(clknet_7_90_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12779_ (.D(_00257_),
+    .Q(\u_m0_res_fifo.mem[4][0] ),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12971_ (.D(_00246_),
-    .Q(\u_m0_res_fifo.mem[4][22] ),
-    .CLK(clknet_7_90_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12780_ (.D(_00258_),
+    .Q(\u_m0_res_fifo.mem[4][1] ),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12972_ (.D(_00247_),
-    .Q(\u_m0_res_fifo.mem[4][23] ),
-    .CLK(clknet_7_90_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12781_ (.D(_00259_),
+    .Q(\u_m0_res_fifo.mem[4][2] ),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12973_ (.D(_00248_),
-    .Q(\u_m0_res_fifo.mem[4][24] ),
-    .CLK(clknet_7_77_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12782_ (.D(_00260_),
+    .Q(\u_m0_res_fifo.mem[4][3] ),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12974_ (.D(_00249_),
-    .Q(\u_m0_res_fifo.mem[4][25] ),
-    .CLK(clknet_7_77_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12783_ (.D(_00261_),
+    .Q(\u_m0_res_fifo.mem[4][4] ),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12975_ (.D(_00250_),
-    .Q(\u_m0_res_fifo.mem[4][26] ),
-    .CLK(clknet_7_79_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12784_ (.D(_00262_),
+    .Q(\u_m0_res_fifo.mem[4][5] ),
+    .CLK(clknet_7_93_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12976_ (.D(_00251_),
-    .Q(\u_m0_res_fifo.mem[4][27] ),
-    .CLK(clknet_7_79_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12785_ (.D(_00263_),
+    .Q(\u_m0_res_fifo.mem[4][6] ),
+    .CLK(clknet_7_95_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12977_ (.D(_00252_),
-    .Q(\u_m0_res_fifo.mem[4][28] ),
+ sky130_fd_sc_hd__dfxtp_4 _12786_ (.D(_00264_),
+    .Q(\u_m0_res_fifo.mem[4][7] ),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12787_ (.D(_00265_),
+    .Q(\u_m0_res_fifo.mem[4][8] ),
     .CLK(clknet_7_75_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12978_ (.D(_00253_),
-    .Q(\u_m0_res_fifo.mem[4][29] ),
+ sky130_fd_sc_hd__dfxtp_4 _12788_ (.D(_00266_),
+    .Q(\u_m0_res_fifo.mem[4][9] ),
     .CLK(clknet_7_74_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12979_ (.D(_00254_),
-    .Q(\u_m0_res_fifo.mem[4][30] ),
+ sky130_fd_sc_hd__dfxtp_4 _12789_ (.D(_00267_),
+    .Q(\u_m0_res_fifo.mem[4][10] ),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12790_ (.D(_00268_),
+    .Q(\u_m0_res_fifo.mem[4][11] ),
     .CLK(clknet_7_74_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12980_ (.D(_00255_),
-    .Q(\u_m0_res_fifo.mem[4][31] ),
+ sky130_fd_sc_hd__dfxtp_4 _12791_ (.D(_00269_),
+    .Q(\u_m0_res_fifo.mem[4][12] ),
     .CLK(clknet_7_72_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12981_ (.D(_00256_),
-    .Q(\u_m0_res_fifo.mem[3][0] ),
-    .CLK(clknet_7_67_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12982_ (.D(_00257_),
-    .Q(\u_m0_res_fifo.mem[3][1] ),
-    .CLK(clknet_7_67_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12983_ (.D(_00258_),
-    .Q(\u_m0_res_fifo.mem[3][2] ),
+ sky130_fd_sc_hd__dfxtp_4 _12792_ (.D(_00270_),
+    .Q(\u_m0_res_fifo.mem[4][13] ),
     .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12984_ (.D(_00259_),
-    .Q(\u_m0_res_fifo.mem[3][3] ),
-    .CLK(clknet_7_67_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12793_ (.D(_00271_),
+    .Q(\u_m0_res_fifo.mem[4][14] ),
+    .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12985_ (.D(_00260_),
-    .Q(\u_m0_res_fifo.mem[3][4] ),
-    .CLK(clknet_7_67_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12794_ (.D(_00272_),
+    .Q(\u_m0_res_fifo.mem[4][15] ),
+    .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12986_ (.D(_00261_),
-    .Q(\u_m0_res_fifo.mem[3][5] ),
-    .CLK(clknet_7_65_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12795_ (.D(_00273_),
+    .Q(\u_m0_res_fifo.mem[4][16] ),
+    .CLK(clknet_7_64_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12987_ (.D(_00262_),
-    .Q(\u_m0_res_fifo.mem[3][6] ),
-    .CLK(clknet_7_65_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12796_ (.D(_00274_),
+    .Q(\u_m0_res_fifo.mem[4][17] ),
+    .CLK(clknet_7_64_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12988_ (.D(_00263_),
-    .Q(\u_m0_res_fifo.mem[3][7] ),
-    .CLK(clknet_7_65_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12797_ (.D(_00275_),
+    .Q(\u_m0_res_fifo.mem[4][18] ),
+    .CLK(clknet_7_64_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12989_ (.D(_00264_),
-    .Q(\u_m0_res_fifo.mem[3][8] ),
+ sky130_fd_sc_hd__dfxtp_4 _12798_ (.D(_00276_),
+    .Q(\u_m0_res_fifo.mem[4][19] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12799_ (.D(_00277_),
+    .Q(\u_m0_res_fifo.mem[4][20] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12800_ (.D(_00278_),
+    .Q(\u_m0_res_fifo.mem[4][21] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12801_ (.D(_00279_),
+    .Q(\u_m0_res_fifo.mem[4][22] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12802_ (.D(_00280_),
+    .Q(\u_m0_res_fifo.mem[4][23] ),
+    .CLK(clknet_7_64_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12803_ (.D(_00281_),
+    .Q(\u_m0_res_fifo.mem[4][24] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12804_ (.D(_00282_),
+    .Q(\u_m0_res_fifo.mem[4][25] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12805_ (.D(_00283_),
+    .Q(\u_m0_res_fifo.mem[4][26] ),
     .CLK(clknet_7_80_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12990_ (.D(_00265_),
-    .Q(\u_m0_res_fifo.mem[3][9] ),
+ sky130_fd_sc_hd__dfxtp_4 _12806_ (.D(_00284_),
+    .Q(\u_m0_res_fifo.mem[4][27] ),
     .CLK(clknet_7_80_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12991_ (.D(_00266_),
-    .Q(\u_m0_res_fifo.mem[3][10] ),
-    .CLK(clknet_7_81_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12807_ (.D(_00285_),
+    .Q(\u_m0_res_fifo.mem[4][28] ),
+    .CLK(clknet_7_69_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12992_ (.D(_00267_),
-    .Q(\u_m0_res_fifo.mem[3][11] ),
-    .CLK(clknet_7_81_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12808_ (.D(_00286_),
+    .Q(\u_m0_res_fifo.mem[4][29] ),
+    .CLK(clknet_7_80_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12993_ (.D(_00268_),
-    .Q(\u_m0_res_fifo.mem[3][12] ),
-    .CLK(clknet_7_81_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12809_ (.D(_00287_),
+    .Q(\u_m0_res_fifo.mem[4][30] ),
+    .CLK(clknet_7_80_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12994_ (.D(_00269_),
-    .Q(\u_m0_res_fifo.mem[3][13] ),
-    .CLK(clknet_7_86_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12810_ (.D(_00288_),
+    .Q(\u_m0_res_fifo.mem[4][31] ),
+    .CLK(clknet_7_80_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12995_ (.D(_00270_),
-    .Q(\u_m0_res_fifo.mem[3][14] ),
-    .CLK(clknet_7_83_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12996_ (.D(_00271_),
-    .Q(\u_m0_res_fifo.mem[3][15] ),
-    .CLK(clknet_7_83_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12997_ (.D(_00272_),
-    .Q(\u_m0_res_fifo.mem[3][16] ),
-    .CLK(clknet_7_86_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12998_ (.D(_00273_),
-    .Q(\u_m0_res_fifo.mem[3][17] ),
-    .CLK(clknet_7_86_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _12999_ (.D(_00274_),
-    .Q(\u_m0_res_fifo.mem[3][18] ),
-    .CLK(clknet_7_89_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13000_ (.D(_00275_),
-    .Q(\u_m0_res_fifo.mem[3][19] ),
-    .CLK(clknet_7_89_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13001_ (.D(_00276_),
-    .Q(\u_m0_res_fifo.mem[3][20] ),
-    .CLK(clknet_7_89_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13002_ (.D(_00277_),
-    .Q(\u_m0_res_fifo.mem[3][21] ),
-    .CLK(clknet_7_89_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13003_ (.D(_00278_),
-    .Q(\u_m0_res_fifo.mem[3][22] ),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13004_ (.D(_00279_),
-    .Q(\u_m0_res_fifo.mem[3][23] ),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13005_ (.D(_00280_),
-    .Q(\u_m0_res_fifo.mem[3][24] ),
-    .CLK(clknet_7_77_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13006_ (.D(_00281_),
-    .Q(\u_m0_res_fifo.mem[3][25] ),
-    .CLK(clknet_7_77_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13007_ (.D(_00282_),
-    .Q(\u_m0_res_fifo.mem[3][26] ),
-    .CLK(clknet_7_76_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13008_ (.D(_00283_),
-    .Q(\u_m0_res_fifo.mem[3][27] ),
-    .CLK(clknet_7_76_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13009_ (.D(_00284_),
-    .Q(\u_m0_res_fifo.mem[3][28] ),
-    .CLK(clknet_7_76_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13010_ (.D(_00285_),
-    .Q(\u_m0_res_fifo.mem[3][29] ),
-    .CLK(clknet_7_72_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13011_ (.D(_00286_),
-    .Q(\u_m0_res_fifo.mem[3][30] ),
-    .CLK(clknet_7_72_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13012_ (.D(_00287_),
-    .Q(\u_m0_res_fifo.mem[3][31] ),
-    .CLK(clknet_7_72_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13013_ (.D(_00288_),
+ sky130_fd_sc_hd__dfxtp_4 _12811_ (.D(_00289_),
     .Q(\u_m1_res_fifo.mem[6][0] ),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13014_ (.D(_00289_),
+ sky130_fd_sc_hd__dfxtp_4 _12812_ (.D(_00290_),
     .Q(\u_m1_res_fifo.mem[6][1] ),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_63_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13015_ (.D(_00290_),
+ sky130_fd_sc_hd__dfxtp_4 _12813_ (.D(_00291_),
     .Q(\u_m1_res_fifo.mem[6][2] ),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_63_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13016_ (.D(_00291_),
+ sky130_fd_sc_hd__dfxtp_4 _12814_ (.D(_00292_),
     .Q(\u_m1_res_fifo.mem[6][3] ),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13017_ (.D(_00292_),
+ sky130_fd_sc_hd__dfxtp_4 _12815_ (.D(_00293_),
     .Q(\u_m1_res_fifo.mem[6][4] ),
-    .CLK(clknet_7_79_0_mclk),
+    .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13018_ (.D(_00293_),
+ sky130_fd_sc_hd__dfxtp_4 _12816_ (.D(_00294_),
     .Q(\u_m1_res_fifo.mem[6][5] ),
-    .CLK(clknet_7_79_0_mclk),
+    .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13019_ (.D(_00294_),
+ sky130_fd_sc_hd__dfxtp_4 _12817_ (.D(_00295_),
     .Q(\u_m1_res_fifo.mem[6][6] ),
-    .CLK(clknet_7_79_0_mclk),
+    .CLK(clknet_7_57_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13020_ (.D(_00295_),
+ sky130_fd_sc_hd__dfxtp_4 _12818_ (.D(_00296_),
     .Q(\u_m1_res_fifo.mem[6][7] ),
-    .CLK(clknet_7_79_0_mclk),
+    .CLK(clknet_7_56_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13021_ (.D(_00296_),
+ sky130_fd_sc_hd__dfxtp_4 _12819_ (.D(_00297_),
     .Q(\u_m1_res_fifo.mem[6][8] ),
-    .CLK(clknet_7_90_0_mclk),
+    .CLK(clknet_7_58_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13022_ (.D(_00297_),
+ sky130_fd_sc_hd__dfxtp_4 _12820_ (.D(_00298_),
     .Q(\u_m1_res_fifo.mem[6][9] ),
-    .CLK(clknet_7_90_0_mclk),
+    .CLK(clknet_7_58_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13023_ (.D(_00298_),
+ sky130_fd_sc_hd__dfxtp_4 _12821_ (.D(_00299_),
     .Q(\u_m1_res_fifo.mem[6][10] ),
-    .CLK(clknet_7_90_0_mclk),
+    .CLK(clknet_7_59_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13024_ (.D(_00299_),
+ sky130_fd_sc_hd__dfxtp_4 _12822_ (.D(_00300_),
     .Q(\u_m1_res_fifo.mem[6][11] ),
-    .CLK(clknet_7_90_0_mclk),
+    .CLK(clknet_7_59_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13025_ (.D(_00300_),
+ sky130_fd_sc_hd__dfxtp_4 _12823_ (.D(_00301_),
     .Q(\u_m1_res_fifo.mem[6][12] ),
-    .CLK(clknet_7_94_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13026_ (.D(_00301_),
+ sky130_fd_sc_hd__dfxtp_4 _12824_ (.D(_00302_),
     .Q(\u_m1_res_fifo.mem[6][13] ),
-    .CLK(clknet_7_91_0_mclk),
+    .CLK(clknet_7_104_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13027_ (.D(_00302_),
+ sky130_fd_sc_hd__dfxtp_4 _12825_ (.D(_00303_),
     .Q(\u_m1_res_fifo.mem[6][14] ),
-    .CLK(clknet_7_91_0_mclk),
+    .CLK(clknet_7_104_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13028_ (.D(_00303_),
+ sky130_fd_sc_hd__dfxtp_4 _12826_ (.D(_00304_),
     .Q(\u_m1_res_fifo.mem[6][15] ),
-    .CLK(clknet_7_94_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13029_ (.D(_00304_),
+ sky130_fd_sc_hd__dfxtp_4 _12827_ (.D(_00305_),
     .Q(\u_m1_res_fifo.mem[6][16] ),
-    .CLK(clknet_7_118_0_mclk),
+    .CLK(clknet_7_111_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13030_ (.D(_00305_),
+ sky130_fd_sc_hd__dfxtp_4 _12828_ (.D(_00306_),
     .Q(\u_m1_res_fifo.mem[6][17] ),
-    .CLK(clknet_7_119_0_mclk),
+    .CLK(clknet_7_111_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13031_ (.D(_00306_),
+ sky130_fd_sc_hd__dfxtp_4 _12829_ (.D(_00307_),
     .Q(\u_m1_res_fifo.mem[6][18] ),
-    .CLK(clknet_7_119_0_mclk),
+    .CLK(clknet_7_111_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13032_ (.D(_00307_),
+ sky130_fd_sc_hd__dfxtp_4 _12830_ (.D(_00308_),
     .Q(\u_m1_res_fifo.mem[6][19] ),
-    .CLK(clknet_7_125_0_mclk),
+    .CLK(clknet_7_111_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13033_ (.D(_00308_),
+ sky130_fd_sc_hd__dfxtp_4 _12831_ (.D(_00309_),
     .Q(\u_m1_res_fifo.mem[6][20] ),
-    .CLK(clknet_7_124_0_mclk),
+    .CLK(clknet_7_122_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13034_ (.D(_00309_),
+ sky130_fd_sc_hd__dfxtp_4 _12832_ (.D(_00310_),
     .Q(\u_m1_res_fifo.mem[6][21] ),
-    .CLK(clknet_7_124_0_mclk),
+    .CLK(clknet_7_120_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13035_ (.D(_00310_),
+ sky130_fd_sc_hd__dfxtp_4 _12833_ (.D(_00311_),
     .Q(\u_m1_res_fifo.mem[6][22] ),
-    .CLK(clknet_7_126_0_mclk),
+    .CLK(clknet_7_121_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13036_ (.D(_00311_),
+ sky130_fd_sc_hd__dfxtp_4 _12834_ (.D(_00312_),
     .Q(\u_m1_res_fifo.mem[6][23] ),
-    .CLK(clknet_7_126_0_mclk),
+    .CLK(clknet_7_120_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13037_ (.D(_00312_),
+ sky130_fd_sc_hd__dfxtp_4 _12835_ (.D(_00313_),
     .Q(\u_m1_res_fifo.mem[6][24] ),
-    .CLK(clknet_7_126_0_mclk),
+    .CLK(clknet_7_124_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13038_ (.D(_00313_),
+ sky130_fd_sc_hd__dfxtp_4 _12836_ (.D(_00314_),
     .Q(\u_m1_res_fifo.mem[6][25] ),
-    .CLK(clknet_7_126_0_mclk),
+    .CLK(clknet_7_124_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13039_ (.D(_00314_),
+ sky130_fd_sc_hd__dfxtp_4 _12837_ (.D(_00315_),
     .Q(\u_m1_res_fifo.mem[6][26] ),
-    .CLK(clknet_7_126_0_mclk),
+    .CLK(clknet_7_124_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13040_ (.D(_00315_),
+ sky130_fd_sc_hd__dfxtp_4 _12838_ (.D(_00316_),
     .Q(\u_m1_res_fifo.mem[6][27] ),
-    .CLK(clknet_7_124_0_mclk),
+    .CLK(clknet_7_121_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13041_ (.D(_00316_),
+ sky130_fd_sc_hd__dfxtp_4 _12839_ (.D(_00317_),
     .Q(\u_m1_res_fifo.mem[6][28] ),
-    .CLK(clknet_7_121_0_mclk),
+    .CLK(clknet_7_123_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13042_ (.D(_00317_),
+ sky130_fd_sc_hd__dfxtp_4 _12840_ (.D(_00318_),
     .Q(\u_m1_res_fifo.mem[6][29] ),
-    .CLK(clknet_7_124_0_mclk),
+    .CLK(clknet_7_123_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13043_ (.D(_00318_),
+ sky130_fd_sc_hd__dfxtp_4 _12841_ (.D(_00319_),
     .Q(\u_m1_res_fifo.mem[6][30] ),
-    .CLK(clknet_7_121_0_mclk),
+    .CLK(clknet_7_123_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13044_ (.D(_00319_),
+ sky130_fd_sc_hd__dfxtp_4 _12842_ (.D(_00320_),
     .Q(\u_m1_res_fifo.mem[6][31] ),
-    .CLK(clknet_7_121_0_mclk),
+    .CLK(clknet_7_123_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13045_ (.D(_00320_),
+ sky130_fd_sc_hd__dfxtp_4 _12843_ (.D(_00321_),
     .Q(\u_m1_res_fifo.mem[5][0] ),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13046_ (.D(_00321_),
+ sky130_fd_sc_hd__dfxtp_4 _12844_ (.D(_00322_),
     .Q(\u_m1_res_fifo.mem[5][1] ),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_107_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13047_ (.D(_00322_),
+ sky130_fd_sc_hd__dfxtp_4 _12845_ (.D(_00323_),
     .Q(\u_m1_res_fifo.mem[5][2] ),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_107_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13048_ (.D(_00323_),
+ sky130_fd_sc_hd__dfxtp_4 _12846_ (.D(_00324_),
     .Q(\u_m1_res_fifo.mem[5][3] ),
-    .CLK(clknet_7_95_0_mclk),
+    .CLK(clknet_7_106_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13049_ (.D(_00324_),
+ sky130_fd_sc_hd__dfxtp_4 _12847_ (.D(_00325_),
     .Q(\u_m1_res_fifo.mem[5][4] ),
-    .CLK(clknet_7_97_0_mclk),
+    .CLK(clknet_7_54_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13050_ (.D(_00325_),
+ sky130_fd_sc_hd__dfxtp_4 _12848_ (.D(_00326_),
     .Q(\u_m1_res_fifo.mem[5][5] ),
-    .CLK(clknet_7_97_0_mclk),
+    .CLK(clknet_7_60_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13051_ (.D(_00326_),
+ sky130_fd_sc_hd__dfxtp_4 _12849_ (.D(_00327_),
     .Q(\u_m1_res_fifo.mem[5][6] ),
-    .CLK(clknet_7_78_0_mclk),
+    .CLK(clknet_7_57_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13052_ (.D(_00327_),
+ sky130_fd_sc_hd__dfxtp_4 _12850_ (.D(_00328_),
     .Q(\u_m1_res_fifo.mem[5][7] ),
-    .CLK(clknet_7_78_0_mclk),
+    .CLK(clknet_7_57_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13053_ (.D(_00328_),
+ sky130_fd_sc_hd__dfxtp_4 _12851_ (.D(_00329_),
     .Q(\u_m1_res_fifo.mem[5][8] ),
-    .CLK(clknet_7_91_0_mclk),
+    .CLK(clknet_7_58_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13054_ (.D(_00329_),
+ sky130_fd_sc_hd__dfxtp_4 _12852_ (.D(_00330_),
     .Q(\u_m1_res_fifo.mem[5][9] ),
-    .CLK(clknet_7_91_0_mclk),
+    .CLK(clknet_7_58_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13055_ (.D(_00330_),
+ sky130_fd_sc_hd__dfxtp_4 _12853_ (.D(_00331_),
     .Q(\u_m1_res_fifo.mem[5][10] ),
-    .CLK(clknet_7_91_0_mclk),
+    .CLK(clknet_7_59_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13056_ (.D(_00331_),
+ sky130_fd_sc_hd__dfxtp_4 _12854_ (.D(_00332_),
     .Q(\u_m1_res_fifo.mem[5][11] ),
-    .CLK(clknet_7_91_0_mclk),
+    .CLK(clknet_7_59_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13057_ (.D(_00332_),
+ sky130_fd_sc_hd__dfxtp_4 _12855_ (.D(_00333_),
     .Q(\u_m1_res_fifo.mem[5][12] ),
-    .CLK(clknet_7_94_0_mclk),
+    .CLK(clknet_7_63_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13058_ (.D(_00333_),
+ sky130_fd_sc_hd__dfxtp_4 _12856_ (.D(_00334_),
     .Q(\u_m1_res_fifo.mem[5][13] ),
-    .CLK(clknet_7_89_0_mclk),
+    .CLK(clknet_7_61_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13059_ (.D(_00334_),
+ sky130_fd_sc_hd__dfxtp_4 _12857_ (.D(_00335_),
     .Q(\u_m1_res_fifo.mem[5][14] ),
-    .CLK(clknet_7_91_0_mclk),
+    .CLK(clknet_7_61_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13060_ (.D(_00335_),
+ sky130_fd_sc_hd__dfxtp_4 _12858_ (.D(_00336_),
     .Q(\u_m1_res_fifo.mem[5][15] ),
-    .CLK(clknet_7_94_0_mclk),
+    .CLK(clknet_7_63_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13061_ (.D(_00336_),
+ sky130_fd_sc_hd__dfxtp_4 _12859_ (.D(_00337_),
     .Q(\u_m1_res_fifo.mem[5][16] ),
-    .CLK(clknet_7_119_0_mclk),
+    .CLK(clknet_7_111_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13062_ (.D(_00337_),
+ sky130_fd_sc_hd__dfxtp_4 _12860_ (.D(_00338_),
     .Q(\u_m1_res_fifo.mem[5][17] ),
-    .CLK(clknet_7_119_0_mclk),
+    .CLK(clknet_7_111_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13063_ (.D(_00338_),
+ sky130_fd_sc_hd__dfxtp_4 _12861_ (.D(_00339_),
     .Q(\u_m1_res_fifo.mem[5][18] ),
-    .CLK(clknet_7_119_0_mclk),
+    .CLK(clknet_7_122_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13064_ (.D(_00339_),
+ sky130_fd_sc_hd__dfxtp_4 _12862_ (.D(_00340_),
     .Q(\u_m1_res_fifo.mem[5][19] ),
-    .CLK(clknet_7_125_0_mclk),
+    .CLK(clknet_7_122_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13065_ (.D(_00340_),
+ sky130_fd_sc_hd__dfxtp_4 _12863_ (.D(_00341_),
     .Q(\u_m1_res_fifo.mem[5][20] ),
-    .CLK(clknet_7_125_0_mclk),
+    .CLK(clknet_7_127_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13066_ (.D(_00341_),
+ sky130_fd_sc_hd__dfxtp_4 _12864_ (.D(_00342_),
     .Q(\u_m1_res_fifo.mem[5][21] ),
-    .CLK(clknet_7_125_0_mclk),
+    .CLK(clknet_7_127_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13067_ (.D(_00342_),
+ sky130_fd_sc_hd__dfxtp_4 _12865_ (.D(_00343_),
     .Q(\u_m1_res_fifo.mem[5][22] ),
-    .CLK(clknet_7_125_0_mclk),
+    .CLK(clknet_7_127_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13068_ (.D(_00343_),
+ sky130_fd_sc_hd__dfxtp_4 _12866_ (.D(_00344_),
     .Q(\u_m1_res_fifo.mem[5][23] ),
     .CLK(clknet_7_127_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13069_ (.D(_00344_),
+ sky130_fd_sc_hd__dfxtp_4 _12867_ (.D(_00345_),
     .Q(\u_m1_res_fifo.mem[5][24] ),
-    .CLK(clknet_7_127_0_mclk),
+    .CLK(clknet_7_125_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13070_ (.D(_00345_),
+ sky130_fd_sc_hd__dfxtp_4 _12868_ (.D(_00346_),
     .Q(\u_m1_res_fifo.mem[5][25] ),
-    .CLK(clknet_7_127_0_mclk),
+    .CLK(clknet_7_125_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13071_ (.D(_00346_),
+ sky130_fd_sc_hd__dfxtp_4 _12869_ (.D(_00347_),
     .Q(\u_m1_res_fifo.mem[5][26] ),
-    .CLK(clknet_7_127_0_mclk),
+    .CLK(clknet_7_125_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13072_ (.D(_00347_),
+ sky130_fd_sc_hd__dfxtp_4 _12870_ (.D(_00348_),
     .Q(\u_m1_res_fifo.mem[5][27] ),
-    .CLK(clknet_7_124_0_mclk),
+    .CLK(clknet_7_127_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13073_ (.D(_00348_),
+ sky130_fd_sc_hd__dfxtp_4 _12871_ (.D(_00349_),
     .Q(\u_m1_res_fifo.mem[5][28] ),
-    .CLK(clknet_7_124_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13074_ (.D(_00349_),
-    .Q(\u_m1_res_fifo.mem[5][29] ),
-    .CLK(clknet_7_125_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13075_ (.D(_00350_),
-    .Q(\u_m1_res_fifo.mem[5][30] ),
-    .CLK(clknet_7_124_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13076_ (.D(_00351_),
-    .Q(\u_m1_res_fifo.mem[5][31] ),
-    .CLK(clknet_7_124_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13077_ (.D(_00352_),
-    .Q(\u_m1_res_fifo.mem[4][0] ),
-    .CLK(clknet_7_95_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13078_ (.D(_00353_),
-    .Q(\u_m1_res_fifo.mem[4][1] ),
-    .CLK(clknet_7_95_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13079_ (.D(_00354_),
-    .Q(\u_m1_res_fifo.mem[4][2] ),
-    .CLK(clknet_7_95_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13080_ (.D(_00355_),
-    .Q(\u_m1_res_fifo.mem[4][3] ),
-    .CLK(clknet_7_95_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13081_ (.D(_00356_),
-    .Q(\u_m1_res_fifo.mem[4][4] ),
-    .CLK(clknet_7_79_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13082_ (.D(_00357_),
-    .Q(\u_m1_res_fifo.mem[4][5] ),
-    .CLK(clknet_7_78_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13083_ (.D(_00358_),
-    .Q(\u_m1_res_fifo.mem[4][6] ),
-    .CLK(clknet_7_78_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13084_ (.D(_00359_),
-    .Q(\u_m1_res_fifo.mem[4][7] ),
-    .CLK(clknet_7_78_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13085_ (.D(_00360_),
-    .Q(\u_m1_res_fifo.mem[4][8] ),
-    .CLK(clknet_7_88_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13086_ (.D(_00361_),
-    .Q(\u_m1_res_fifo.mem[4][9] ),
-    .CLK(clknet_7_88_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13087_ (.D(_00362_),
-    .Q(\u_m1_res_fifo.mem[4][10] ),
-    .CLK(clknet_7_90_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13088_ (.D(_00363_),
-    .Q(\u_m1_res_fifo.mem[4][11] ),
-    .CLK(clknet_7_90_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13089_ (.D(_00364_),
-    .Q(\u_m1_res_fifo.mem[4][12] ),
-    .CLK(clknet_7_94_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13090_ (.D(_00365_),
-    .Q(\u_m1_res_fifo.mem[4][13] ),
-    .CLK(clknet_7_89_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13091_ (.D(_00366_),
-    .Q(\u_m1_res_fifo.mem[4][14] ),
-    .CLK(clknet_7_91_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13092_ (.D(_00367_),
-    .Q(\u_m1_res_fifo.mem[4][15] ),
-    .CLK(clknet_7_94_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13093_ (.D(_00368_),
-    .Q(\u_m1_res_fifo.mem[4][16] ),
-    .CLK(clknet_7_119_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13094_ (.D(_00369_),
-    .Q(\u_m1_res_fifo.mem[4][17] ),
-    .CLK(clknet_7_119_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13095_ (.D(_00370_),
-    .Q(\u_m1_res_fifo.mem[4][18] ),
-    .CLK(clknet_7_119_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13096_ (.D(_00371_),
-    .Q(\u_m1_res_fifo.mem[4][19] ),
-    .CLK(clknet_7_119_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13097_ (.D(_00372_),
-    .Q(\u_m1_res_fifo.mem[4][20] ),
-    .CLK(clknet_7_125_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13098_ (.D(_00373_),
-    .Q(\u_m1_res_fifo.mem[4][21] ),
-    .CLK(clknet_7_125_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13099_ (.D(_00374_),
-    .Q(\u_m1_res_fifo.mem[4][22] ),
-    .CLK(clknet_7_127_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13100_ (.D(_00375_),
-    .Q(\u_m1_res_fifo.mem[4][23] ),
-    .CLK(clknet_7_127_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13101_ (.D(_00376_),
-    .Q(\u_m1_res_fifo.mem[4][24] ),
-    .CLK(clknet_7_127_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13102_ (.D(_00377_),
-    .Q(\u_m1_res_fifo.mem[4][25] ),
-    .CLK(clknet_7_127_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13103_ (.D(_00378_),
-    .Q(\u_m1_res_fifo.mem[4][26] ),
-    .CLK(clknet_7_127_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13104_ (.D(_00379_),
-    .Q(\u_m1_res_fifo.mem[4][27] ),
-    .CLK(clknet_7_124_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13105_ (.D(_00380_),
-    .Q(\u_m1_res_fifo.mem[4][28] ),
-    .CLK(clknet_7_125_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13106_ (.D(_00381_),
-    .Q(\u_m1_res_fifo.mem[4][29] ),
-    .CLK(clknet_7_125_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13107_ (.D(_00382_),
-    .Q(\u_m1_res_fifo.mem[4][30] ),
-    .CLK(clknet_7_125_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13108_ (.D(_00383_),
-    .Q(\u_m1_res_fifo.mem[4][31] ),
-    .CLK(clknet_7_125_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13109_ (.D(_00384_),
-    .Q(\u_m1_res_fifo.mem[3][0] ),
-    .CLK(clknet_7_116_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13110_ (.D(_00385_),
-    .Q(\u_m1_res_fifo.mem[3][1] ),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13111_ (.D(_00386_),
-    .Q(\u_m1_res_fifo.mem[3][2] ),
-    .CLK(clknet_7_95_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13112_ (.D(_00387_),
-    .Q(\u_m1_res_fifo.mem[3][3] ),
-    .CLK(clknet_7_116_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13113_ (.D(_00388_),
-    .Q(\u_m1_res_fifo.mem[3][4] ),
-    .CLK(clknet_7_97_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13114_ (.D(_00389_),
-    .Q(\u_m1_res_fifo.mem[3][5] ),
-    .CLK(clknet_7_96_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13115_ (.D(_00390_),
-    .Q(\u_m1_res_fifo.mem[3][6] ),
-    .CLK(clknet_7_75_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13116_ (.D(_00391_),
-    .Q(\u_m1_res_fifo.mem[3][7] ),
-    .CLK(clknet_7_97_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13117_ (.D(_00392_),
-    .Q(\u_m1_res_fifo.mem[3][8] ),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13118_ (.D(_00393_),
-    .Q(\u_m1_res_fifo.mem[3][9] ),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13119_ (.D(_00394_),
-    .Q(\u_m1_res_fifo.mem[3][10] ),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13120_ (.D(_00395_),
-    .Q(\u_m1_res_fifo.mem[3][11] ),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13121_ (.D(_00396_),
-    .Q(\u_m1_res_fifo.mem[3][12] ),
-    .CLK(clknet_7_94_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13122_ (.D(_00397_),
-    .Q(\u_m1_res_fifo.mem[3][13] ),
-    .CLK(clknet_7_91_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13123_ (.D(_00398_),
-    .Q(\u_m1_res_fifo.mem[3][14] ),
-    .CLK(clknet_7_91_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13124_ (.D(_00399_),
-    .Q(\u_m1_res_fifo.mem[3][15] ),
-    .CLK(clknet_7_94_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13125_ (.D(_00400_),
-    .Q(\u_m1_res_fifo.mem[3][16] ),
-    .CLK(clknet_7_118_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13126_ (.D(_00401_),
-    .Q(\u_m1_res_fifo.mem[3][17] ),
-    .CLK(clknet_7_118_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13127_ (.D(_00402_),
-    .Q(\u_m1_res_fifo.mem[3][18] ),
-    .CLK(clknet_7_118_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13128_ (.D(_00403_),
-    .Q(\u_m1_res_fifo.mem[3][19] ),
-    .CLK(clknet_7_118_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13129_ (.D(_00404_),
-    .Q(\u_m1_res_fifo.mem[3][20] ),
-    .CLK(clknet_7_121_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13130_ (.D(_00405_),
-    .Q(\u_m1_res_fifo.mem[3][21] ),
-    .CLK(clknet_7_120_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13131_ (.D(_00406_),
-    .Q(\u_m1_res_fifo.mem[3][22] ),
-    .CLK(clknet_7_120_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13132_ (.D(_00407_),
-    .Q(\u_m1_res_fifo.mem[3][23] ),
-    .CLK(clknet_7_120_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13133_ (.D(_00408_),
-    .Q(\u_m1_res_fifo.mem[3][24] ),
-    .CLK(clknet_7_109_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13134_ (.D(_00409_),
-    .Q(\u_m1_res_fifo.mem[3][25] ),
-    .CLK(clknet_7_109_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13135_ (.D(_00410_),
-    .Q(\u_m1_res_fifo.mem[3][26] ),
-    .CLK(clknet_7_109_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13136_ (.D(_00411_),
-    .Q(\u_m1_res_fifo.mem[3][27] ),
-    .CLK(clknet_7_103_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13137_ (.D(_00412_),
-    .Q(\u_m1_res_fifo.mem[3][28] ),
-    .CLK(clknet_7_120_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13138_ (.D(_00413_),
-    .Q(\u_m1_res_fifo.mem[3][29] ),
-    .CLK(clknet_7_121_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13139_ (.D(_00414_),
-    .Q(\u_m1_res_fifo.mem[3][30] ),
-    .CLK(clknet_7_103_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13140_ (.D(_00415_),
-    .Q(\u_m1_res_fifo.mem[3][31] ),
-    .CLK(clknet_7_103_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13141_ (.D(_00416_),
-    .Q(\u_m1_res_fifo.mem[2][0] ),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13142_ (.D(_00417_),
-    .Q(\u_m1_res_fifo.mem[2][1] ),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13143_ (.D(_00418_),
-    .Q(\u_m1_res_fifo.mem[2][2] ),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13144_ (.D(_00419_),
-    .Q(\u_m1_res_fifo.mem[2][3] ),
-    .CLK(clknet_7_116_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13145_ (.D(_00420_),
-    .Q(\u_m1_res_fifo.mem[2][4] ),
-    .CLK(clknet_7_97_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13146_ (.D(_00421_),
-    .Q(\u_m1_res_fifo.mem[2][5] ),
-    .CLK(clknet_7_96_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13147_ (.D(_00422_),
-    .Q(\u_m1_res_fifo.mem[2][6] ),
-    .CLK(clknet_7_96_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13148_ (.D(_00423_),
-    .Q(\u_m1_res_fifo.mem[2][7] ),
-    .CLK(clknet_7_96_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13149_ (.D(_00424_),
-    .Q(\u_m1_res_fifo.mem[2][8] ),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13150_ (.D(_00425_),
-    .Q(\u_m1_res_fifo.mem[2][9] ),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13151_ (.D(_00426_),
-    .Q(\u_m1_res_fifo.mem[2][10] ),
-    .CLK(clknet_7_90_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13152_ (.D(_00427_),
-    .Q(\u_m1_res_fifo.mem[2][11] ),
-    .CLK(clknet_7_79_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13153_ (.D(_00428_),
-    .Q(\u_m1_res_fifo.mem[2][12] ),
-    .CLK(clknet_7_113_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13154_ (.D(_00429_),
-    .Q(\u_m1_res_fifo.mem[2][13] ),
-    .CLK(clknet_7_113_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13155_ (.D(_00430_),
-    .Q(\u_m1_res_fifo.mem[2][14] ),
-    .CLK(clknet_7_113_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13156_ (.D(_00431_),
-    .Q(\u_m1_res_fifo.mem[2][15] ),
-    .CLK(clknet_7_116_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13157_ (.D(_00432_),
-    .Q(\u_m1_res_fifo.mem[2][16] ),
-    .CLK(clknet_7_115_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13158_ (.D(_00433_),
-    .Q(\u_m1_res_fifo.mem[2][17] ),
-    .CLK(clknet_7_114_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13159_ (.D(_00434_),
-    .Q(\u_m1_res_fifo.mem[2][18] ),
-    .CLK(clknet_7_115_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13160_ (.D(_00435_),
-    .Q(\u_m1_res_fifo.mem[2][19] ),
-    .CLK(clknet_7_115_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13161_ (.D(_00436_),
-    .Q(\u_m1_res_fifo.mem[2][20] ),
-    .CLK(clknet_7_121_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13162_ (.D(_00437_),
-    .Q(\u_m1_res_fifo.mem[2][21] ),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13163_ (.D(_00438_),
-    .Q(\u_m1_res_fifo.mem[2][22] ),
     .CLK(clknet_7_123_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13164_ (.D(_00439_),
-    .Q(\u_m1_res_fifo.mem[2][23] ),
+ sky130_fd_sc_hd__dfxtp_4 _12872_ (.D(_00350_),
+    .Q(\u_m1_res_fifo.mem[5][29] ),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12873_ (.D(_00351_),
+    .Q(\u_m1_res_fifo.mem[5][30] ),
     .CLK(clknet_7_122_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13165_ (.D(_00440_),
+ sky130_fd_sc_hd__dfxtp_4 _12874_ (.D(_00352_),
+    .Q(\u_m1_res_fifo.mem[5][31] ),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12875_ (.D(_00353_),
+    .Q(\u_m1_res_fifo.mem[4][0] ),
+    .CLK(clknet_7_107_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12876_ (.D(_00354_),
+    .Q(\u_m1_res_fifo.mem[4][1] ),
+    .CLK(clknet_7_107_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12877_ (.D(_00355_),
+    .Q(\u_m1_res_fifo.mem[4][2] ),
+    .CLK(clknet_7_107_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12878_ (.D(_00356_),
+    .Q(\u_m1_res_fifo.mem[4][3] ),
+    .CLK(clknet_7_107_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12879_ (.D(_00357_),
+    .Q(\u_m1_res_fifo.mem[4][4] ),
+    .CLK(clknet_7_51_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12880_ (.D(_00358_),
+    .Q(\u_m1_res_fifo.mem[4][5] ),
+    .CLK(clknet_7_51_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12881_ (.D(_00359_),
+    .Q(\u_m1_res_fifo.mem[4][6] ),
+    .CLK(clknet_7_51_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12882_ (.D(_00360_),
+    .Q(\u_m1_res_fifo.mem[4][7] ),
+    .CLK(clknet_7_51_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12883_ (.D(_00361_),
+    .Q(\u_m1_res_fifo.mem[4][8] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12884_ (.D(_00362_),
+    .Q(\u_m1_res_fifo.mem[4][9] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12885_ (.D(_00363_),
+    .Q(\u_m1_res_fifo.mem[4][10] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12886_ (.D(_00364_),
+    .Q(\u_m1_res_fifo.mem[4][11] ),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12887_ (.D(_00365_),
+    .Q(\u_m1_res_fifo.mem[4][12] ),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12888_ (.D(_00366_),
+    .Q(\u_m1_res_fifo.mem[4][13] ),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12889_ (.D(_00367_),
+    .Q(\u_m1_res_fifo.mem[4][14] ),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12890_ (.D(_00368_),
+    .Q(\u_m1_res_fifo.mem[4][15] ),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12891_ (.D(_00369_),
+    .Q(\u_m1_res_fifo.mem[4][16] ),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12892_ (.D(_00370_),
+    .Q(\u_m1_res_fifo.mem[4][17] ),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12893_ (.D(_00371_),
+    .Q(\u_m1_res_fifo.mem[4][18] ),
+    .CLK(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12894_ (.D(_00372_),
+    .Q(\u_m1_res_fifo.mem[4][19] ),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12895_ (.D(_00373_),
+    .Q(\u_m1_res_fifo.mem[4][20] ),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12896_ (.D(_00374_),
+    .Q(\u_m1_res_fifo.mem[4][21] ),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12897_ (.D(_00375_),
+    .Q(\u_m1_res_fifo.mem[4][22] ),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12898_ (.D(_00376_),
+    .Q(\u_m1_res_fifo.mem[4][23] ),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12899_ (.D(_00377_),
+    .Q(\u_m1_res_fifo.mem[4][24] ),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12900_ (.D(_00378_),
+    .Q(\u_m1_res_fifo.mem[4][25] ),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12901_ (.D(_00379_),
+    .Q(\u_m1_res_fifo.mem[4][26] ),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12902_ (.D(_00380_),
+    .Q(\u_m1_res_fifo.mem[4][27] ),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12903_ (.D(_00381_),
+    .Q(\u_m1_res_fifo.mem[4][28] ),
+    .CLK(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12904_ (.D(_00382_),
+    .Q(\u_m1_res_fifo.mem[4][29] ),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12905_ (.D(_00383_),
+    .Q(\u_m1_res_fifo.mem[4][30] ),
+    .CLK(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12906_ (.D(_00384_),
+    .Q(\u_m1_res_fifo.mem[4][31] ),
+    .CLK(clknet_7_123_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12907_ (.D(_00385_),
+    .Q(\u_m1_res_fifo.mem[3][0] ),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12908_ (.D(_00386_),
+    .Q(\u_m1_res_fifo.mem[3][1] ),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12909_ (.D(_00387_),
+    .Q(\u_m1_res_fifo.mem[3][2] ),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12910_ (.D(_00388_),
+    .Q(\u_m1_res_fifo.mem[3][3] ),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12911_ (.D(_00389_),
+    .Q(\u_m1_res_fifo.mem[3][4] ),
+    .CLK(clknet_7_54_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12912_ (.D(_00390_),
+    .Q(\u_m1_res_fifo.mem[3][5] ),
+    .CLK(clknet_7_54_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12913_ (.D(_00391_),
+    .Q(\u_m1_res_fifo.mem[3][6] ),
+    .CLK(clknet_7_54_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12914_ (.D(_00392_),
+    .Q(\u_m1_res_fifo.mem[3][7] ),
+    .CLK(clknet_7_54_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12915_ (.D(_00393_),
+    .Q(\u_m1_res_fifo.mem[3][8] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12916_ (.D(_00394_),
+    .Q(\u_m1_res_fifo.mem[3][9] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12917_ (.D(_00395_),
+    .Q(\u_m1_res_fifo.mem[3][10] ),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12918_ (.D(_00396_),
+    .Q(\u_m1_res_fifo.mem[3][11] ),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12919_ (.D(_00397_),
+    .Q(\u_m1_res_fifo.mem[3][12] ),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12920_ (.D(_00398_),
+    .Q(\u_m1_res_fifo.mem[3][13] ),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12921_ (.D(_00399_),
+    .Q(\u_m1_res_fifo.mem[3][14] ),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12922_ (.D(_00400_),
+    .Q(\u_m1_res_fifo.mem[3][15] ),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12923_ (.D(_00401_),
+    .Q(\u_m1_res_fifo.mem[3][16] ),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12924_ (.D(_00402_),
+    .Q(\u_m1_res_fifo.mem[3][17] ),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12925_ (.D(_00403_),
+    .Q(\u_m1_res_fifo.mem[3][18] ),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12926_ (.D(_00404_),
+    .Q(\u_m1_res_fifo.mem[3][19] ),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12927_ (.D(_00405_),
+    .Q(\u_m1_res_fifo.mem[3][20] ),
+    .CLK(clknet_7_108_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12928_ (.D(_00406_),
+    .Q(\u_m1_res_fifo.mem[3][21] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12929_ (.D(_00407_),
+    .Q(\u_m1_res_fifo.mem[3][22] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12930_ (.D(_00408_),
+    .Q(\u_m1_res_fifo.mem[3][23] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12931_ (.D(_00409_),
+    .Q(\u_m1_res_fifo.mem[3][24] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12932_ (.D(_00410_),
+    .Q(\u_m1_res_fifo.mem[3][25] ),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12933_ (.D(_00411_),
+    .Q(\u_m1_res_fifo.mem[3][26] ),
+    .CLK(clknet_7_126_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12934_ (.D(_00412_),
+    .Q(\u_m1_res_fifo.mem[3][27] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12935_ (.D(_00413_),
+    .Q(\u_m1_res_fifo.mem[3][28] ),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12936_ (.D(_00414_),
+    .Q(\u_m1_res_fifo.mem[3][29] ),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12937_ (.D(_00415_),
+    .Q(\u_m1_res_fifo.mem[3][30] ),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12938_ (.D(_00416_),
+    .Q(\u_m1_res_fifo.mem[3][31] ),
+    .CLK(clknet_7_122_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12939_ (.D(_00417_),
+    .Q(\u_m1_res_fifo.mem[2][0] ),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12940_ (.D(_00418_),
+    .Q(\u_m1_res_fifo.mem[2][1] ),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12941_ (.D(_00419_),
+    .Q(\u_m1_res_fifo.mem[2][2] ),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12942_ (.D(_00420_),
+    .Q(\u_m1_res_fifo.mem[2][3] ),
+    .CLK(clknet_7_63_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12943_ (.D(_00421_),
+    .Q(\u_m1_res_fifo.mem[2][4] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12944_ (.D(_00422_),
+    .Q(\u_m1_res_fifo.mem[2][5] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12945_ (.D(_00423_),
+    .Q(\u_m1_res_fifo.mem[2][6] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12946_ (.D(_00424_),
+    .Q(\u_m1_res_fifo.mem[2][7] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12947_ (.D(_00425_),
+    .Q(\u_m1_res_fifo.mem[2][8] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12948_ (.D(_00426_),
+    .Q(\u_m1_res_fifo.mem[2][9] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12949_ (.D(_00427_),
+    .Q(\u_m1_res_fifo.mem[2][10] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12950_ (.D(_00428_),
+    .Q(\u_m1_res_fifo.mem[2][11] ),
+    .CLK(clknet_7_57_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12951_ (.D(_00429_),
+    .Q(\u_m1_res_fifo.mem[2][12] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12952_ (.D(_00430_),
+    .Q(\u_m1_res_fifo.mem[2][13] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12953_ (.D(_00431_),
+    .Q(\u_m1_res_fifo.mem[2][14] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12954_ (.D(_00432_),
+    .Q(\u_m1_res_fifo.mem[2][15] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12955_ (.D(_00433_),
+    .Q(\u_m1_res_fifo.mem[2][16] ),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12956_ (.D(_00434_),
+    .Q(\u_m1_res_fifo.mem[2][17] ),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12957_ (.D(_00435_),
+    .Q(\u_m1_res_fifo.mem[2][18] ),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12958_ (.D(_00436_),
+    .Q(\u_m1_res_fifo.mem[2][19] ),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12959_ (.D(_00437_),
+    .Q(\u_m1_res_fifo.mem[2][20] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12960_ (.D(_00438_),
+    .Q(\u_m1_res_fifo.mem[2][21] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12961_ (.D(_00439_),
+    .Q(\u_m1_res_fifo.mem[2][22] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12962_ (.D(_00440_),
+    .Q(\u_m1_res_fifo.mem[2][23] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12963_ (.D(_00441_),
     .Q(\u_m1_res_fifo.mem[2][24] ),
-    .CLK(clknet_7_109_0_mclk),
+    .CLK(clknet_7_103_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13166_ (.D(_00441_),
+ sky130_fd_sc_hd__dfxtp_4 _12964_ (.D(_00442_),
     .Q(\u_m1_res_fifo.mem[2][25] ),
-    .CLK(clknet_7_109_0_mclk),
+    .CLK(clknet_7_102_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13167_ (.D(_00442_),
+ sky130_fd_sc_hd__dfxtp_4 _12965_ (.D(_00443_),
     .Q(\u_m1_res_fifo.mem[2][26] ),
     .CLK(clknet_7_108_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13168_ (.D(_00443_),
+ sky130_fd_sc_hd__dfxtp_4 _12966_ (.D(_00444_),
     .Q(\u_m1_res_fifo.mem[2][27] ),
-    .CLK(clknet_7_109_0_mclk),
+    .CLK(clknet_7_102_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13169_ (.D(_00444_),
+ sky130_fd_sc_hd__dfxtp_4 _12967_ (.D(_00445_),
     .Q(\u_m1_res_fifo.mem[2][28] ),
-    .CLK(clknet_7_121_0_mclk),
+    .CLK(clknet_7_108_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13170_ (.D(_00445_),
+ sky130_fd_sc_hd__dfxtp_4 _12968_ (.D(_00446_),
     .Q(\u_m1_res_fifo.mem[2][29] ),
-    .CLK(clknet_7_120_0_mclk),
+    .CLK(clknet_7_108_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13171_ (.D(_00446_),
+ sky130_fd_sc_hd__dfxtp_4 _12969_ (.D(_00447_),
     .Q(\u_m1_res_fifo.mem[2][30] ),
-    .CLK(clknet_7_103_0_mclk),
+    .CLK(clknet_7_108_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13172_ (.D(_00447_),
+ sky130_fd_sc_hd__dfxtp_4 _12970_ (.D(_00448_),
     .Q(\u_m1_res_fifo.mem[2][31] ),
+    .CLK(clknet_7_108_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12971_ (.D(_00449_),
+    .Q(\u_m1_res_fifo.mem[1][0] ),
+    .CLK(clknet_7_62_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12972_ (.D(_00450_),
+    .Q(\u_m1_res_fifo.mem[1][1] ),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12973_ (.D(_00451_),
+    .Q(\u_m1_res_fifo.mem[1][2] ),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12974_ (.D(_00452_),
+    .Q(\u_m1_res_fifo.mem[1][3] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12975_ (.D(_00453_),
+    .Q(\u_m1_res_fifo.mem[1][4] ),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12976_ (.D(_00454_),
+    .Q(\u_m1_res_fifo.mem[1][5] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12977_ (.D(_00455_),
+    .Q(\u_m1_res_fifo.mem[1][6] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12978_ (.D(_00456_),
+    .Q(\u_m1_res_fifo.mem[1][7] ),
+    .CLK(clknet_7_55_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12979_ (.D(_00457_),
+    .Q(\u_m1_res_fifo.mem[1][8] ),
+    .CLK(clknet_7_58_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12980_ (.D(_00458_),
+    .Q(\u_m1_res_fifo.mem[1][9] ),
+    .CLK(clknet_7_59_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12981_ (.D(_00459_),
+    .Q(\u_m1_res_fifo.mem[1][10] ),
+    .CLK(clknet_7_57_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12982_ (.D(_00460_),
+    .Q(\u_m1_res_fifo.mem[1][11] ),
+    .CLK(clknet_7_57_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12983_ (.D(_00461_),
+    .Q(\u_m1_res_fifo.mem[1][12] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12984_ (.D(_00462_),
+    .Q(\u_m1_res_fifo.mem[1][13] ),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12985_ (.D(_00463_),
+    .Q(\u_m1_res_fifo.mem[1][14] ),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12986_ (.D(_00464_),
+    .Q(\u_m1_res_fifo.mem[1][15] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12987_ (.D(_00465_),
+    .Q(\u_m1_res_fifo.mem[1][16] ),
+    .CLK(clknet_7_106_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12988_ (.D(_00466_),
+    .Q(\u_m1_res_fifo.mem[1][17] ),
+    .CLK(clknet_7_106_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12989_ (.D(_00467_),
+    .Q(\u_m1_res_fifo.mem[1][18] ),
+    .CLK(clknet_7_107_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12990_ (.D(_00468_),
+    .Q(\u_m1_res_fifo.mem[1][19] ),
+    .CLK(clknet_7_105_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12991_ (.D(_00469_),
+    .Q(\u_m1_res_fifo.mem[1][20] ),
+    .CLK(clknet_7_102_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _12992_ (.D(_00470_),
+    .Q(\u_m1_res_fifo.mem[1][21] ),
     .CLK(clknet_7_103_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13173_ (.D(_00448_),
-    .Q(\u_m1_res_fifo.mem[1][0] ),
-    .CLK(clknet_7_119_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12993_ (.D(_00471_),
+    .Q(\u_m1_res_fifo.mem[1][22] ),
+    .CLK(clknet_7_103_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13174_ (.D(_00449_),
-    .Q(\u_m1_res_fifo.mem[1][1] ),
-    .CLK(clknet_7_119_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13175_ (.D(_00450_),
-    .Q(\u_m1_res_fifo.mem[1][2] ),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13176_ (.D(_00451_),
-    .Q(\u_m1_res_fifo.mem[1][3] ),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13177_ (.D(_00452_),
-    .Q(\u_m1_res_fifo.mem[1][4] ),
+ sky130_fd_sc_hd__dfxtp_4 _12994_ (.D(_00472_),
+    .Q(\u_m1_res_fifo.mem[1][23] ),
     .CLK(clknet_7_101_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13178_ (.D(_00453_),
-    .Q(\u_m1_res_fifo.mem[1][5] ),
-    .CLK(clknet_7_100_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _12995_ (.D(_00473_),
+    .Q(\u_m1_res_fifo.mem[1][24] ),
+    .CLK(clknet_7_98_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13179_ (.D(_00454_),
-    .Q(\u_m1_res_fifo.mem[1][6] ),
-    .CLK(clknet_7_97_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13180_ (.D(_00455_),
-    .Q(\u_m1_res_fifo.mem[1][7] ),
+ sky130_fd_sc_hd__dfxtp_4 _12996_ (.D(_00474_),
+    .Q(\u_m1_res_fifo.mem[1][25] ),
     .CLK(clknet_7_99_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13181_ (.D(_00456_),
-    .Q(\u_m1_res_fifo.mem[1][8] ),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13182_ (.D(_00457_),
-    .Q(\u_m1_res_fifo.mem[1][9] ),
-    .CLK(clknet_7_114_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13183_ (.D(_00458_),
-    .Q(\u_m1_res_fifo.mem[1][10] ),
-    .CLK(clknet_7_101_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13184_ (.D(_00459_),
-    .Q(\u_m1_res_fifo.mem[1][11] ),
-    .CLK(clknet_7_101_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13185_ (.D(_00460_),
-    .Q(\u_m1_res_fifo.mem[1][12] ),
-    .CLK(clknet_7_113_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13186_ (.D(_00461_),
-    .Q(\u_m1_res_fifo.mem[1][13] ),
-    .CLK(clknet_7_113_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13187_ (.D(_00462_),
-    .Q(\u_m1_res_fifo.mem[1][14] ),
-    .CLK(clknet_7_113_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13188_ (.D(_00463_),
-    .Q(\u_m1_res_fifo.mem[1][15] ),
-    .CLK(clknet_7_116_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13189_ (.D(_00464_),
-    .Q(\u_m1_res_fifo.mem[1][16] ),
-    .CLK(clknet_7_115_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13190_ (.D(_00465_),
-    .Q(\u_m1_res_fifo.mem[1][17] ),
-    .CLK(clknet_7_114_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13191_ (.D(_00466_),
-    .Q(\u_m1_res_fifo.mem[1][18] ),
-    .CLK(clknet_7_114_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13192_ (.D(_00467_),
-    .Q(\u_m1_res_fifo.mem[1][19] ),
-    .CLK(clknet_7_115_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13193_ (.D(_00468_),
-    .Q(\u_m1_res_fifo.mem[1][20] ),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13194_ (.D(_00469_),
-    .Q(\u_m1_res_fifo.mem[1][21] ),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13195_ (.D(_00470_),
-    .Q(\u_m1_res_fifo.mem[1][22] ),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13196_ (.D(_00471_),
-    .Q(\u_m1_res_fifo.mem[1][23] ),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13197_ (.D(_00472_),
-    .Q(\u_m1_res_fifo.mem[1][24] ),
-    .CLK(clknet_7_109_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13198_ (.D(_00473_),
-    .Q(\u_m1_res_fifo.mem[1][25] ),
-    .CLK(clknet_7_109_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13199_ (.D(_00474_),
+ sky130_fd_sc_hd__dfxtp_4 _12997_ (.D(_00475_),
     .Q(\u_m1_res_fifo.mem[1][26] ),
-    .CLK(clknet_7_109_0_mclk),
+    .CLK(clknet_7_98_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13200_ (.D(_00475_),
+ sky130_fd_sc_hd__dfxtp_4 _12998_ (.D(_00476_),
     .Q(\u_m1_res_fifo.mem[1][27] ),
-    .CLK(clknet_7_109_0_mclk),
+    .CLK(clknet_7_98_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13201_ (.D(_00476_),
+ sky130_fd_sc_hd__dfxtp_4 _12999_ (.D(_00477_),
     .Q(\u_m1_res_fifo.mem[1][28] ),
-    .CLK(clknet_7_121_0_mclk),
+    .CLK(clknet_7_104_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13202_ (.D(_00477_),
+ sky130_fd_sc_hd__dfxtp_4 _13000_ (.D(_00478_),
     .Q(\u_m1_res_fifo.mem[1][29] ),
-    .CLK(clknet_7_120_0_mclk),
+    .CLK(clknet_7_108_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13203_ (.D(_00478_),
+ sky130_fd_sc_hd__dfxtp_4 _13001_ (.D(_00479_),
     .Q(\u_m1_res_fifo.mem[1][30] ),
-    .CLK(clknet_7_103_0_mclk),
+    .CLK(clknet_7_105_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13204_ (.D(_00479_),
+ sky130_fd_sc_hd__dfxtp_4 _13002_ (.D(_00480_),
     .Q(\u_m1_res_fifo.mem[1][31] ),
-    .CLK(clknet_7_103_0_mclk),
+    .CLK(clknet_7_108_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13205_ (.D(_00480_),
+ sky130_fd_sc_hd__dfxtp_4 _13003_ (.D(_00481_),
     .Q(\u_m1_res_fifo.mem[0][0] ),
-    .CLK(clknet_7_119_0_mclk),
+    .CLK(clknet_7_62_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13206_ (.D(_00481_),
+ sky130_fd_sc_hd__dfxtp_4 _13004_ (.D(_00482_),
     .Q(\u_m1_res_fifo.mem[0][1] ),
-    .CLK(clknet_7_119_0_mclk),
+    .CLK(clknet_7_62_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13207_ (.D(_00482_),
+ sky130_fd_sc_hd__dfxtp_4 _13005_ (.D(_00483_),
     .Q(\u_m1_res_fifo.mem[0][2] ),
-    .CLK(clknet_7_116_0_mclk),
+    .CLK(clknet_7_59_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13208_ (.D(_00483_),
+ sky130_fd_sc_hd__dfxtp_4 _13006_ (.D(_00484_),
     .Q(\u_m1_res_fifo.mem[0][3] ),
-    .CLK(clknet_7_117_0_mclk),
+    .CLK(clknet_7_58_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13209_ (.D(_00484_),
+ sky130_fd_sc_hd__dfxtp_4 _13007_ (.D(_00485_),
     .Q(\u_m1_res_fifo.mem[0][4] ),
-    .CLK(clknet_7_101_0_mclk),
+    .CLK(clknet_7_98_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13210_ (.D(_00485_),
+ sky130_fd_sc_hd__dfxtp_4 _13008_ (.D(_00486_),
     .Q(\u_m1_res_fifo.mem[0][5] ),
-    .CLK(clknet_7_101_0_mclk),
+    .CLK(clknet_7_55_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13211_ (.D(_00486_),
+ sky130_fd_sc_hd__dfxtp_4 _13009_ (.D(_00487_),
     .Q(\u_m1_res_fifo.mem[0][6] ),
-    .CLK(clknet_7_97_0_mclk),
+    .CLK(clknet_7_55_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13212_ (.D(_00487_),
+ sky130_fd_sc_hd__dfxtp_4 _13010_ (.D(_00488_),
     .Q(\u_m1_res_fifo.mem[0][7] ),
-    .CLK(clknet_7_100_0_mclk),
+    .CLK(clknet_7_55_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13213_ (.D(_00488_),
+ sky130_fd_sc_hd__dfxtp_4 _13011_ (.D(_00489_),
     .Q(\u_m1_res_fifo.mem[0][8] ),
-    .CLK(clknet_7_101_0_mclk),
+    .CLK(clknet_7_57_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13214_ (.D(_00489_),
+ sky130_fd_sc_hd__dfxtp_4 _13012_ (.D(_00490_),
     .Q(\u_m1_res_fifo.mem[0][9] ),
-    .CLK(clknet_7_114_0_mclk),
+    .CLK(clknet_7_57_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13215_ (.D(_00490_),
+ sky130_fd_sc_hd__dfxtp_4 _13013_ (.D(_00491_),
     .Q(\u_m1_res_fifo.mem[0][10] ),
-    .CLK(clknet_7_101_0_mclk),
+    .CLK(clknet_7_57_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13216_ (.D(_00491_),
+ sky130_fd_sc_hd__dfxtp_4 _13014_ (.D(_00492_),
     .Q(\u_m1_res_fifo.mem[0][11] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13015_ (.D(_00493_),
+    .Q(\u_m1_res_fifo.mem[0][12] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13016_ (.D(_00494_),
+    .Q(\u_m1_res_fifo.mem[0][13] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13017_ (.D(_00495_),
+    .Q(\u_m1_res_fifo.mem[0][14] ),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13018_ (.D(_00496_),
+    .Q(\u_m1_res_fifo.mem[0][15] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13019_ (.D(_00497_),
+    .Q(\u_m1_res_fifo.mem[0][16] ),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13020_ (.D(_00498_),
+    .Q(\u_m1_res_fifo.mem[0][17] ),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13021_ (.D(_00499_),
+    .Q(\u_m1_res_fifo.mem[0][18] ),
+    .CLK(clknet_7_105_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13022_ (.D(_00500_),
+    .Q(\u_m1_res_fifo.mem[0][19] ),
+    .CLK(clknet_7_105_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13023_ (.D(_00501_),
+    .Q(\u_m1_res_fifo.mem[0][20] ),
+    .CLK(clknet_7_102_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13024_ (.D(_00502_),
+    .Q(\u_m1_res_fifo.mem[0][21] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13025_ (.D(_00503_),
+    .Q(\u_m1_res_fifo.mem[0][22] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13026_ (.D(_00504_),
+    .Q(\u_m1_res_fifo.mem[0][23] ),
     .CLK(clknet_7_101_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13217_ (.D(_00492_),
-    .Q(\u_m1_res_fifo.mem[0][12] ),
-    .CLK(clknet_7_113_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13218_ (.D(_00493_),
-    .Q(\u_m1_res_fifo.mem[0][13] ),
-    .CLK(clknet_7_114_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13219_ (.D(_00494_),
-    .Q(\u_m1_res_fifo.mem[0][14] ),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13220_ (.D(_00495_),
-    .Q(\u_m1_res_fifo.mem[0][15] ),
-    .CLK(clknet_7_116_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13221_ (.D(_00496_),
-    .Q(\u_m1_res_fifo.mem[0][16] ),
-    .CLK(clknet_7_118_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13222_ (.D(_00497_),
-    .Q(\u_m1_res_fifo.mem[0][17] ),
-    .CLK(clknet_7_115_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13223_ (.D(_00498_),
-    .Q(\u_m1_res_fifo.mem[0][18] ),
-    .CLK(clknet_7_118_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13224_ (.D(_00499_),
-    .Q(\u_m1_res_fifo.mem[0][19] ),
-    .CLK(clknet_7_115_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13225_ (.D(_00500_),
-    .Q(\u_m1_res_fifo.mem[0][20] ),
-    .CLK(clknet_7_123_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13226_ (.D(_00501_),
-    .Q(\u_m1_res_fifo.mem[0][21] ),
-    .CLK(clknet_7_123_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13227_ (.D(_00502_),
-    .Q(\u_m1_res_fifo.mem[0][22] ),
-    .CLK(clknet_7_123_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13228_ (.D(_00503_),
-    .Q(\u_m1_res_fifo.mem[0][23] ),
-    .CLK(clknet_7_123_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13229_ (.D(_00504_),
+ sky130_fd_sc_hd__dfxtp_4 _13027_ (.D(_00505_),
     .Q(\u_m1_res_fifo.mem[0][24] ),
-    .CLK(clknet_7_109_0_mclk),
+    .CLK(clknet_7_102_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13230_ (.D(_00505_),
+ sky130_fd_sc_hd__dfxtp_4 _13028_ (.D(_00506_),
     .Q(\u_m1_res_fifo.mem[0][25] ),
-    .CLK(clknet_7_109_0_mclk),
+    .CLK(clknet_7_99_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13231_ (.D(_00506_),
+ sky130_fd_sc_hd__dfxtp_4 _13029_ (.D(_00507_),
     .Q(\u_m1_res_fifo.mem[0][26] ),
-    .CLK(clknet_7_109_0_mclk),
+    .CLK(clknet_7_99_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13232_ (.D(_00507_),
+ sky130_fd_sc_hd__dfxtp_4 _13030_ (.D(_00508_),
     .Q(\u_m1_res_fifo.mem[0][27] ),
-    .CLK(clknet_7_109_0_mclk),
+    .CLK(clknet_7_99_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13233_ (.D(_00508_),
+ sky130_fd_sc_hd__dfxtp_4 _13031_ (.D(_00509_),
     .Q(\u_m1_res_fifo.mem[0][28] ),
-    .CLK(clknet_7_120_0_mclk),
+    .CLK(clknet_7_105_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13234_ (.D(_00509_),
+ sky130_fd_sc_hd__dfxtp_4 _13032_ (.D(_00510_),
     .Q(\u_m1_res_fifo.mem[0][29] ),
-    .CLK(clknet_7_121_0_mclk),
+    .CLK(clknet_7_105_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13235_ (.D(_00510_),
+ sky130_fd_sc_hd__dfxtp_4 _13033_ (.D(_00511_),
     .Q(\u_m1_res_fifo.mem[0][30] ),
-    .CLK(clknet_7_103_0_mclk),
+    .CLK(clknet_7_105_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13236_ (.D(_00511_),
+ sky130_fd_sc_hd__dfxtp_4 _13034_ (.D(_00512_),
     .Q(\u_m1_res_fifo.mem[0][31] ),
-    .CLK(clknet_7_103_0_mclk),
+    .CLK(clknet_7_105_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13237_ (.D(_00512_),
+ sky130_fd_sc_hd__dfxtp_4 _13035_ (.D(_00513_),
     .Q(\u_m1_cmd_fifo.mem[3][0] ),
-    .CLK(clknet_7_39_0_mclk),
+    .CLK(clknet_7_32_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13238_ (.D(_00513_),
+ sky130_fd_sc_hd__dfxtp_4 _13036_ (.D(_00514_),
     .Q(\u_m1_cmd_fifo.mem[3][1] ),
-    .CLK(clknet_7_39_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13239_ (.D(_00514_),
-    .Q(\u_m1_cmd_fifo.mem[3][2] ),
-    .CLK(clknet_7_39_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13240_ (.D(_00515_),
-    .Q(\u_m1_cmd_fifo.mem[3][3] ),
-    .CLK(clknet_7_39_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13241_ (.D(_00516_),
-    .Q(\u_m1_cmd_fifo.mem[3][4] ),
-    .CLK(clknet_7_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13242_ (.D(_00517_),
-    .Q(\u_m1_cmd_fifo.mem[3][5] ),
-    .CLK(clknet_7_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13243_ (.D(_00518_),
-    .Q(\u_m1_cmd_fifo.mem[3][6] ),
-    .CLK(clknet_7_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13244_ (.D(_00519_),
-    .Q(\u_m1_cmd_fifo.mem[3][7] ),
-    .CLK(clknet_7_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13245_ (.D(_00520_),
-    .Q(\u_m1_cmd_fifo.mem[3][8] ),
-    .CLK(clknet_7_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13246_ (.D(_00521_),
-    .Q(\u_m1_cmd_fifo.mem[3][9] ),
-    .CLK(clknet_7_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13247_ (.D(_00522_),
-    .Q(\u_m1_cmd_fifo.mem[3][10] ),
-    .CLK(clknet_7_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13248_ (.D(_00523_),
-    .Q(\u_m1_cmd_fifo.mem[3][11] ),
-    .CLK(clknet_7_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13249_ (.D(_00524_),
-    .Q(\u_m1_cmd_fifo.mem[3][12] ),
-    .CLK(clknet_7_35_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13250_ (.D(_00525_),
-    .Q(\u_m1_cmd_fifo.mem[3][13] ),
     .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13251_ (.D(_00526_),
-    .Q(\u_m1_cmd_fifo.mem[3][14] ),
-    .CLK(clknet_7_43_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13037_ (.D(_00515_),
+    .Q(\u_m1_cmd_fifo.mem[3][2] ),
+    .CLK(clknet_7_33_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13252_ (.D(_00527_),
-    .Q(\u_m1_cmd_fifo.mem[3][15] ),
-    .CLK(clknet_7_41_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13038_ (.D(_00516_),
+    .Q(\u_m1_cmd_fifo.mem[3][3] ),
+    .CLK(clknet_7_33_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13253_ (.D(_00528_),
-    .Q(\u_m1_cmd_fifo.mem[3][16] ),
-    .CLK(clknet_7_41_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13254_ (.D(_00529_),
-    .Q(\u_m1_cmd_fifo.mem[3][17] ),
-    .CLK(clknet_7_41_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13255_ (.D(_00530_),
-    .Q(\u_m1_cmd_fifo.mem[3][18] ),
+ sky130_fd_sc_hd__dfxtp_4 _13039_ (.D(_00517_),
+    .Q(\u_m1_cmd_fifo.mem[3][4] ),
     .CLK(clknet_7_39_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13256_ (.D(_00531_),
-    .Q(\u_m1_cmd_fifo.mem[3][19] ),
-    .CLK(clknet_7_45_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13040_ (.D(_00518_),
+    .Q(\u_m1_cmd_fifo.mem[3][5] ),
+    .CLK(clknet_7_39_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13257_ (.D(_00532_),
-    .Q(\u_m1_cmd_fifo.mem[3][20] ),
-    .CLK(clknet_7_43_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13041_ (.D(_00519_),
+    .Q(\u_m1_cmd_fifo.mem[3][6] ),
+    .CLK(clknet_7_44_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13258_ (.D(_00533_),
-    .Q(\u_m1_cmd_fifo.mem[3][21] ),
-    .CLK(clknet_7_43_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13042_ (.D(_00520_),
+    .Q(\u_m1_cmd_fifo.mem[3][7] ),
+    .CLK(clknet_7_44_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13259_ (.D(_00534_),
-    .Q(\u_m1_cmd_fifo.mem[3][22] ),
+ sky130_fd_sc_hd__dfxtp_4 _13043_ (.D(_00521_),
+    .Q(\u_m1_cmd_fifo.mem[3][8] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13044_ (.D(_00522_),
+    .Q(\u_m1_cmd_fifo.mem[3][9] ),
+    .CLK(clknet_7_34_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13045_ (.D(_00523_),
+    .Q(\u_m1_cmd_fifo.mem[3][10] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13046_ (.D(_00524_),
+    .Q(\u_m1_cmd_fifo.mem[3][11] ),
+    .CLK(clknet_7_35_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13047_ (.D(_00525_),
+    .Q(\u_m1_cmd_fifo.mem[3][12] ),
+    .CLK(clknet_7_46_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13048_ (.D(_00526_),
+    .Q(\u_m1_cmd_fifo.mem[3][13] ),
     .CLK(clknet_7_47_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13260_ (.D(_00535_),
-    .Q(\u_m1_cmd_fifo.mem[3][23] ),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13261_ (.D(_00536_),
-    .Q(\u_m1_cmd_fifo.mem[3][24] ),
-    .CLK(clknet_7_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13262_ (.D(_00537_),
-    .Q(\u_m1_cmd_fifo.mem[3][25] ),
-    .CLK(clknet_7_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13263_ (.D(_00538_),
-    .Q(\u_m1_cmd_fifo.mem[3][26] ),
-    .CLK(clknet_7_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13264_ (.D(_00539_),
-    .Q(\u_m1_cmd_fifo.mem[3][27] ),
-    .CLK(clknet_7_40_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13265_ (.D(_00540_),
-    .Q(\u_m1_cmd_fifo.mem[3][28] ),
-    .CLK(clknet_7_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13266_ (.D(_00541_),
-    .Q(\u_m1_cmd_fifo.mem[3][29] ),
+ sky130_fd_sc_hd__dfxtp_4 _13049_ (.D(_00527_),
+    .Q(\u_m1_cmd_fifo.mem[3][14] ),
     .CLK(clknet_7_42_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13267_ (.D(_00542_),
+ sky130_fd_sc_hd__dfxtp_4 _13050_ (.D(_00528_),
+    .Q(\u_m1_cmd_fifo.mem[3][15] ),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13051_ (.D(_00529_),
+    .Q(\u_m1_cmd_fifo.mem[3][16] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13052_ (.D(_00530_),
+    .Q(\u_m1_cmd_fifo.mem[3][17] ),
+    .CLK(clknet_7_47_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13053_ (.D(_00531_),
+    .Q(\u_m1_cmd_fifo.mem[3][18] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13054_ (.D(_00532_),
+    .Q(\u_m1_cmd_fifo.mem[3][19] ),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13055_ (.D(_00533_),
+    .Q(\u_m1_cmd_fifo.mem[3][20] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13056_ (.D(_00534_),
+    .Q(\u_m1_cmd_fifo.mem[3][21] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13057_ (.D(_00535_),
+    .Q(\u_m1_cmd_fifo.mem[3][22] ),
+    .CLK(clknet_7_41_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13058_ (.D(_00536_),
+    .Q(\u_m1_cmd_fifo.mem[3][23] ),
+    .CLK(clknet_7_40_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13059_ (.D(_00537_),
+    .Q(\u_m1_cmd_fifo.mem[3][24] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13060_ (.D(_00538_),
+    .Q(\u_m1_cmd_fifo.mem[3][25] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13061_ (.D(_00539_),
+    .Q(\u_m1_cmd_fifo.mem[3][26] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13062_ (.D(_00540_),
+    .Q(\u_m1_cmd_fifo.mem[3][27] ),
+    .CLK(clknet_7_45_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13063_ (.D(_00541_),
+    .Q(\u_m1_cmd_fifo.mem[3][28] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13064_ (.D(_00542_),
+    .Q(\u_m1_cmd_fifo.mem[3][29] ),
+    .CLK(clknet_7_38_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13065_ (.D(_00543_),
     .Q(\u_m1_cmd_fifo.mem[3][30] ),
-    .CLK(clknet_7_47_0_mclk),
+    .CLK(clknet_7_41_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13268_ (.D(_00543_),
+ sky130_fd_sc_hd__dfxtp_4 _13066_ (.D(_00544_),
     .Q(\u_m1_cmd_fifo.mem[3][31] ),
-    .CLK(clknet_7_47_0_mclk),
+    .CLK(clknet_7_41_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13269_ (.D(_00544_),
-    .Q(\u_m0_res_fifo.mem[6][0] ),
-    .CLK(clknet_7_66_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13270_ (.D(_00545_),
-    .Q(\u_m0_res_fifo.mem[6][1] ),
-    .CLK(clknet_7_66_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13271_ (.D(_00546_),
-    .Q(\u_m0_res_fifo.mem[6][2] ),
-    .CLK(clknet_7_66_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13272_ (.D(_00547_),
-    .Q(\u_m0_res_fifo.mem[6][3] ),
-    .CLK(clknet_7_66_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13273_ (.D(_00548_),
-    .Q(\u_m0_res_fifo.mem[6][4] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13274_ (.D(_00549_),
-    .Q(\u_m0_res_fifo.mem[6][5] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13275_ (.D(_00550_),
-    .Q(\u_m0_res_fifo.mem[6][6] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13276_ (.D(_00551_),
-    .Q(\u_m0_res_fifo.mem[6][7] ),
-    .CLK(clknet_7_64_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13277_ (.D(_00552_),
-    .Q(\u_m0_res_fifo.mem[6][8] ),
-    .CLK(clknet_7_69_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13278_ (.D(_00553_),
-    .Q(\u_m0_res_fifo.mem[6][9] ),
-    .CLK(clknet_7_69_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13279_ (.D(_00554_),
-    .Q(\u_m0_res_fifo.mem[6][10] ),
-    .CLK(clknet_7_69_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13280_ (.D(_00555_),
-    .Q(\u_m0_res_fifo.mem[6][11] ),
-    .CLK(clknet_7_69_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13281_ (.D(_00556_),
-    .Q(\u_m0_res_fifo.mem[6][12] ),
-    .CLK(clknet_7_69_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13282_ (.D(_00557_),
-    .Q(\u_m0_res_fifo.mem[6][13] ),
-    .CLK(clknet_7_80_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13283_ (.D(_00558_),
-    .Q(\u_m0_res_fifo.mem[6][14] ),
-    .CLK(clknet_7_81_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13284_ (.D(_00559_),
-    .Q(\u_m0_res_fifo.mem[6][15] ),
-    .CLK(clknet_7_81_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13285_ (.D(_00560_),
-    .Q(\u_m0_res_fifo.mem[6][16] ),
-    .CLK(clknet_7_86_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13286_ (.D(_00561_),
-    .Q(\u_m0_res_fifo.mem[6][17] ),
-    .CLK(clknet_7_86_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13287_ (.D(_00562_),
-    .Q(\u_m0_res_fifo.mem[6][18] ),
-    .CLK(clknet_7_86_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13288_ (.D(_00563_),
-    .Q(\u_m0_res_fifo.mem[6][19] ),
-    .CLK(clknet_7_86_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13289_ (.D(_00564_),
-    .Q(\u_m0_res_fifo.mem[6][20] ),
-    .CLK(clknet_7_89_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13290_ (.D(_00565_),
-    .Q(\u_m0_res_fifo.mem[6][21] ),
-    .CLK(clknet_7_89_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13291_ (.D(_00566_),
-    .Q(\u_m0_res_fifo.mem[6][22] ),
-    .CLK(clknet_7_88_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13292_ (.D(_00567_),
-    .Q(\u_m0_res_fifo.mem[6][23] ),
-    .CLK(clknet_7_88_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13293_ (.D(_00568_),
-    .Q(\u_m0_res_fifo.mem[6][24] ),
-    .CLK(clknet_7_76_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13294_ (.D(_00569_),
-    .Q(\u_m0_res_fifo.mem[6][25] ),
-    .CLK(clknet_7_76_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13295_ (.D(_00570_),
-    .Q(\u_m0_res_fifo.mem[6][26] ),
-    .CLK(clknet_7_76_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13296_ (.D(_00571_),
-    .Q(\u_m0_res_fifo.mem[6][27] ),
-    .CLK(clknet_7_76_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13297_ (.D(_00572_),
-    .Q(\u_m0_res_fifo.mem[6][28] ),
-    .CLK(clknet_7_73_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13298_ (.D(_00573_),
-    .Q(\u_m0_res_fifo.mem[6][29] ),
-    .CLK(clknet_7_73_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13299_ (.D(_00574_),
-    .Q(\u_m0_res_fifo.mem[6][30] ),
-    .CLK(clknet_7_72_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13300_ (.D(_00575_),
-    .Q(\u_m0_res_fifo.mem[6][31] ),
-    .CLK(clknet_7_72_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13301_ (.D(_00576_),
-    .Q(\u_m0_cmd_fifo.mem[0][0] ),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13302_ (.D(_00577_),
-    .Q(\u_m0_cmd_fifo.mem[0][1] ),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13303_ (.D(_00578_),
-    .Q(\u_m0_cmd_fifo.mem[0][2] ),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13304_ (.D(_00579_),
-    .Q(\u_m0_cmd_fifo.mem[0][3] ),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13305_ (.D(_00580_),
-    .Q(\u_m0_cmd_fifo.mem[0][4] ),
-    .CLK(clknet_7_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13306_ (.D(_00581_),
-    .Q(\u_m0_cmd_fifo.mem[0][5] ),
-    .CLK(clknet_7_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13307_ (.D(_00582_),
-    .Q(\u_m0_cmd_fifo.mem[0][6] ),
-    .CLK(clknet_7_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13308_ (.D(_00583_),
-    .Q(\u_m0_cmd_fifo.mem[0][7] ),
-    .CLK(clknet_7_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13309_ (.D(_00584_),
-    .Q(\u_m0_cmd_fifo.mem[0][8] ),
-    .CLK(clknet_7_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13310_ (.D(_00585_),
-    .Q(\u_m0_cmd_fifo.mem[0][9] ),
-    .CLK(clknet_7_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13311_ (.D(_00586_),
-    .Q(\u_m0_cmd_fifo.mem[0][10] ),
-    .CLK(clknet_7_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13312_ (.D(_00587_),
-    .Q(\u_m0_cmd_fifo.mem[0][11] ),
-    .CLK(clknet_7_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13313_ (.D(_00588_),
-    .Q(\u_m0_cmd_fifo.mem[0][12] ),
-    .CLK(clknet_7_54_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13314_ (.D(_00589_),
-    .Q(\u_m0_cmd_fifo.mem[0][13] ),
-    .CLK(clknet_7_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13315_ (.D(_00590_),
-    .Q(\u_m0_cmd_fifo.mem[0][14] ),
-    .CLK(clknet_7_54_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13316_ (.D(_00591_),
-    .Q(\u_m0_cmd_fifo.mem[0][15] ),
-    .CLK(clknet_7_54_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13317_ (.D(_00592_),
-    .Q(\u_m0_cmd_fifo.mem[0][16] ),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13318_ (.D(_00593_),
-    .Q(\u_m0_cmd_fifo.mem[0][17] ),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13319_ (.D(_00594_),
-    .Q(\u_m0_cmd_fifo.mem[0][18] ),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13320_ (.D(_00595_),
-    .Q(\u_m0_cmd_fifo.mem[0][19] ),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13321_ (.D(_00596_),
-    .Q(\u_m0_cmd_fifo.mem[0][20] ),
-    .CLK(clknet_7_49_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13322_ (.D(_00597_),
-    .Q(\u_m0_cmd_fifo.mem[0][21] ),
-    .CLK(clknet_7_49_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13323_ (.D(_00598_),
-    .Q(\u_m0_cmd_fifo.mem[0][22] ),
-    .CLK(clknet_7_49_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13324_ (.D(_00599_),
-    .Q(\u_m0_cmd_fifo.mem[0][23] ),
-    .CLK(clknet_7_49_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13325_ (.D(_00600_),
-    .Q(\u_m0_cmd_fifo.mem[0][24] ),
-    .CLK(clknet_7_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13326_ (.D(_00601_),
-    .Q(\u_m0_cmd_fifo.mem[0][25] ),
-    .CLK(clknet_7_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13327_ (.D(_00602_),
-    .Q(\u_m0_cmd_fifo.mem[0][26] ),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13328_ (.D(_00603_),
-    .Q(\u_m0_cmd_fifo.mem[0][27] ),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13329_ (.D(_00604_),
-    .Q(\u_m0_cmd_fifo.mem[0][28] ),
-    .CLK(clknet_7_54_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13330_ (.D(_00605_),
-    .Q(\u_m0_cmd_fifo.mem[0][29] ),
-    .CLK(clknet_7_54_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13331_ (.D(_00606_),
-    .Q(\u_m0_cmd_fifo.mem[0][30] ),
-    .CLK(clknet_7_52_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13332_ (.D(_00607_),
-    .Q(\u_m0_cmd_fifo.mem[0][31] ),
-    .CLK(clknet_7_52_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13333_ (.D(_00608_),
+ sky130_fd_sc_hd__dfxtp_4 _13067_ (.D(_00545_),
     .Q(\u_m0_cmd_fifo.mem[1][0] ),
-    .CLK(clknet_7_37_0_mclk),
+    .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13334_ (.D(_00609_),
+ sky130_fd_sc_hd__dfxtp_4 _13068_ (.D(_00546_),
     .Q(\u_m0_cmd_fifo.mem[1][1] ),
     .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13335_ (.D(_00610_),
+ sky130_fd_sc_hd__dfxtp_4 _13069_ (.D(_00547_),
     .Q(\u_m0_cmd_fifo.mem[1][2] ),
     .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13336_ (.D(_00611_),
+ sky130_fd_sc_hd__dfxtp_4 _13070_ (.D(_00548_),
     .Q(\u_m0_cmd_fifo.mem[1][3] ),
+    .CLK(clknet_7_36_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13071_ (.D(_00549_),
+    .Q(\u_m0_cmd_fifo.mem[1][4] ),
     .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13337_ (.D(_00612_),
-    .Q(\u_m0_cmd_fifo.mem[1][4] ),
-    .CLK(clknet_7_50_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13338_ (.D(_00613_),
+ sky130_fd_sc_hd__dfxtp_4 _13072_ (.D(_00550_),
     .Q(\u_m0_cmd_fifo.mem[1][5] ),
     .CLK(clknet_7_50_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13339_ (.D(_00614_),
+ sky130_fd_sc_hd__dfxtp_4 _13073_ (.D(_00551_),
     .Q(\u_m0_cmd_fifo.mem[1][6] ),
-    .CLK(clknet_7_50_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13340_ (.D(_00615_),
+ sky130_fd_sc_hd__dfxtp_4 _13074_ (.D(_00552_),
     .Q(\u_m0_cmd_fifo.mem[1][7] ),
-    .CLK(clknet_7_50_0_mclk),
+    .CLK(clknet_7_48_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13341_ (.D(_00616_),
+ sky130_fd_sc_hd__dfxtp_4 _13075_ (.D(_00553_),
     .Q(\u_m0_cmd_fifo.mem[1][8] ),
-    .CLK(clknet_7_50_0_mclk),
+    .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13342_ (.D(_00617_),
+ sky130_fd_sc_hd__dfxtp_4 _13076_ (.D(_00554_),
     .Q(\u_m0_cmd_fifo.mem[1][9] ),
     .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13343_ (.D(_00618_),
+ sky130_fd_sc_hd__dfxtp_4 _13077_ (.D(_00555_),
     .Q(\u_m0_cmd_fifo.mem[1][10] ),
     .CLK(clknet_7_51_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13344_ (.D(_00619_),
+ sky130_fd_sc_hd__dfxtp_4 _13078_ (.D(_00556_),
     .Q(\u_m0_cmd_fifo.mem[1][11] ),
-    .CLK(clknet_7_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13345_ (.D(_00620_),
-    .Q(\u_m0_cmd_fifo.mem[1][12] ),
-    .CLK(clknet_7_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13346_ (.D(_00621_),
-    .Q(\u_m0_cmd_fifo.mem[1][13] ),
-    .CLK(clknet_7_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13347_ (.D(_00622_),
-    .Q(\u_m0_cmd_fifo.mem[1][14] ),
-    .CLK(clknet_7_54_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13348_ (.D(_00623_),
-    .Q(\u_m0_cmd_fifo.mem[1][15] ),
-    .CLK(clknet_7_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13349_ (.D(_00624_),
-    .Q(\u_m0_cmd_fifo.mem[1][16] ),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13350_ (.D(_00625_),
-    .Q(\u_m0_cmd_fifo.mem[1][17] ),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13351_ (.D(_00626_),
-    .Q(\u_m0_cmd_fifo.mem[1][18] ),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13352_ (.D(_00627_),
-    .Q(\u_m0_cmd_fifo.mem[1][19] ),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13353_ (.D(_00628_),
-    .Q(\u_m0_cmd_fifo.mem[1][20] ),
-    .CLK(clknet_7_49_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13354_ (.D(_00629_),
-    .Q(\u_m0_cmd_fifo.mem[1][21] ),
-    .CLK(clknet_7_49_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13355_ (.D(_00630_),
-    .Q(\u_m0_cmd_fifo.mem[1][22] ),
-    .CLK(clknet_7_49_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13356_ (.D(_00631_),
-    .Q(\u_m0_cmd_fifo.mem[1][23] ),
-    .CLK(clknet_7_49_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13357_ (.D(_00632_),
-    .Q(\u_m0_cmd_fifo.mem[1][24] ),
-    .CLK(clknet_7_51_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13358_ (.D(_00633_),
-    .Q(\u_m0_cmd_fifo.mem[1][25] ),
     .CLK(clknet_7_50_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13359_ (.D(_00634_),
-    .Q(\u_m0_cmd_fifo.mem[1][26] ),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13360_ (.D(_00635_),
-    .Q(\u_m0_cmd_fifo.mem[1][27] ),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13361_ (.D(_00636_),
-    .Q(\u_m0_cmd_fifo.mem[1][28] ),
+ sky130_fd_sc_hd__dfxtp_4 _13079_ (.D(_00557_),
+    .Q(\u_m0_cmd_fifo.mem[1][12] ),
     .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13362_ (.D(_00637_),
+ sky130_fd_sc_hd__dfxtp_4 _13080_ (.D(_00558_),
+    .Q(\u_m0_cmd_fifo.mem[1][13] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13081_ (.D(_00559_),
+    .Q(\u_m0_cmd_fifo.mem[1][14] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13082_ (.D(_00560_),
+    .Q(\u_m0_cmd_fifo.mem[1][15] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13083_ (.D(_00561_),
+    .Q(\u_m0_cmd_fifo.mem[1][16] ),
+    .CLK(clknet_7_14_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13084_ (.D(_00562_),
+    .Q(\u_m0_cmd_fifo.mem[1][17] ),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13085_ (.D(_00563_),
+    .Q(\u_m0_cmd_fifo.mem[1][18] ),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13086_ (.D(_00564_),
+    .Q(\u_m0_cmd_fifo.mem[1][19] ),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13087_ (.D(_00565_),
+    .Q(\u_m0_cmd_fifo.mem[1][20] ),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13088_ (.D(_00566_),
+    .Q(\u_m0_cmd_fifo.mem[1][21] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13089_ (.D(_00567_),
+    .Q(\u_m0_cmd_fifo.mem[1][22] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13090_ (.D(_00568_),
+    .Q(\u_m0_cmd_fifo.mem[1][23] ),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13091_ (.D(_00569_),
+    .Q(\u_m0_cmd_fifo.mem[1][24] ),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13092_ (.D(_00570_),
+    .Q(\u_m0_cmd_fifo.mem[1][25] ),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13093_ (.D(_00571_),
+    .Q(\u_m0_cmd_fifo.mem[1][26] ),
+    .CLK(clknet_7_52_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13094_ (.D(_00572_),
+    .Q(\u_m0_cmd_fifo.mem[1][27] ),
+    .CLK(clknet_7_52_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13095_ (.D(_00573_),
+    .Q(\u_m0_cmd_fifo.mem[1][28] ),
+    .CLK(clknet_7_52_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13096_ (.D(_00574_),
     .Q(\u_m0_cmd_fifo.mem[1][29] ),
-    .CLK(clknet_7_54_0_mclk),
+    .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13363_ (.D(_00638_),
+ sky130_fd_sc_hd__dfxtp_4 _13097_ (.D(_00575_),
     .Q(\u_m0_cmd_fifo.mem[1][30] ),
-    .CLK(clknet_7_52_0_mclk),
+    .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13364_ (.D(_00639_),
+ sky130_fd_sc_hd__dfxtp_4 _13098_ (.D(_00576_),
     .Q(\u_m0_cmd_fifo.mem[1][31] ),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13099_ (.D(_00577_),
+    .Q(\u_m0_cmd_fifo.mem[0][0] ),
+    .CLK(clknet_7_36_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13100_ (.D(_00578_),
+    .Q(\u_m0_cmd_fifo.mem[0][1] ),
+    .CLK(clknet_7_36_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13101_ (.D(_00579_),
+    .Q(\u_m0_cmd_fifo.mem[0][2] ),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13102_ (.D(_00580_),
+    .Q(\u_m0_cmd_fifo.mem[0][3] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13103_ (.D(_00581_),
+    .Q(\u_m0_cmd_fifo.mem[0][4] ),
+    .CLK(clknet_7_39_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13104_ (.D(_00582_),
+    .Q(\u_m0_cmd_fifo.mem[0][5] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13105_ (.D(_00583_),
+    .Q(\u_m0_cmd_fifo.mem[0][6] ),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13106_ (.D(_00584_),
+    .Q(\u_m0_cmd_fifo.mem[0][7] ),
+    .CLK(clknet_7_49_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13107_ (.D(_00585_),
+    .Q(\u_m0_cmd_fifo.mem[0][8] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13108_ (.D(_00586_),
+    .Q(\u_m0_cmd_fifo.mem[0][9] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13109_ (.D(_00587_),
+    .Q(\u_m0_cmd_fifo.mem[0][10] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13110_ (.D(_00588_),
+    .Q(\u_m0_cmd_fifo.mem[0][11] ),
+    .CLK(clknet_7_50_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13111_ (.D(_00589_),
+    .Q(\u_m0_cmd_fifo.mem[0][12] ),
+    .CLK(clknet_7_49_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13112_ (.D(_00590_),
+    .Q(\u_m0_cmd_fifo.mem[0][13] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13113_ (.D(_00591_),
+    .Q(\u_m0_cmd_fifo.mem[0][14] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13114_ (.D(_00592_),
+    .Q(\u_m0_cmd_fifo.mem[0][15] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13115_ (.D(_00593_),
+    .Q(\u_m0_cmd_fifo.mem[0][16] ),
+    .CLK(clknet_7_14_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13116_ (.D(_00594_),
+    .Q(\u_m0_cmd_fifo.mem[0][17] ),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13117_ (.D(_00595_),
+    .Q(\u_m0_cmd_fifo.mem[0][18] ),
+    .CLK(clknet_7_36_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13118_ (.D(_00596_),
+    .Q(\u_m0_cmd_fifo.mem[0][19] ),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13119_ (.D(_00597_),
+    .Q(\u_m0_cmd_fifo.mem[0][20] ),
+    .CLK(clknet_7_37_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13120_ (.D(_00598_),
+    .Q(\u_m0_cmd_fifo.mem[0][21] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13121_ (.D(_00599_),
+    .Q(\u_m0_cmd_fifo.mem[0][22] ),
+    .CLK(clknet_7_48_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13122_ (.D(_00600_),
+    .Q(\u_m0_cmd_fifo.mem[0][23] ),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13123_ (.D(_00601_),
+    .Q(\u_m0_cmd_fifo.mem[0][24] ),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13124_ (.D(_00602_),
+    .Q(\u_m0_cmd_fifo.mem[0][25] ),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13125_ (.D(_00603_),
+    .Q(\u_m0_cmd_fifo.mem[0][26] ),
     .CLK(clknet_7_52_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13365_ (.D(_00640_),
-    .Q(\u_m0_res_fifo.mem[2][0] ),
-    .CLK(clknet_7_67_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13126_ (.D(_00604_),
+    .Q(\u_m0_cmd_fifo.mem[0][27] ),
+    .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13366_ (.D(_00641_),
-    .Q(\u_m0_res_fifo.mem[2][1] ),
-    .CLK(clknet_7_67_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13127_ (.D(_00605_),
+    .Q(\u_m0_cmd_fifo.mem[0][28] ),
+    .CLK(clknet_7_52_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13367_ (.D(_00642_),
-    .Q(\u_m0_res_fifo.mem[2][2] ),
-    .CLK(clknet_7_67_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13128_ (.D(_00606_),
+    .Q(\u_m0_cmd_fifo.mem[0][29] ),
+    .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13368_ (.D(_00643_),
-    .Q(\u_m0_res_fifo.mem[2][3] ),
-    .CLK(clknet_7_67_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13129_ (.D(_00607_),
+    .Q(\u_m0_cmd_fifo.mem[0][30] ),
+    .CLK(clknet_7_49_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13369_ (.D(_00644_),
-    .Q(\u_m0_res_fifo.mem[2][4] ),
-    .CLK(clknet_7_65_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13130_ (.D(_00608_),
+    .Q(\u_m0_cmd_fifo.mem[0][31] ),
+    .CLK(clknet_7_27_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13370_ (.D(_00645_),
-    .Q(\u_m0_res_fifo.mem[2][5] ),
-    .CLK(clknet_7_68_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13131_ (.D(_00609_),
+    .Q(\u_m0_res_fifo.mem[3][0] ),
+    .CLK(clknet_7_86_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13371_ (.D(_00646_),
-    .Q(\u_m0_res_fifo.mem[2][6] ),
-    .CLK(clknet_7_68_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13132_ (.D(_00610_),
+    .Q(\u_m0_res_fifo.mem[3][1] ),
+    .CLK(clknet_7_83_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13372_ (.D(_00647_),
-    .Q(\u_m0_res_fifo.mem[2][7] ),
-    .CLK(clknet_7_68_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13373_ (.D(_00648_),
-    .Q(\u_m0_res_fifo.mem[2][8] ),
-    .CLK(clknet_7_81_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13374_ (.D(_00649_),
-    .Q(\u_m0_res_fifo.mem[2][9] ),
-    .CLK(clknet_7_81_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13375_ (.D(_00650_),
-    .Q(\u_m0_res_fifo.mem[2][10] ),
-    .CLK(clknet_7_81_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13376_ (.D(_00651_),
-    .Q(\u_m0_res_fifo.mem[2][11] ),
-    .CLK(clknet_7_81_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13377_ (.D(_00652_),
-    .Q(\u_m0_res_fifo.mem[2][12] ),
-    .CLK(clknet_7_84_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13378_ (.D(_00653_),
-    .Q(\u_m0_res_fifo.mem[2][13] ),
-    .CLK(clknet_7_84_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13379_ (.D(_00654_),
-    .Q(\u_m0_res_fifo.mem[2][14] ),
-    .CLK(clknet_7_84_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13380_ (.D(_00655_),
-    .Q(\u_m0_res_fifo.mem[2][15] ),
-    .CLK(clknet_7_85_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13381_ (.D(_00656_),
-    .Q(\u_m0_res_fifo.mem[2][16] ),
-    .CLK(clknet_7_87_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13382_ (.D(_00657_),
-    .Q(\u_m0_res_fifo.mem[2][17] ),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13383_ (.D(_00658_),
-    .Q(\u_m0_res_fifo.mem[2][18] ),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13384_ (.D(_00659_),
-    .Q(\u_m0_res_fifo.mem[2][19] ),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13385_ (.D(_00660_),
-    .Q(\u_m0_res_fifo.mem[2][20] ),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13386_ (.D(_00661_),
-    .Q(\u_m0_res_fifo.mem[2][21] ),
+ sky130_fd_sc_hd__dfxtp_4 _13133_ (.D(_00611_),
+    .Q(\u_m0_res_fifo.mem[3][2] ),
     .CLK(clknet_7_89_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13387_ (.D(_00662_),
-    .Q(\u_m0_res_fifo.mem[2][22] ),
-    .CLK(clknet_7_92_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13134_ (.D(_00612_),
+    .Q(\u_m0_res_fifo.mem[3][3] ),
+    .CLK(clknet_7_89_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13388_ (.D(_00663_),
-    .Q(\u_m0_res_fifo.mem[2][23] ),
-    .CLK(clknet_7_92_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13135_ (.D(_00613_),
+    .Q(\u_m0_res_fifo.mem[3][4] ),
+    .CLK(clknet_7_88_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13389_ (.D(_00664_),
-    .Q(\u_m0_res_fifo.mem[2][24] ),
-    .CLK(clknet_7_82_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13136_ (.D(_00614_),
+    .Q(\u_m0_res_fifo.mem[3][5] ),
+    .CLK(clknet_7_88_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13390_ (.D(_00665_),
-    .Q(\u_m0_res_fifo.mem[2][25] ),
-    .CLK(clknet_7_77_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13137_ (.D(_00615_),
+    .Q(\u_m0_res_fifo.mem[3][6] ),
+    .CLK(clknet_7_90_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13391_ (.D(_00666_),
-    .Q(\u_m0_res_fifo.mem[2][26] ),
-    .CLK(clknet_7_77_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13138_ (.D(_00616_),
+    .Q(\u_m0_res_fifo.mem[3][7] ),
+    .CLK(clknet_7_90_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13392_ (.D(_00667_),
-    .Q(\u_m0_res_fifo.mem[2][27] ),
-    .CLK(clknet_7_77_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13139_ (.D(_00617_),
+    .Q(\u_m0_res_fifo.mem[3][8] ),
+    .CLK(clknet_7_79_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13393_ (.D(_00668_),
-    .Q(\u_m0_res_fifo.mem[2][28] ),
+ sky130_fd_sc_hd__dfxtp_4 _13140_ (.D(_00618_),
+    .Q(\u_m0_res_fifo.mem[3][9] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13141_ (.D(_00619_),
+    .Q(\u_m0_res_fifo.mem[3][10] ),
     .CLK(clknet_7_76_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13394_ (.D(_00669_),
-    .Q(\u_m0_res_fifo.mem[2][29] ),
-    .CLK(clknet_7_72_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13142_ (.D(_00620_),
+    .Q(\u_m0_res_fifo.mem[3][11] ),
+    .CLK(clknet_7_78_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13395_ (.D(_00670_),
-    .Q(\u_m0_res_fifo.mem[2][30] ),
-    .CLK(clknet_7_72_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13143_ (.D(_00621_),
+    .Q(\u_m0_res_fifo.mem[3][12] ),
+    .CLK(clknet_7_76_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13396_ (.D(_00671_),
-    .Q(\u_m0_res_fifo.mem[2][31] ),
-    .CLK(clknet_7_72_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13144_ (.D(_00622_),
+    .Q(\u_m0_res_fifo.mem[3][13] ),
+    .CLK(clknet_7_76_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13397_ (.D(_00672_),
+ sky130_fd_sc_hd__dfxtp_4 _13145_ (.D(_00623_),
+    .Q(\u_m0_res_fifo.mem[3][14] ),
+    .CLK(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13146_ (.D(_00624_),
+    .Q(\u_m0_res_fifo.mem[3][15] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13147_ (.D(_00625_),
+    .Q(\u_m0_res_fifo.mem[3][16] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13148_ (.D(_00626_),
+    .Q(\u_m0_res_fifo.mem[3][17] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13149_ (.D(_00627_),
+    .Q(\u_m0_res_fifo.mem[3][18] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13150_ (.D(_00628_),
+    .Q(\u_m0_res_fifo.mem[3][19] ),
+    .CLK(clknet_7_67_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13151_ (.D(_00629_),
+    .Q(\u_m0_res_fifo.mem[3][20] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13152_ (.D(_00630_),
+    .Q(\u_m0_res_fifo.mem[3][21] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13153_ (.D(_00631_),
+    .Q(\u_m0_res_fifo.mem[3][22] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13154_ (.D(_00632_),
+    .Q(\u_m0_res_fifo.mem[3][23] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13155_ (.D(_00633_),
+    .Q(\u_m0_res_fifo.mem[3][24] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13156_ (.D(_00634_),
+    .Q(\u_m0_res_fifo.mem[3][25] ),
+    .CLK(clknet_7_81_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13157_ (.D(_00635_),
+    .Q(\u_m0_res_fifo.mem[3][26] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13158_ (.D(_00636_),
+    .Q(\u_m0_res_fifo.mem[3][27] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13159_ (.D(_00637_),
+    .Q(\u_m0_res_fifo.mem[3][28] ),
+    .CLK(clknet_7_86_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13160_ (.D(_00638_),
+    .Q(\u_m0_res_fifo.mem[3][29] ),
+    .CLK(clknet_7_86_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13161_ (.D(_00639_),
+    .Q(\u_m0_res_fifo.mem[3][30] ),
+    .CLK(clknet_7_86_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13162_ (.D(_00640_),
+    .Q(\u_m0_res_fifo.mem[3][31] ),
+    .CLK(clknet_7_86_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13163_ (.D(_00641_),
     .Q(\u_spim_regs.cfg_m1_addr[8] ),
-    .CLK(clknet_7_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13398_ (.D(_00673_),
-    .Q(\u_spim_regs.cfg_m1_addr[9] ),
-    .CLK(clknet_7_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13399_ (.D(_00674_),
-    .Q(\u_spim_regs.cfg_m1_addr[10] ),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13400_ (.D(_00675_),
-    .Q(\u_spim_regs.cfg_m1_addr[11] ),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13401_ (.D(_00676_),
-    .Q(\u_spim_regs.cfg_m1_addr[12] ),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13402_ (.D(_00677_),
-    .Q(\u_spim_regs.cfg_m1_addr[13] ),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13403_ (.D(_00678_),
-    .Q(\u_spim_regs.cfg_m1_addr[14] ),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13404_ (.D(_00679_),
-    .Q(\u_spim_regs.cfg_m1_addr[15] ),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13405_ (.D(_00680_),
-    .Q(\u_m0_res_fifo.mem[1][0] ),
-    .CLK(clknet_7_71_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13406_ (.D(_00681_),
-    .Q(\u_m0_res_fifo.mem[1][1] ),
-    .CLK(clknet_7_70_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13407_ (.D(_00682_),
-    .Q(\u_m0_res_fifo.mem[1][2] ),
-    .CLK(clknet_7_70_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13408_ (.D(_00683_),
-    .Q(\u_m0_res_fifo.mem[1][3] ),
-    .CLK(clknet_7_70_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13409_ (.D(_00684_),
-    .Q(\u_m0_res_fifo.mem[1][4] ),
-    .CLK(clknet_7_70_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13410_ (.D(_00685_),
-    .Q(\u_m0_res_fifo.mem[1][5] ),
-    .CLK(clknet_7_69_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13411_ (.D(_00686_),
-    .Q(\u_m0_res_fifo.mem[1][6] ),
-    .CLK(clknet_7_68_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13412_ (.D(_00687_),
-    .Q(\u_m0_res_fifo.mem[1][7] ),
-    .CLK(clknet_7_68_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13413_ (.D(_00688_),
-    .Q(\u_m0_res_fifo.mem[1][8] ),
-    .CLK(clknet_7_84_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13414_ (.D(_00689_),
-    .Q(\u_m0_res_fifo.mem[1][9] ),
-    .CLK(clknet_7_84_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13415_ (.D(_00690_),
-    .Q(\u_m0_res_fifo.mem[1][10] ),
-    .CLK(clknet_7_84_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13416_ (.D(_00691_),
-    .Q(\u_m0_res_fifo.mem[1][11] ),
-    .CLK(clknet_7_85_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13417_ (.D(_00692_),
-    .Q(\u_m0_res_fifo.mem[1][12] ),
-    .CLK(clknet_7_85_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13418_ (.D(_00693_),
-    .Q(\u_m0_res_fifo.mem[1][13] ),
-    .CLK(clknet_7_85_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13419_ (.D(_00694_),
-    .Q(\u_m0_res_fifo.mem[1][14] ),
-    .CLK(clknet_7_87_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13420_ (.D(_00695_),
-    .Q(\u_m0_res_fifo.mem[1][15] ),
-    .CLK(clknet_7_87_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13421_ (.D(_00696_),
-    .Q(\u_m0_res_fifo.mem[1][16] ),
-    .CLK(clknet_7_87_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13422_ (.D(_00697_),
-    .Q(\u_m0_res_fifo.mem[1][17] ),
-    .CLK(clknet_7_93_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13423_ (.D(_00698_),
-    .Q(\u_m0_res_fifo.mem[1][18] ),
-    .CLK(clknet_7_93_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13424_ (.D(_00699_),
-    .Q(\u_m0_res_fifo.mem[1][19] ),
-    .CLK(clknet_7_93_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13425_ (.D(_00700_),
-    .Q(\u_m0_res_fifo.mem[1][20] ),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13426_ (.D(_00701_),
-    .Q(\u_m0_res_fifo.mem[1][21] ),
-    .CLK(clknet_7_92_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13427_ (.D(_00702_),
-    .Q(\u_m0_res_fifo.mem[1][22] ),
-    .CLK(clknet_7_94_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13428_ (.D(_00703_),
-    .Q(\u_m0_res_fifo.mem[1][23] ),
-    .CLK(clknet_7_93_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13429_ (.D(_00704_),
-    .Q(\u_m0_res_fifo.mem[1][24] ),
-    .CLK(clknet_7_82_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13430_ (.D(_00705_),
-    .Q(\u_m0_res_fifo.mem[1][25] ),
-    .CLK(clknet_7_77_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13431_ (.D(_00706_),
-    .Q(\u_m0_res_fifo.mem[1][26] ),
-    .CLK(clknet_7_77_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13432_ (.D(_00707_),
-    .Q(\u_m0_res_fifo.mem[1][27] ),
-    .CLK(clknet_7_77_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13433_ (.D(_00708_),
-    .Q(\u_m0_res_fifo.mem[1][28] ),
-    .CLK(clknet_7_76_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13434_ (.D(_00709_),
-    .Q(\u_m0_res_fifo.mem[1][29] ),
-    .CLK(clknet_7_73_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13435_ (.D(_00710_),
-    .Q(\u_m0_res_fifo.mem[1][30] ),
-    .CLK(clknet_7_73_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13436_ (.D(_00711_),
-    .Q(\u_m0_res_fifo.mem[1][31] ),
-    .CLK(clknet_7_73_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13437_ (.D(_00712_),
-    .Q(\u_m0_res_fifo.mem[0][0] ),
-    .CLK(clknet_7_71_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13438_ (.D(_00713_),
-    .Q(\u_m0_res_fifo.mem[0][1] ),
-    .CLK(clknet_7_70_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13439_ (.D(_00714_),
-    .Q(\u_m0_res_fifo.mem[0][2] ),
-    .CLK(clknet_7_70_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13440_ (.D(_00715_),
-    .Q(\u_m0_res_fifo.mem[0][3] ),
-    .CLK(clknet_7_70_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13441_ (.D(_00716_),
-    .Q(\u_m0_res_fifo.mem[0][4] ),
-    .CLK(clknet_7_68_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13442_ (.D(_00717_),
-    .Q(\u_m0_res_fifo.mem[0][5] ),
-    .CLK(clknet_7_69_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13443_ (.D(_00718_),
-    .Q(\u_m0_res_fifo.mem[0][6] ),
-    .CLK(clknet_7_69_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13444_ (.D(_00719_),
-    .Q(\u_m0_res_fifo.mem[0][7] ),
-    .CLK(clknet_7_68_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13445_ (.D(_00720_),
-    .Q(\u_m0_res_fifo.mem[0][8] ),
-    .CLK(clknet_7_84_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13446_ (.D(_00721_),
-    .Q(\u_m0_res_fifo.mem[0][9] ),
-    .CLK(clknet_7_84_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13447_ (.D(_00722_),
-    .Q(\u_m0_res_fifo.mem[0][10] ),
-    .CLK(clknet_7_84_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13448_ (.D(_00723_),
-    .Q(\u_m0_res_fifo.mem[0][11] ),
-    .CLK(clknet_7_84_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13449_ (.D(_00724_),
-    .Q(\u_m0_res_fifo.mem[0][12] ),
-    .CLK(clknet_7_85_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13450_ (.D(_00725_),
-    .Q(\u_m0_res_fifo.mem[0][13] ),
-    .CLK(clknet_7_85_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13451_ (.D(_00726_),
-    .Q(\u_m0_res_fifo.mem[0][14] ),
-    .CLK(clknet_7_85_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13452_ (.D(_00727_),
-    .Q(\u_m0_res_fifo.mem[0][15] ),
-    .CLK(clknet_7_85_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13453_ (.D(_00728_),
-    .Q(\u_m0_res_fifo.mem[0][16] ),
-    .CLK(clknet_7_87_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13454_ (.D(_00729_),
-    .Q(\u_m0_res_fifo.mem[0][17] ),
-    .CLK(clknet_7_87_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13455_ (.D(_00730_),
-    .Q(\u_m0_res_fifo.mem[0][18] ),
-    .CLK(clknet_7_93_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13456_ (.D(_00731_),
-    .Q(\u_m0_res_fifo.mem[0][19] ),
-    .CLK(clknet_7_93_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13457_ (.D(_00732_),
-    .Q(\u_m0_res_fifo.mem[0][20] ),
-    .CLK(clknet_7_93_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13458_ (.D(_00733_),
-    .Q(\u_m0_res_fifo.mem[0][21] ),
-    .CLK(clknet_7_93_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13459_ (.D(_00734_),
-    .Q(\u_m0_res_fifo.mem[0][22] ),
-    .CLK(clknet_7_93_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13460_ (.D(_00735_),
-    .Q(\u_m0_res_fifo.mem[0][23] ),
-    .CLK(clknet_7_93_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13461_ (.D(_00736_),
-    .Q(\u_m0_res_fifo.mem[0][24] ),
-    .CLK(clknet_7_82_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13462_ (.D(_00737_),
-    .Q(\u_m0_res_fifo.mem[0][25] ),
-    .CLK(clknet_7_71_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13463_ (.D(_00738_),
-    .Q(\u_m0_res_fifo.mem[0][26] ),
-    .CLK(clknet_7_71_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13464_ (.D(_00739_),
-    .Q(\u_m0_res_fifo.mem[0][27] ),
-    .CLK(clknet_7_71_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13465_ (.D(_00740_),
-    .Q(\u_m0_res_fifo.mem[0][28] ),
-    .CLK(clknet_7_76_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13466_ (.D(_00741_),
-    .Q(\u_m0_res_fifo.mem[0][29] ),
-    .CLK(clknet_7_73_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13467_ (.D(_00742_),
-    .Q(\u_m0_res_fifo.mem[0][30] ),
-    .CLK(clknet_7_73_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13468_ (.D(_00743_),
-    .Q(\u_m0_res_fifo.mem[0][31] ),
-    .CLK(clknet_7_73_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13469_ (.D(_00744_),
-    .Q(\u_m1_res_fifo.mem[7][0] ),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13470_ (.D(_00745_),
-    .Q(\u_m1_res_fifo.mem[7][1] ),
-    .CLK(clknet_7_117_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13471_ (.D(_00746_),
-    .Q(\u_m1_res_fifo.mem[7][2] ),
-    .CLK(clknet_7_95_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13472_ (.D(_00747_),
-    .Q(\u_m1_res_fifo.mem[7][3] ),
-    .CLK(clknet_7_95_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13473_ (.D(_00748_),
-    .Q(\u_m1_res_fifo.mem[7][4] ),
-    .CLK(clknet_7_100_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13474_ (.D(_00749_),
-    .Q(\u_m1_res_fifo.mem[7][5] ),
-    .CLK(clknet_7_101_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13475_ (.D(_00750_),
-    .Q(\u_m1_res_fifo.mem[7][6] ),
-    .CLK(clknet_7_100_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13476_ (.D(_00751_),
-    .Q(\u_m1_res_fifo.mem[7][7] ),
-    .CLK(clknet_7_100_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13477_ (.D(_00752_),
-    .Q(\u_m1_res_fifo.mem[7][8] ),
-    .CLK(clknet_7_112_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13478_ (.D(_00753_),
-    .Q(\u_m1_res_fifo.mem[7][9] ),
-    .CLK(clknet_7_90_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13479_ (.D(_00754_),
-    .Q(\u_m1_res_fifo.mem[7][10] ),
-    .CLK(clknet_7_90_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13480_ (.D(_00755_),
-    .Q(\u_m1_res_fifo.mem[7][11] ),
-    .CLK(clknet_7_90_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13481_ (.D(_00756_),
-    .Q(\u_m1_res_fifo.mem[7][12] ),
-    .CLK(clknet_7_94_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13482_ (.D(_00757_),
-    .Q(\u_m1_res_fifo.mem[7][13] ),
-    .CLK(clknet_7_113_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13483_ (.D(_00758_),
-    .Q(\u_m1_res_fifo.mem[7][14] ),
-    .CLK(clknet_7_113_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13484_ (.D(_00759_),
-    .Q(\u_m1_res_fifo.mem[7][15] ),
-    .CLK(clknet_7_113_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13485_ (.D(_00760_),
-    .Q(\u_m1_res_fifo.mem[7][16] ),
-    .CLK(clknet_7_118_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13486_ (.D(_00761_),
-    .Q(\u_m1_res_fifo.mem[7][17] ),
-    .CLK(clknet_7_118_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13487_ (.D(_00762_),
-    .Q(\u_m1_res_fifo.mem[7][18] ),
-    .CLK(clknet_7_118_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13488_ (.D(_00763_),
-    .Q(\u_m1_res_fifo.mem[7][19] ),
-    .CLK(clknet_7_115_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13489_ (.D(_00764_),
-    .Q(\u_m1_res_fifo.mem[7][20] ),
-    .CLK(clknet_7_126_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13490_ (.D(_00765_),
-    .Q(\u_m1_res_fifo.mem[7][21] ),
-    .CLK(clknet_7_123_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13491_ (.D(_00766_),
-    .Q(\u_m1_res_fifo.mem[7][22] ),
-    .CLK(clknet_7_123_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13492_ (.D(_00767_),
-    .Q(\u_m1_res_fifo.mem[7][23] ),
-    .CLK(clknet_7_126_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13493_ (.D(_00768_),
-    .Q(\u_m1_res_fifo.mem[7][24] ),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13494_ (.D(_00769_),
-    .Q(\u_m1_res_fifo.mem[7][25] ),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13495_ (.D(_00770_),
-    .Q(\u_m1_res_fifo.mem[7][26] ),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13496_ (.D(_00771_),
-    .Q(\u_m1_res_fifo.mem[7][27] ),
-    .CLK(clknet_7_122_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13497_ (.D(_00772_),
-    .Q(\u_m1_res_fifo.mem[7][28] ),
-    .CLK(clknet_7_121_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13498_ (.D(_00773_),
-    .Q(\u_m1_res_fifo.mem[7][29] ),
-    .CLK(clknet_7_120_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13499_ (.D(_00774_),
-    .Q(\u_m1_res_fifo.mem[7][30] ),
-    .CLK(clknet_7_121_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13500_ (.D(_00775_),
-    .Q(\u_m1_res_fifo.mem[7][31] ),
-    .CLK(clknet_7_121_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13501_ (.D(_00061_),
-    .Q(\u_spictrl.tx_data_ready ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13502_ (.D(_00008_),
-    .Q(\u_spictrl.u_txreg.tx_CS[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13503_ (.D(_00062_),
-    .Q(\u_spictrl.u_txreg.data_ready_f ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13504_ (.D(_00025_),
-    .Q(\u_spictrl.spi_fall ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_55_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13505_ (.D(_00017_),
-    .Q(\u_spictrl.spi_rise ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13506_ (.D(_00019_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13507_ (.D(_00020_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13508_ (.D(_00021_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13509_ (.D(_00022_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13510_ (.D(_00023_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_96_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13511_ (.D(_00024_),
-    .Q(\u_spictrl.u_clkgen.clk_cnt[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_74_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13512_ (.D(_00002_),
-    .Q(\u_spictrl.u_rxreg.rx_CS[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_104_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13513_ (.D(_00003_),
-    .Q(\u_spictrl.u_rxreg.rx_CS[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_110_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13514_ (.D(_00004_),
-    .Q(\u_spictrl.u_rxreg.rx_CS[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_107_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13515_ (.D(_00005_),
-    .Q(\u_spictrl.u_rxreg.rx_CS[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_110_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13516_ (.D(_00018_),
-    .Q(\u_spictrl.spi_csn0 ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13517_ (.D(_00063_),
-    .Q(\u_spim_regs.spi_init_done ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13518_ (.D(_00009_),
-    .Q(\u_spim_regs.spi_init_state[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_2_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13519_ (.D(_00010_),
-    .Q(\u_spim_regs.spi_init_state[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13520_ (.D(_00011_),
-    .Q(\u_spim_regs.spi_init_state[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13521_ (.D(_00012_),
-    .Q(\u_spim_regs.spi_init_state[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13522_ (.D(_00013_),
-    .Q(\u_spim_regs.spi_init_state[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13523_ (.D(_00014_),
-    .Q(\u_spim_regs.spi_init_state[5] ),
-    .RESET_B(rst_n),
     .CLK(clknet_7_12_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13524_ (.D(_00015_),
-    .Q(\u_spim_regs.spi_init_state[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13164_ (.D(_00642_),
+    .Q(\u_spim_regs.cfg_m1_addr[9] ),
+    .CLK(clknet_7_12_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13525_ (.D(_00016_),
-    .Q(\u_spim_regs.spi_init_state[7] ),
-    .RESET_B(rst_n),
+ sky130_fd_sc_hd__dfxtp_4 _13165_ (.D(_00643_),
+    .Q(\u_spim_regs.cfg_m1_addr[10] ),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13166_ (.D(_00644_),
+    .Q(\u_spim_regs.cfg_m1_addr[11] ),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13167_ (.D(_00645_),
+    .Q(\u_spim_regs.cfg_m1_addr[12] ),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13168_ (.D(_00646_),
+    .Q(\u_spim_regs.cfg_m1_addr[13] ),
     .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13526_ (.D(_00006_),
-    .Q(\u_spictrl.u_txreg.tx_CS[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_63_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13169_ (.D(_00647_),
+    .Q(\u_spim_regs.cfg_m1_addr[14] ),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13527_ (.D(_00007_),
-    .Q(\u_spictrl.u_txreg.tx_CS[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_63_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13170_ (.D(_00648_),
+    .Q(\u_spim_regs.cfg_m1_addr[15] ),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13528_ (.D(_00000_),
-    .Q(\u_spictrl.gnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_55_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13171_ (.D(_00649_),
+    .Q(\u_m0_res_fifo.mem[2][0] ),
+    .CLK(clknet_7_89_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13529_ (.D(_00001_),
-    .Q(\u_spictrl.gnt[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_57_0_mclk),
+ sky130_fd_sc_hd__dfxtp_4 _13172_ (.D(_00650_),
+    .Q(\u_m0_res_fifo.mem[2][1] ),
+    .CLK(clknet_7_89_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13530_ (.D(_00058_),
+ sky130_fd_sc_hd__dfxtp_4 _13173_ (.D(_00651_),
+    .Q(\u_m0_res_fifo.mem[2][2] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13174_ (.D(_00652_),
+    .Q(\u_m0_res_fifo.mem[2][3] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13175_ (.D(_00653_),
+    .Q(\u_m0_res_fifo.mem[2][4] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13176_ (.D(_00654_),
+    .Q(\u_m0_res_fifo.mem[2][5] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13177_ (.D(_00655_),
+    .Q(\u_m0_res_fifo.mem[2][6] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13178_ (.D(_00656_),
+    .Q(\u_m0_res_fifo.mem[2][7] ),
+    .CLK(clknet_7_89_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13179_ (.D(_00657_),
+    .Q(\u_m0_res_fifo.mem[2][8] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13180_ (.D(_00658_),
+    .Q(\u_m0_res_fifo.mem[2][9] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13181_ (.D(_00659_),
+    .Q(\u_m0_res_fifo.mem[2][10] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13182_ (.D(_00660_),
+    .Q(\u_m0_res_fifo.mem[2][11] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13183_ (.D(_00661_),
+    .Q(\u_m0_res_fifo.mem[2][12] ),
+    .CLK(clknet_7_76_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13184_ (.D(_00662_),
+    .Q(\u_m0_res_fifo.mem[2][13] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13185_ (.D(_00663_),
+    .Q(\u_m0_res_fifo.mem[2][14] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13186_ (.D(_00664_),
+    .Q(\u_m0_res_fifo.mem[2][15] ),
+    .CLK(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13187_ (.D(_00665_),
+    .Q(\u_m0_res_fifo.mem[2][16] ),
+    .CLK(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13188_ (.D(_00666_),
+    .Q(\u_m0_res_fifo.mem[2][17] ),
+    .CLK(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13189_ (.D(_00667_),
+    .Q(\u_m0_res_fifo.mem[2][18] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13190_ (.D(_00668_),
+    .Q(\u_m0_res_fifo.mem[2][19] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13191_ (.D(_00669_),
+    .Q(\u_m0_res_fifo.mem[2][20] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13192_ (.D(_00670_),
+    .Q(\u_m0_res_fifo.mem[2][21] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13193_ (.D(_00671_),
+    .Q(\u_m0_res_fifo.mem[2][22] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13194_ (.D(_00672_),
+    .Q(\u_m0_res_fifo.mem[2][23] ),
+    .CLK(clknet_7_65_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13195_ (.D(_00673_),
+    .Q(\u_m0_res_fifo.mem[2][24] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13196_ (.D(_00674_),
+    .Q(\u_m0_res_fifo.mem[2][25] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13197_ (.D(_00675_),
+    .Q(\u_m0_res_fifo.mem[2][26] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13198_ (.D(_00676_),
+    .Q(\u_m0_res_fifo.mem[2][27] ),
+    .CLK(clknet_7_84_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13199_ (.D(_00677_),
+    .Q(\u_m0_res_fifo.mem[2][28] ),
+    .CLK(clknet_7_86_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13200_ (.D(_00678_),
+    .Q(\u_m0_res_fifo.mem[2][29] ),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13201_ (.D(_00679_),
+    .Q(\u_m0_res_fifo.mem[2][30] ),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13202_ (.D(_00680_),
+    .Q(\u_m0_res_fifo.mem[2][31] ),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13203_ (.D(_00681_),
+    .Q(\u_m0_res_fifo.mem[1][0] ),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13204_ (.D(_00682_),
+    .Q(\u_m0_res_fifo.mem[1][1] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13205_ (.D(_00683_),
+    .Q(\u_m0_res_fifo.mem[1][2] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13206_ (.D(_00684_),
+    .Q(\u_m0_res_fifo.mem[1][3] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13207_ (.D(_00685_),
+    .Q(\u_m0_res_fifo.mem[1][4] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13208_ (.D(_00686_),
+    .Q(\u_m0_res_fifo.mem[1][5] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13209_ (.D(_00687_),
+    .Q(\u_m0_res_fifo.mem[1][6] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13210_ (.D(_00688_),
+    .Q(\u_m0_res_fifo.mem[1][7] ),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13211_ (.D(_00689_),
+    .Q(\u_m0_res_fifo.mem[1][8] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13212_ (.D(_00690_),
+    .Q(\u_m0_res_fifo.mem[1][9] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13213_ (.D(_00691_),
+    .Q(\u_m0_res_fifo.mem[1][10] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13214_ (.D(_00692_),
+    .Q(\u_m0_res_fifo.mem[1][11] ),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13215_ (.D(_00693_),
+    .Q(\u_m0_res_fifo.mem[1][12] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13216_ (.D(_00694_),
+    .Q(\u_m0_res_fifo.mem[1][13] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13217_ (.D(_00695_),
+    .Q(\u_m0_res_fifo.mem[1][14] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13218_ (.D(_00696_),
+    .Q(\u_m0_res_fifo.mem[1][15] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13219_ (.D(_00697_),
+    .Q(\u_m0_res_fifo.mem[1][16] ),
+    .CLK(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13220_ (.D(_00698_),
+    .Q(\u_m0_res_fifo.mem[1][17] ),
+    .CLK(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13221_ (.D(_00699_),
+    .Q(\u_m0_res_fifo.mem[1][18] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13222_ (.D(_00700_),
+    .Q(\u_m0_res_fifo.mem[1][19] ),
+    .CLK(clknet_7_70_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13223_ (.D(_00701_),
+    .Q(\u_m0_res_fifo.mem[1][20] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13224_ (.D(_00702_),
+    .Q(\u_m0_res_fifo.mem[1][21] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13225_ (.D(_00703_),
+    .Q(\u_m0_res_fifo.mem[1][22] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13226_ (.D(_00704_),
+    .Q(\u_m0_res_fifo.mem[1][23] ),
+    .CLK(clknet_7_68_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13227_ (.D(_00705_),
+    .Q(\u_m0_res_fifo.mem[1][24] ),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13228_ (.D(_00706_),
+    .Q(\u_m0_res_fifo.mem[1][25] ),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13229_ (.D(_00707_),
+    .Q(\u_m0_res_fifo.mem[1][26] ),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13230_ (.D(_00708_),
+    .Q(\u_m0_res_fifo.mem[1][27] ),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13231_ (.D(_00709_),
+    .Q(\u_m0_res_fifo.mem[1][28] ),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13232_ (.D(_00710_),
+    .Q(\u_m0_res_fifo.mem[1][29] ),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13233_ (.D(_00711_),
+    .Q(\u_m0_res_fifo.mem[1][30] ),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13234_ (.D(_00712_),
+    .Q(\u_m0_res_fifo.mem[1][31] ),
+    .CLK(clknet_7_92_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13235_ (.D(_00713_),
+    .Q(\u_m0_res_fifo.mem[0][0] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13236_ (.D(_00714_),
+    .Q(\u_m0_res_fifo.mem[0][1] ),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13237_ (.D(_00715_),
+    .Q(\u_m0_res_fifo.mem[0][2] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13238_ (.D(_00716_),
+    .Q(\u_m0_res_fifo.mem[0][3] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13239_ (.D(_00717_),
+    .Q(\u_m0_res_fifo.mem[0][4] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13240_ (.D(_00718_),
+    .Q(\u_m0_res_fifo.mem[0][5] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13241_ (.D(_00719_),
+    .Q(\u_m0_res_fifo.mem[0][6] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13242_ (.D(_00720_),
+    .Q(\u_m0_res_fifo.mem[0][7] ),
+    .CLK(clknet_7_88_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13243_ (.D(_00721_),
+    .Q(\u_m0_res_fifo.mem[0][8] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13244_ (.D(_00722_),
+    .Q(\u_m0_res_fifo.mem[0][9] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13245_ (.D(_00723_),
+    .Q(\u_m0_res_fifo.mem[0][10] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13246_ (.D(_00724_),
+    .Q(\u_m0_res_fifo.mem[0][11] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13247_ (.D(_00725_),
+    .Q(\u_m0_res_fifo.mem[0][12] ),
+    .CLK(clknet_7_77_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13248_ (.D(_00726_),
+    .Q(\u_m0_res_fifo.mem[0][13] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13249_ (.D(_00727_),
+    .Q(\u_m0_res_fifo.mem[0][14] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13250_ (.D(_00728_),
+    .Q(\u_m0_res_fifo.mem[0][15] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13251_ (.D(_00729_),
+    .Q(\u_m0_res_fifo.mem[0][16] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13252_ (.D(_00730_),
+    .Q(\u_m0_res_fifo.mem[0][17] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13253_ (.D(_00731_),
+    .Q(\u_m0_res_fifo.mem[0][18] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13254_ (.D(_00732_),
+    .Q(\u_m0_res_fifo.mem[0][19] ),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13255_ (.D(_00733_),
+    .Q(\u_m0_res_fifo.mem[0][20] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13256_ (.D(_00734_),
+    .Q(\u_m0_res_fifo.mem[0][21] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13257_ (.D(_00735_),
+    .Q(\u_m0_res_fifo.mem[0][22] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13258_ (.D(_00736_),
+    .Q(\u_m0_res_fifo.mem[0][23] ),
+    .CLK(clknet_7_69_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13259_ (.D(_00737_),
+    .Q(\u_m0_res_fifo.mem[0][24] ),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13260_ (.D(_00738_),
+    .Q(\u_m0_res_fifo.mem[0][25] ),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13261_ (.D(_00739_),
+    .Q(\u_m0_res_fifo.mem[0][26] ),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13262_ (.D(_00740_),
+    .Q(\u_m0_res_fifo.mem[0][27] ),
+    .CLK(clknet_7_85_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13263_ (.D(_00741_),
+    .Q(\u_m0_res_fifo.mem[0][28] ),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13264_ (.D(_00742_),
+    .Q(\u_m0_res_fifo.mem[0][29] ),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13265_ (.D(_00743_),
+    .Q(\u_m0_res_fifo.mem[0][30] ),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13266_ (.D(_00744_),
+    .Q(\u_m0_res_fifo.mem[0][31] ),
+    .CLK(clknet_7_87_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13267_ (.D(_00745_),
+    .Q(\u_m1_res_fifo.mem[7][0] ),
+    .CLK(clknet_7_106_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13268_ (.D(_00746_),
+    .Q(\u_m1_res_fifo.mem[7][1] ),
+    .CLK(clknet_7_106_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13269_ (.D(_00747_),
+    .Q(\u_m1_res_fifo.mem[7][2] ),
+    .CLK(clknet_7_106_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13270_ (.D(_00748_),
+    .Q(\u_m1_res_fifo.mem[7][3] ),
+    .CLK(clknet_7_106_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13271_ (.D(_00749_),
+    .Q(\u_m1_res_fifo.mem[7][4] ),
+    .CLK(clknet_7_61_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13272_ (.D(_00750_),
+    .Q(\u_m1_res_fifo.mem[7][5] ),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13273_ (.D(_00751_),
+    .Q(\u_m1_res_fifo.mem[7][6] ),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13274_ (.D(_00752_),
+    .Q(\u_m1_res_fifo.mem[7][7] ),
+    .CLK(clknet_7_60_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13275_ (.D(_00753_),
+    .Q(\u_m1_res_fifo.mem[7][8] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13276_ (.D(_00754_),
+    .Q(\u_m1_res_fifo.mem[7][9] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13277_ (.D(_00755_),
+    .Q(\u_m1_res_fifo.mem[7][10] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13278_ (.D(_00756_),
+    .Q(\u_m1_res_fifo.mem[7][11] ),
+    .CLK(clknet_7_56_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13279_ (.D(_00757_),
+    .Q(\u_m1_res_fifo.mem[7][12] ),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13280_ (.D(_00758_),
+    .Q(\u_m1_res_fifo.mem[7][13] ),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13281_ (.D(_00759_),
+    .Q(\u_m1_res_fifo.mem[7][14] ),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13282_ (.D(_00760_),
+    .Q(\u_m1_res_fifo.mem[7][15] ),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13283_ (.D(_00761_),
+    .Q(\u_m1_res_fifo.mem[7][16] ),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13284_ (.D(_00762_),
+    .Q(\u_m1_res_fifo.mem[7][17] ),
+    .CLK(clknet_7_110_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13285_ (.D(_00763_),
+    .Q(\u_m1_res_fifo.mem[7][18] ),
+    .CLK(clknet_7_111_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13286_ (.D(_00764_),
+    .Q(\u_m1_res_fifo.mem[7][19] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13287_ (.D(_00765_),
+    .Q(\u_m1_res_fifo.mem[7][20] ),
+    .CLK(clknet_7_103_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13288_ (.D(_00766_),
+    .Q(\u_m1_res_fifo.mem[7][21] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13289_ (.D(_00767_),
+    .Q(\u_m1_res_fifo.mem[7][22] ),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13290_ (.D(_00768_),
+    .Q(\u_m1_res_fifo.mem[7][23] ),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13291_ (.D(_00769_),
+    .Q(\u_m1_res_fifo.mem[7][24] ),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13292_ (.D(_00770_),
+    .Q(\u_m1_res_fifo.mem[7][25] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13293_ (.D(_00771_),
+    .Q(\u_m1_res_fifo.mem[7][26] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13294_ (.D(_00772_),
+    .Q(\u_m1_res_fifo.mem[7][27] ),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13295_ (.D(_00773_),
+    .Q(\u_m1_res_fifo.mem[7][28] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13296_ (.D(_00774_),
+    .Q(\u_m1_res_fifo.mem[7][29] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13297_ (.D(_00775_),
+    .Q(\u_m1_res_fifo.mem[7][30] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13298_ (.D(_00776_),
+    .Q(\u_m1_res_fifo.mem[7][31] ),
+    .CLK(clknet_7_109_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13299_ (.D(_00059_),
     .Q(\u_spictrl.res_fifo_wr ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_105_0_mclk),
+    .CLK(clknet_7_115_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13531_ (.D(_00026_),
+ sky130_fd_sc_hd__dfrtp_4 _13300_ (.D(_00026_),
+    .Q(\u_spictrl.rx_clk_en ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13301_ (.D(_00027_),
     .Q(\u_spictrl.res_fifo_wdata[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_96_0_mclk),
+    .CLK(clknet_7_79_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13532_ (.D(_00037_),
+ sky130_fd_sc_hd__dfrtp_4 _13302_ (.D(_00038_),
     .Q(\u_spictrl.res_fifo_wdata[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
+    .CLK(clknet_7_112_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13533_ (.D(_00048_),
+ sky130_fd_sc_hd__dfrtp_4 _13303_ (.D(_00049_),
     .Q(\u_spictrl.res_fifo_wdata[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_74_0_mclk),
+    .CLK(clknet_7_112_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13534_ (.D(_00051_),
+ sky130_fd_sc_hd__dfrtp_4 _13304_ (.D(_00052_),
     .Q(\u_spictrl.res_fifo_wdata[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_74_0_mclk),
+    .CLK(clknet_7_112_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13535_ (.D(_00052_),
+ sky130_fd_sc_hd__dfrtp_4 _13305_ (.D(_00053_),
     .Q(\u_spictrl.res_fifo_wdata[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_74_0_mclk),
+    .CLK(clknet_7_112_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13536_ (.D(_00053_),
+ sky130_fd_sc_hd__dfrtp_4 _13306_ (.D(_00054_),
     .Q(\u_spictrl.res_fifo_wdata[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_96_0_mclk),
+    .CLK(clknet_7_113_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13537_ (.D(_00054_),
+ sky130_fd_sc_hd__dfrtp_4 _13307_ (.D(_00055_),
     .Q(\u_spictrl.res_fifo_wdata[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_96_0_mclk),
+    .CLK(clknet_7_90_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13538_ (.D(_00055_),
+ sky130_fd_sc_hd__dfrtp_4 _13308_ (.D(_00056_),
     .Q(\u_spictrl.res_fifo_wdata[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_96_0_mclk),
+    .CLK(clknet_7_91_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13539_ (.D(_00056_),
+ sky130_fd_sc_hd__dfrtp_4 _13309_ (.D(_00057_),
     .Q(\u_spictrl.res_fifo_wdata[8] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_99_0_mclk),
+    .CLK(clknet_7_79_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13540_ (.D(_00057_),
+ sky130_fd_sc_hd__dfrtp_4 _13310_ (.D(_00058_),
     .Q(\u_spictrl.res_fifo_wdata[9] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_100_0_mclk),
+    .CLK(clknet_7_75_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13541_ (.D(_00027_),
+ sky130_fd_sc_hd__dfrtp_4 _13311_ (.D(_00028_),
     .Q(\u_spictrl.res_fifo_wdata[10] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_75_0_mclk),
@@ -52704,23 +51860,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13542_ (.D(_00028_),
+ sky130_fd_sc_hd__dfrtp_4 _13312_ (.D(_00029_),
     .Q(\u_spictrl.res_fifo_wdata[11] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_97_0_mclk),
+    .CLK(clknet_7_75_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13543_ (.D(_00029_),
+ sky130_fd_sc_hd__dfrtp_4 _13313_ (.D(_00030_),
     .Q(\u_spictrl.res_fifo_wdata[12] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_97_0_mclk),
+    .CLK(clknet_7_75_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13544_ (.D(_00030_),
+ sky130_fd_sc_hd__dfrtp_4 _13314_ (.D(_00031_),
     .Q(\u_spictrl.res_fifo_wdata[13] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_75_0_mclk),
@@ -52728,471 +51884,527 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13545_ (.D(_00031_),
+ sky130_fd_sc_hd__dfrtp_4 _13315_ (.D(_00032_),
     .Q(\u_spictrl.res_fifo_wdata[14] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13316_ (.D(_00033_),
+    .Q(\u_spictrl.res_fifo_wdata[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13317_ (.D(_00034_),
+    .Q(\u_spictrl.res_fifo_wdata[16] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13318_ (.D(_00035_),
+    .Q(\u_spictrl.res_fifo_wdata[17] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13319_ (.D(_00036_),
+    .Q(\u_spictrl.res_fifo_wdata[18] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13320_ (.D(_00037_),
+    .Q(\u_spictrl.res_fifo_wdata[19] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13321_ (.D(_00039_),
+    .Q(\u_spictrl.res_fifo_wdata[20] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13322_ (.D(_00040_),
+    .Q(\u_spictrl.res_fifo_wdata[21] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13323_ (.D(_00041_),
+    .Q(\u_spictrl.res_fifo_wdata[22] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13324_ (.D(_00042_),
+    .Q(\u_spictrl.res_fifo_wdata[23] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13325_ (.D(_00043_),
+    .Q(\u_spictrl.res_fifo_wdata[24] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_90_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13326_ (.D(_00044_),
+    .Q(\u_spictrl.res_fifo_wdata[25] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13327_ (.D(_00045_),
+    .Q(\u_spictrl.res_fifo_wdata[26] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13328_ (.D(_00046_),
+    .Q(\u_spictrl.res_fifo_wdata[27] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13329_ (.D(_00047_),
+    .Q(\u_spictrl.res_fifo_wdata[28] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_94_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13330_ (.D(_00048_),
+    .Q(\u_spictrl.res_fifo_wdata[29] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13331_ (.D(_00050_),
+    .Q(\u_spictrl.res_fifo_wdata[30] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13332_ (.D(_00051_),
+    .Q(\u_spictrl.res_fifo_wdata[31] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_95_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13333_ (.D(_00060_),
+    .Q(_06269_),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13334_ (.D(_00061_),
+    .Q(_06270_),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_121_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13335_ (.D(_00062_),
+    .Q(\u_spictrl.tx_data_ready ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13336_ (.D(_00008_),
+    .Q(\u_spictrl.u_txreg.tx_CS[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_100_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13337_ (.D(_00063_),
+    .Q(\u_spictrl.u_txreg.data_ready_f ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13338_ (.D(_00025_),
+    .Q(\u_spictrl.spi_fall ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13339_ (.D(_00017_),
+    .Q(\u_spictrl.spi_rise ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_75_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13546_ (.D(_00032_),
-    .Q(\u_spictrl.res_fifo_wdata[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_74_0_mclk),
+ sky130_fd_sc_hd__dfstp_4 _13340_ (.D(_00019_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_29_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13547_ (.D(_00033_),
-    .Q(\u_spictrl.res_fifo_wdata[16] ),
+ sky130_fd_sc_hd__dfrtp_4 _13341_ (.D(_00020_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
+    .CLK(clknet_7_29_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13548_ (.D(_00034_),
-    .Q(\u_spictrl.res_fifo_wdata[17] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13549_ (.D(_00035_),
-    .Q(\u_spictrl.res_fifo_wdata[18] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_100_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13550_ (.D(_00036_),
-    .Q(\u_spictrl.res_fifo_wdata[19] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13551_ (.D(_00038_),
-    .Q(\u_spictrl.res_fifo_wdata[20] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13552_ (.D(_00039_),
-    .Q(\u_spictrl.res_fifo_wdata[21] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13553_ (.D(_00040_),
-    .Q(\u_spictrl.res_fifo_wdata[22] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13554_ (.D(_00041_),
-    .Q(\u_spictrl.res_fifo_wdata[23] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_99_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13555_ (.D(_00042_),
-    .Q(\u_spictrl.res_fifo_wdata[24] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_108_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13556_ (.D(_00043_),
-    .Q(\u_spictrl.res_fifo_wdata[25] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_108_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13557_ (.D(_00044_),
-    .Q(\u_spictrl.res_fifo_wdata[26] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_108_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13558_ (.D(_00045_),
-    .Q(\u_spictrl.res_fifo_wdata[27] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_108_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13559_ (.D(_00046_),
-    .Q(\u_spictrl.res_fifo_wdata[28] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13560_ (.D(_00047_),
-    .Q(\u_spictrl.res_fifo_wdata[29] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13561_ (.D(_00049_),
-    .Q(\u_spictrl.res_fifo_wdata[30] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13562_ (.D(_00050_),
-    .Q(\u_spictrl.res_fifo_wdata[31] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13563_ (.D(_00059_),
-    .Q(_06370_),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_107_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13564_ (.D(_00060_),
-    .Q(_06371_),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_110_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13565_ (.D(_00776_),
-    .Q(\u_wb_if.NextPreDCnt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_6_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13566_ (.D(_00777_),
-    .Q(\u_wb_if.NextPreDCnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_6_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13567_ (.D(_00778_),
-    .Q(\u_m0_res_fifo.rd_ptr[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_66_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13568_ (.D(_00779_),
-    .Q(\u_m0_res_fifo.rd_ptr[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_66_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13569_ (.D(_00780_),
-    .Q(\u_m0_res_fifo.rd_ptr[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_66_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13570_ (.D(_00781_),
-    .Q(\u_m0_res_fifo.rd_ptr[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13571_ (.D(_00782_),
-    .Q(\u_m0_res_fifo.wr_ptr[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_70_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13572_ (.D(_00783_),
-    .Q(\u_m0_res_fifo.wr_ptr[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_73_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13573_ (.D(_00784_),
-    .Q(\u_m0_res_fifo.wr_ptr[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_67_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13574_ (.D(_00785_),
-    .Q(\u_m0_res_fifo.wr_ptr[3] ),
+ sky130_fd_sc_hd__dfrtp_4 _13342_ (.D(_00021_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[2] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_72_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13575_ (.D(_00786_),
-    .Q(\u_m1_res_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _13343_ (.D(_00022_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_103_0_mclk),
+    .CLK(clknet_7_29_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13576_ (.D(_00787_),
-    .Q(\u_m1_res_fifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _13344_ (.D(_00023_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13345_ (.D(_00024_),
+    .Q(\u_spictrl.u_clkgen.clk_cnt[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13346_ (.D(_00002_),
+    .Q(\u_spictrl.u_rxreg.rx_CS[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13347_ (.D(_00003_),
+    .Q(\u_spictrl.u_rxreg.rx_CS[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13348_ (.D(_00004_),
+    .Q(\u_spictrl.u_rxreg.rx_CS[2] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_114_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13577_ (.D(_00788_),
-    .Q(\u_m1_res_fifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _13349_ (.D(_00005_),
+    .Q(\u_spictrl.u_rxreg.rx_CS[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_114_0_mclk),
+    .CLK(clknet_7_124_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13578_ (.D(_00789_),
-    .Q(\u_m1_res_fifo.rd_ptr[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_100_0_mclk),
+ sky130_fd_sc_hd__dfstp_4 _13350_ (.D(_00018_),
+    .Q(\u_spictrl.spi_csn0 ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13579_ (.D(_00790_),
-    .Q(\u_m1_res_fifo.wr_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _13351_ (.D(_00064_),
+    .Q(\u_spim_regs.spi_init_done ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_114_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13580_ (.D(_00791_),
-    .Q(\u_m1_res_fifo.wr_ptr[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_101_0_mclk),
+ sky130_fd_sc_hd__dfstp_4 _13352_ (.D(_00009_),
+    .Q(\u_spim_regs.spi_init_state[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13581_ (.D(_00792_),
-    .Q(\u_m1_res_fifo.wr_ptr[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _13353_ (.D(_00010_),
+    .Q(\u_spim_regs.spi_init_state[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_114_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13582_ (.D(_00793_),
-    .Q(\u_m1_res_fifo.wr_ptr[3] ),
+ sky130_fd_sc_hd__dfrtp_4 _13354_ (.D(_00011_),
+    .Q(\u_spim_regs.spi_init_state[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_103_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13583_ (.D(_00794_),
-    .Q(\u_spictrl.s_spi_mode[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _13355_ (.D(_00012_),
+    .Q(\u_spim_regs.spi_init_state[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_55_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13584_ (.D(_00795_),
-    .Q(\u_spictrl.s_spi_mode[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _13356_ (.D(_00013_),
+    .Q(\u_spim_regs.spi_init_state[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_55_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13585_ (.D(_00796_),
-    .Q(\u_spictrl.cfg_addr_cnt[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _13357_ (.D(_00014_),
+    .Q(\u_spim_regs.spi_init_state[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_59_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13586_ (.D(_00797_),
-    .Q(\u_spictrl.cfg_addr_cnt[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _13358_ (.D(_00015_),
+    .Q(\u_spim_regs.spi_init_state[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_60_0_mclk),
+    .CLK(clknet_7_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13587_ (.D(_00798_),
-    .Q(\u_spictrl.cfg_dummy_cnt[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _13359_ (.D(_00016_),
+    .Q(\u_spim_regs.spi_init_state[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_61_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13588_ (.D(_00799_),
-    .Q(\u_spictrl.cfg_dummy_cnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_63_0_mclk),
+ sky130_fd_sc_hd__dfstp_4 _13360_ (.D(_00006_),
+    .Q(\u_spictrl.u_txreg.tx_CS[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_97_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13589_ (.D(_00800_),
-    .Q(\u_spictrl.cfg_data_cnt[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _13361_ (.D(_00007_),
+    .Q(\u_spictrl.u_txreg.tx_CS[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_61_0_mclk),
+    .CLK(clknet_7_78_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13590_ (.D(_00801_),
-    .Q(\u_spictrl.cfg_data_cnt[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _13362_ (.D(_00000_),
+    .Q(\u_spictrl.gnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_61_0_mclk),
+    .CLK(clknet_7_96_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13591_ (.D(_00802_),
-    .Q(\u_spictrl.cfg_data_cnt[2] ),
+ sky130_fd_sc_hd__dfrtp_4 _13363_ (.D(_00001_),
+    .Q(\u_spictrl.gnt[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_61_0_mclk),
+    .CLK(clknet_7_52_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13592_ (.D(_00803_),
-    .Q(\u_spictrl.cfg_data_cnt[3] ),
+ sky130_fd_sc_hd__dfrtp_4 _13364_ (.D(_00777_),
+    .Q(\u_wb_if.NextPreDCnt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_61_0_mclk),
+    .CLK(clknet_7_18_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13593_ (.D(_00804_),
-    .Q(\u_spictrl.cfg_data_cnt[4] ),
+ sky130_fd_sc_hd__dfrtp_4 _13365_ (.D(_00778_),
+    .Q(\u_wb_if.NextPreDCnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_61_0_mclk),
+    .CLK(clknet_7_18_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13594_ (.D(_00805_),
-    .Q(\u_spictrl.cfg_data_cnt[5] ),
+ sky130_fd_sc_hd__dfrtp_4 _13366_ (.D(_00779_),
+    .Q(\u_m0_res_fifo.rd_ptr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_61_0_mclk),
+    .CLK(clknet_7_82_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13595_ (.D(_00806_),
-    .Q(\u_spictrl.cfg_data_cnt[6] ),
+ sky130_fd_sc_hd__dfrtp_4 _13367_ (.D(_00780_),
+    .Q(\u_m0_res_fifo.rd_ptr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_60_0_mclk),
+    .CLK(clknet_7_83_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13596_ (.D(_00807_),
-    .Q(\u_spictrl.cfg_data_cnt[7] ),
+ sky130_fd_sc_hd__dfrtp_4 _13368_ (.D(_00781_),
+    .Q(\u_m0_res_fifo.rd_ptr[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_60_0_mclk),
+    .CLK(clknet_7_82_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13597_ (.D(_00808_),
+ sky130_fd_sc_hd__dfrtp_4 _13369_ (.D(_00782_),
+    .Q(\u_m0_res_fifo.rd_ptr[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13370_ (.D(_00783_),
+    .Q(\u_m0_res_fifo.wr_ptr[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13371_ (.D(_00784_),
+    .Q(\u_m0_res_fifo.wr_ptr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_71_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13372_ (.D(_00785_),
+    .Q(\u_m0_res_fifo.wr_ptr[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_82_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13373_ (.D(_00786_),
+    .Q(\u_m0_res_fifo.wr_ptr[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_83_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13374_ (.D(_00787_),
     .Q(\u_spim_regs.spim_reg_be[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13598_ (.D(_00809_),
-    .Q(\u_spim_regs.spim_reg_be[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13599_ (.D(_00810_),
-    .Q(\u_spim_regs.spim_reg_be[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13600_ (.D(_00811_),
-    .Q(\u_spim_regs.spim_reg_be[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13601_ (.D(_00812_),
-    .Q(\u_spim_regs.spim_reg_we ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13602_ (.D(_00813_),
-    .Q(wbd_ack_o),
-    .RESET_B(rst_n),
     .CLK(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13603_ (.D(_00814_),
+ sky130_fd_sc_hd__dfrtp_4 _13375_ (.D(_00788_),
+    .Q(\u_spim_regs.spim_reg_be[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13376_ (.D(_00789_),
+    .Q(\u_spim_regs.spim_reg_be[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13377_ (.D(_00790_),
+    .Q(\u_spim_regs.spim_reg_be[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13378_ (.D(_00791_),
+    .Q(\u_spim_regs.spim_reg_we ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13379_ (.D(_00792_),
+    .Q(wbd_ack_o),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13380_ (.D(_00793_),
     .Q(\u_wb_if.spim_wb_addr[0] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_0_0_mclk),
@@ -53200,47 +52412,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13604_ (.D(_00815_),
+ sky130_fd_sc_hd__dfrtp_4 _13381_ (.D(_00794_),
     .Q(\u_wb_if.spim_wb_addr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13605_ (.D(_00816_),
+ sky130_fd_sc_hd__dfrtp_4 _13382_ (.D(_00795_),
     .Q(\u_spim_regs.spim_reg_addr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
+    .CLK(clknet_7_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13606_ (.D(_00817_),
+ sky130_fd_sc_hd__dfrtp_4 _13383_ (.D(_00796_),
     .Q(\u_spim_regs.spim_reg_addr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
+    .CLK(clknet_7_6_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13607_ (.D(_00818_),
+ sky130_fd_sc_hd__dfrtp_4 _13384_ (.D(_00797_),
     .Q(\u_spim_regs.spim_reg_addr[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
+    .CLK(clknet_7_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13608_ (.D(_00819_),
+ sky130_fd_sc_hd__dfrtp_4 _13385_ (.D(_00798_),
     .Q(\u_spim_regs.spim_reg_addr[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_4_0_mclk),
+    .CLK(clknet_7_6_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13609_ (.D(_00820_),
+ sky130_fd_sc_hd__dfrtp_4 _13386_ (.D(_00799_),
     .Q(\u_wb_if.spim_wb_addr[6] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_1_0_mclk),
@@ -53248,39 +52460,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13610_ (.D(_00821_),
+ sky130_fd_sc_hd__dfrtp_4 _13387_ (.D(_00800_),
     .Q(\u_wb_if.spim_wb_addr[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13611_ (.D(_00822_),
+ sky130_fd_sc_hd__dfrtp_4 _13388_ (.D(_00801_),
     .Q(\u_wb_if.spim_wb_addr[8] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13612_ (.D(_00823_),
+ sky130_fd_sc_hd__dfrtp_4 _13389_ (.D(_00802_),
     .Q(\u_wb_if.spim_wb_addr[9] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13613_ (.D(_00824_),
+ sky130_fd_sc_hd__dfrtp_4 _13390_ (.D(_00803_),
     .Q(\u_wb_if.spim_wb_addr[10] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13614_ (.D(_00825_),
+ sky130_fd_sc_hd__dfrtp_4 _13391_ (.D(_00804_),
     .Q(\u_wb_if.spim_wb_addr[11] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_0_0_mclk),
@@ -53288,7 +52500,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13615_ (.D(_00826_),
+ sky130_fd_sc_hd__dfrtp_4 _13392_ (.D(_00805_),
     .Q(\u_wb_if.spim_wb_addr[12] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_0_0_mclk),
@@ -53296,7 +52508,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13616_ (.D(_00827_),
+ sky130_fd_sc_hd__dfrtp_4 _13393_ (.D(_00806_),
     .Q(\u_wb_if.spim_wb_addr[13] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_0_0_mclk),
@@ -53304,7 +52516,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13617_ (.D(_00828_),
+ sky130_fd_sc_hd__dfrtp_4 _13394_ (.D(_00807_),
     .Q(\u_wb_if.spim_wb_addr[14] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_0_0_mclk),
@@ -53312,15 +52524,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13618_ (.D(_00829_),
+ sky130_fd_sc_hd__dfrtp_4 _13395_ (.D(_00808_),
     .Q(\u_wb_if.spim_wb_addr[15] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
+    .CLK(clknet_7_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13619_ (.D(_00830_),
+ sky130_fd_sc_hd__dfrtp_4 _13396_ (.D(_00809_),
     .Q(\u_wb_if.spim_wb_addr[16] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_0_0_mclk),
@@ -53328,15 +52540,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13620_ (.D(_00831_),
+ sky130_fd_sc_hd__dfrtp_4 _13397_ (.D(_00810_),
     .Q(\u_wb_if.spim_wb_addr[17] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
+    .CLK(clknet_7_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13621_ (.D(_00832_),
+ sky130_fd_sc_hd__dfrtp_4 _13398_ (.D(_00811_),
     .Q(\u_wb_if.spim_wb_addr[18] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_0_0_mclk),
@@ -53344,23 +52556,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13622_ (.D(_00833_),
+ sky130_fd_sc_hd__dfrtp_4 _13399_ (.D(_00812_),
     .Q(\u_wb_if.spim_wb_addr[19] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
+    .CLK(clknet_7_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13623_ (.D(_00834_),
+ sky130_fd_sc_hd__dfrtp_4 _13400_ (.D(_00813_),
     .Q(\u_wb_if.spim_wb_addr[20] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
+    .CLK(clknet_7_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13624_ (.D(_00835_),
+ sky130_fd_sc_hd__dfrtp_4 _13401_ (.D(_00814_),
     .Q(\u_wb_if.spim_wb_addr[21] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_1_0_mclk),
@@ -53368,47 +52580,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13625_ (.D(_00836_),
+ sky130_fd_sc_hd__dfrtp_4 _13402_ (.D(_00815_),
     .Q(\u_wb_if.spim_wb_addr[22] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
+    .CLK(clknet_7_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13626_ (.D(_00837_),
+ sky130_fd_sc_hd__dfrtp_4 _13403_ (.D(_00816_),
     .Q(\u_wb_if.spim_wb_addr[23] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_1_0_mclk),
+    .CLK(clknet_7_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13627_ (.D(_00838_),
+ sky130_fd_sc_hd__dfrtp_4 _13404_ (.D(_00817_),
     .Q(\u_wb_if.spim_wb_addr[24] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13405_ (.D(_00818_),
+    .Q(\u_wb_if.spim_wb_addr[25] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13628_ (.D(_00839_),
-    .Q(\u_wb_if.spim_wb_addr[25] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13629_ (.D(_00840_),
+ sky130_fd_sc_hd__dfrtp_4 _13406_ (.D(_00819_),
     .Q(\u_wb_if.spim_wb_addr[26] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
+    .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13630_ (.D(_00841_),
+ sky130_fd_sc_hd__dfrtp_4 _13407_ (.D(_00820_),
     .Q(\u_wb_if.spim_wb_addr[27] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_4_0_mclk),
@@ -53416,7 +52628,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13631_ (.D(_00842_),
+ sky130_fd_sc_hd__dfrtp_4 _13408_ (.D(_00821_),
     .Q(\u_wb_if.spim_wb_addr[28] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_4_0_mclk),
@@ -53424,7 +52636,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13632_ (.D(_00843_),
+ sky130_fd_sc_hd__dfrtp_4 _13409_ (.D(_00822_),
     .Q(\u_wb_if.spim_wb_addr[29] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_4_0_mclk),
@@ -53432,7 +52644,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13633_ (.D(_00844_),
+ sky130_fd_sc_hd__dfrtp_4 _13410_ (.D(_00823_),
     .Q(\u_wb_if.spim_wb_addr[30] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_4_0_mclk),
@@ -53440,7 +52652,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13634_ (.D(_00845_),
+ sky130_fd_sc_hd__dfrtp_4 _13411_ (.D(_00824_),
     .Q(\u_wb_if.spim_wb_addr[31] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_1_0_mclk),
@@ -53448,7 +52660,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13635_ (.D(_00846_),
+ sky130_fd_sc_hd__dfrtp_4 _13412_ (.D(_00825_),
     .Q(\u_spim_regs.spim_reg_wdata[0] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_6_0_mclk),
@@ -53456,7 +52668,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13636_ (.D(_00847_),
+ sky130_fd_sc_hd__dfrtp_4 _13413_ (.D(_00826_),
     .Q(\u_spim_regs.spim_reg_wdata[1] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_6_0_mclk),
@@ -53464,23 +52676,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13637_ (.D(_00848_),
+ sky130_fd_sc_hd__dfrtp_4 _13414_ (.D(_00827_),
     .Q(\u_spim_regs.spim_reg_wdata[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
+    .CLK(clknet_7_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13638_ (.D(_00849_),
+ sky130_fd_sc_hd__dfrtp_4 _13415_ (.D(_00828_),
     .Q(\u_spim_regs.spim_reg_wdata[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
+    .CLK(clknet_7_6_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13639_ (.D(_00850_),
+ sky130_fd_sc_hd__dfrtp_4 _13416_ (.D(_00829_),
     .Q(\u_spim_regs.spim_reg_wdata[4] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_6_0_mclk),
@@ -53488,95 +52700,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13640_ (.D(_00851_),
+ sky130_fd_sc_hd__dfrtp_4 _13417_ (.D(_00830_),
     .Q(\u_spim_regs.spim_reg_wdata[5] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13418_ (.D(_00831_),
+    .Q(\u_spim_regs.spim_reg_wdata[6] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_6_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13641_ (.D(_00852_),
-    .Q(\u_spim_regs.spim_reg_wdata[6] ),
+ sky130_fd_sc_hd__dfrtp_4 _13419_ (.D(_00832_),
+    .Q(\u_spim_regs.spim_reg_wdata[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13420_ (.D(_00833_),
+    .Q(\u_spim_regs.spim_reg_wdata[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13421_ (.D(_00834_),
+    .Q(\u_spim_regs.spim_reg_wdata[9] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13642_ (.D(_00853_),
-    .Q(\u_spim_regs.spim_reg_wdata[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13643_ (.D(_00854_),
-    .Q(\u_spim_regs.spim_reg_wdata[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13644_ (.D(_00855_),
-    .Q(\u_spim_regs.spim_reg_wdata[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13645_ (.D(_00856_),
+ sky130_fd_sc_hd__dfrtp_4 _13422_ (.D(_00835_),
     .Q(\u_spim_regs.spim_reg_wdata[10] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13423_ (.D(_00836_),
+    .Q(\u_spim_regs.spim_reg_wdata[11] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13646_ (.D(_00857_),
-    .Q(\u_spim_regs.spim_reg_wdata[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_2_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13647_ (.D(_00858_),
+ sky130_fd_sc_hd__dfrtp_4 _13424_ (.D(_00837_),
     .Q(\u_spim_regs.spim_reg_wdata[12] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_2_0_mclk),
+    .CLK(clknet_7_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13648_ (.D(_00859_),
+ sky130_fd_sc_hd__dfrtp_4 _13425_ (.D(_00838_),
     .Q(\u_spim_regs.spim_reg_wdata[13] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_2_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13649_ (.D(_00860_),
+ sky130_fd_sc_hd__dfrtp_4 _13426_ (.D(_00839_),
     .Q(\u_spim_regs.spim_reg_wdata[14] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_2_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13650_ (.D(_00861_),
+ sky130_fd_sc_hd__dfrtp_4 _13427_ (.D(_00840_),
     .Q(\u_spim_regs.spim_reg_wdata[15] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_2_0_mclk),
+    .CLK(clknet_7_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13651_ (.D(_00862_),
+ sky130_fd_sc_hd__dfrtp_4 _13428_ (.D(_00841_),
     .Q(\u_spim_regs.spim_reg_wdata[16] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_2_0_mclk),
@@ -53584,7 +52796,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13652_ (.D(_00863_),
+ sky130_fd_sc_hd__dfrtp_4 _13429_ (.D(_00842_),
     .Q(\u_spim_regs.spim_reg_wdata[17] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_2_0_mclk),
@@ -53592,7 +52804,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13653_ (.D(_00864_),
+ sky130_fd_sc_hd__dfrtp_4 _13430_ (.D(_00843_),
     .Q(\u_spim_regs.spim_reg_wdata[18] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_2_0_mclk),
@@ -53600,39 +52812,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13654_ (.D(_00865_),
+ sky130_fd_sc_hd__dfrtp_4 _13431_ (.D(_00844_),
     .Q(\u_spim_regs.spim_reg_wdata[19] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
+    .CLK(clknet_7_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13655_ (.D(_00866_),
+ sky130_fd_sc_hd__dfrtp_4 _13432_ (.D(_00845_),
     .Q(\u_spim_regs.spim_reg_wdata[20] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
+    .CLK(clknet_7_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13656_ (.D(_00867_),
+ sky130_fd_sc_hd__dfrtp_4 _13433_ (.D(_00846_),
     .Q(\u_spim_regs.spim_reg_wdata[21] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
+    .CLK(clknet_7_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13657_ (.D(_00868_),
+ sky130_fd_sc_hd__dfrtp_4 _13434_ (.D(_00847_),
     .Q(\u_spim_regs.spim_reg_wdata[22] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_0_0_mclk),
+    .CLK(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13658_ (.D(_00869_),
+ sky130_fd_sc_hd__dfrtp_4 _13435_ (.D(_00848_),
     .Q(\u_spim_regs.spim_reg_wdata[23] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_2_0_mclk),
@@ -53640,7 +52852,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13659_ (.D(_00870_),
+ sky130_fd_sc_hd__dfrtp_4 _13436_ (.D(_00849_),
     .Q(\u_spim_regs.spim_reg_wdata[24] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_2_0_mclk),
@@ -53648,7 +52860,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13660_ (.D(_00871_),
+ sky130_fd_sc_hd__dfrtp_4 _13437_ (.D(_00850_),
     .Q(\u_spim_regs.spim_reg_wdata[25] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_2_0_mclk),
@@ -53656,7 +52868,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13661_ (.D(_00872_),
+ sky130_fd_sc_hd__dfrtp_4 _13438_ (.D(_00851_),
     .Q(\u_spim_regs.spim_reg_wdata[26] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_2_0_mclk),
@@ -53664,47 +52876,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13662_ (.D(_00873_),
+ sky130_fd_sc_hd__dfrtp_4 _13439_ (.D(_00852_),
     .Q(\u_spim_regs.spim_reg_wdata[27] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_2_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13440_ (.D(_00853_),
+    .Q(\u_spim_regs.spim_reg_wdata[28] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13663_ (.D(_00874_),
-    .Q(\u_spim_regs.spim_reg_wdata[28] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_2_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13664_ (.D(_00875_),
+ sky130_fd_sc_hd__dfrtp_4 _13441_ (.D(_00854_),
     .Q(\u_spim_regs.spim_reg_wdata[29] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13442_ (.D(_00855_),
+    .Q(\u_spim_regs.spim_reg_wdata[30] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13665_ (.D(_00876_),
-    .Q(\u_spim_regs.spim_reg_wdata[30] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13666_ (.D(_00877_),
+ sky130_fd_sc_hd__dfrtp_4 _13443_ (.D(_00856_),
     .Q(\u_spim_regs.spim_reg_wdata[31] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
+    .CLK(clknet_7_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13667_ (.D(_00878_),
+ sky130_fd_sc_hd__dfrtp_4 _13444_ (.D(_00857_),
     .Q(\u_wb_if.spim_wb_req ),
     .RESET_B(rst_n),
     .CLK(clknet_7_7_0_mclk),
@@ -53712,1159 +52924,1335 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13668_ (.D(_00879_),
+ sky130_fd_sc_hd__dfrtp_4 _13445_ (.D(_00858_),
+    .Q(\u_m1_res_fifo.rd_ptr[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13446_ (.D(_00859_),
+    .Q(\u_m1_res_fifo.rd_ptr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_104_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13447_ (.D(_00860_),
+    .Q(\u_m1_res_fifo.rd_ptr[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13448_ (.D(_00861_),
+    .Q(\u_m1_res_fifo.rd_ptr[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13449_ (.D(_00862_),
+    .Q(\u_m1_res_fifo.wr_ptr[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13450_ (.D(_00863_),
+    .Q(\u_m1_res_fifo.wr_ptr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13451_ (.D(_00864_),
+    .Q(\u_m1_res_fifo.wr_ptr[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13452_ (.D(_00865_),
+    .Q(\u_m1_res_fifo.wr_ptr[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_98_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13453_ (.D(_00866_),
+    .Q(\u_spictrl.s_spi_mode[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13454_ (.D(_00867_),
+    .Q(\u_spictrl.s_spi_mode[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13455_ (.D(_00868_),
+    .Q(\u_spictrl.cfg_addr_cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13456_ (.D(_00869_),
+    .Q(\u_spictrl.cfg_addr_cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13457_ (.D(_00870_),
+    .Q(\u_spictrl.cfg_dummy_cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13458_ (.D(_00871_),
+    .Q(\u_spictrl.cfg_dummy_cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13459_ (.D(_00872_),
+    .Q(\u_spictrl.cfg_data_cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13460_ (.D(_00873_),
+    .Q(\u_spictrl.cfg_data_cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13461_ (.D(_00874_),
+    .Q(\u_spictrl.cfg_data_cnt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13462_ (.D(_00875_),
+    .Q(\u_spictrl.cfg_data_cnt[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13463_ (.D(_00876_),
+    .Q(\u_spictrl.cfg_data_cnt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13464_ (.D(_00877_),
+    .Q(\u_spictrl.cfg_data_cnt[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13465_ (.D(_00878_),
+    .Q(\u_spictrl.cfg_data_cnt[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13466_ (.D(_00879_),
+    .Q(\u_spictrl.cfg_data_cnt[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13467_ (.D(_00880_),
     .Q(\u_spictrl.cfg_spi_seq[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_60_0_mclk),
+    .CLK(clknet_7_53_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13669_ (.D(_00880_),
+ sky130_fd_sc_hd__dfrtp_4 _13468_ (.D(_00881_),
     .Q(\u_spictrl.cfg_spi_seq[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_60_0_mclk),
+    .CLK(clknet_7_53_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13670_ (.D(_00881_),
+ sky130_fd_sc_hd__dfrtp_4 _13469_ (.D(_00882_),
     .Q(\u_spictrl.cfg_spi_seq[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_57_0_mclk),
+    .CLK(clknet_7_53_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13671_ (.D(_00882_),
+ sky130_fd_sc_hd__dfrtp_4 _13470_ (.D(_00883_),
     .Q(\u_spictrl.cfg_spi_seq[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_57_0_mclk),
+    .CLK(clknet_7_53_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13672_ (.D(_00883_),
+ sky130_fd_sc_hd__dfrtp_4 _13471_ (.D(_00884_),
     .Q(\u_spictrl.spi_mode_cmd[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13673_ (.D(_00884_),
-    .Q(\u_spictrl.spi_mode_cmd[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13674_ (.D(_00885_),
-    .Q(\u_spictrl.spi_mode_cmd[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13675_ (.D(_00886_),
-    .Q(\u_spictrl.spi_mode_cmd[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13676_ (.D(_00887_),
-    .Q(\u_spictrl.spi_mode_cmd[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13677_ (.D(_00888_),
-    .Q(\u_spictrl.spi_mode_cmd[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_56_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13678_ (.D(_00889_),
-    .Q(\u_spictrl.spi_mode_cmd[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_57_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13679_ (.D(_00890_),
-    .Q(\u_spictrl.spi_mode_cmd[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_57_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13680_ (.D(_00891_),
-    .Q(spi_debug[17]),
-    .RESET_B(rst_n),
     .CLK(clknet_7_54_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13681_ (.D(_00892_),
-    .Q(spi_debug[18]),
+ sky130_fd_sc_hd__dfrtp_4 _13472_ (.D(_00885_),
+    .Q(\u_spictrl.spi_mode_cmd[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_54_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13473_ (.D(_00886_),
+    .Q(\u_spictrl.spi_mode_cmd[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_54_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13474_ (.D(_00887_),
+    .Q(\u_spictrl.spi_mode_cmd[3] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_55_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13682_ (.D(_00893_),
-    .Q(spi_debug[19]),
+ sky130_fd_sc_hd__dfrtp_4 _13475_ (.D(_00888_),
+    .Q(\u_spictrl.spi_mode_cmd[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_61_0_mclk),
+    .CLK(clknet_7_53_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13683_ (.D(_00894_),
-    .Q(spi_debug[20]),
+ sky130_fd_sc_hd__dfrtp_4 _13476_ (.D(_00889_),
+    .Q(\u_spictrl.spi_mode_cmd[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_60_0_mclk),
+    .CLK(clknet_7_53_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13684_ (.D(_00895_),
-    .Q(\u_spictrl.cnt[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _13477_ (.D(_00890_),
+    .Q(\u_spictrl.spi_mode_cmd[6] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_52_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13685_ (.D(_00896_),
+ sky130_fd_sc_hd__dfrtp_4 _13478_ (.D(_00891_),
+    .Q(\u_spictrl.spi_mode_cmd[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13479_ (.D(_00892_),
+    .Q(spi_debug[17]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13480_ (.D(_00893_),
+    .Q(spi_debug[18]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13481_ (.D(_00894_),
+    .Q(spi_debug[19]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13482_ (.D(_00895_),
+    .Q(spi_debug[20]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_53_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13483_ (.D(_00896_),
+    .Q(\u_spictrl.cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13484_ (.D(_00897_),
     .Q(\u_spictrl.cnt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
+    .CLK(clknet_7_74_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13686_ (.D(_00897_),
+ sky130_fd_sc_hd__dfstp_4 _13485_ (.D(_00898_),
     .Q(\u_spictrl.spi_clk ),
     .SET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
+    .CLK(clknet_7_74_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13687_ (.D(_00898_),
+ sky130_fd_sc_hd__dfrtp_4 _13486_ (.D(_00899_),
     .Q(\u_spictrl.rx_done ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_110_0_mclk),
+    .CLK(clknet_7_119_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13688_ (.D(_00899_),
-    .Q(\u_spictrl.rx_clk_en ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13689_ (.D(_00900_),
+ sky130_fd_sc_hd__dfrtp_4 _13487_ (.D(_00900_),
     .Q(\u_spictrl.u_rxreg.data_int[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_105_0_mclk),
+    .CLK(clknet_7_91_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13690_ (.D(_00901_),
+ sky130_fd_sc_hd__dfrtp_4 _13488_ (.D(_00901_),
     .Q(\u_spictrl.u_rxreg.data_int[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_104_0_mclk),
+    .CLK(clknet_7_94_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13691_ (.D(_00902_),
+ sky130_fd_sc_hd__dfrtp_4 _13489_ (.D(_00902_),
     .Q(\u_spictrl.u_rxreg.data_int[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_105_0_mclk),
+    .CLK(clknet_7_91_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13692_ (.D(_00903_),
+ sky130_fd_sc_hd__dfrtp_4 _13490_ (.D(_00903_),
     .Q(\u_spictrl.u_rxreg.data_int[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_105_0_mclk),
+    .CLK(clknet_7_94_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13693_ (.D(_00904_),
+ sky130_fd_sc_hd__dfrtp_4 _13491_ (.D(_00904_),
     .Q(\u_spictrl.u_rxreg.data_int[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
+    .CLK(clknet_7_94_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13694_ (.D(_00905_),
+ sky130_fd_sc_hd__dfrtp_4 _13492_ (.D(_00905_),
     .Q(\u_spictrl.u_rxreg.data_int[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
+    .CLK(clknet_7_95_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13695_ (.D(_00906_),
+ sky130_fd_sc_hd__dfrtp_4 _13493_ (.D(_00906_),
     .Q(\u_spictrl.u_rxreg.data_int[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
+    .CLK(clknet_7_95_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13696_ (.D(_00907_),
+ sky130_fd_sc_hd__dfrtp_4 _13494_ (.D(_00907_),
     .Q(\u_spictrl.u_rxreg.data_int[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_98_0_mclk),
+    .CLK(clknet_7_95_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13697_ (.D(_00908_),
+ sky130_fd_sc_hd__dfrtp_4 _13495_ (.D(_00908_),
     .Q(\u_spictrl.u_rxreg.data_int[8] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_108_0_mclk),
+    .CLK(clknet_7_95_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13698_ (.D(_00909_),
+ sky130_fd_sc_hd__dfrtp_4 _13496_ (.D(_00909_),
     .Q(\u_spictrl.u_rxreg.data_int[9] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
+    .CLK(clknet_7_95_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13699_ (.D(_00910_),
+ sky130_fd_sc_hd__dfrtp_4 _13497_ (.D(_00910_),
     .Q(\u_spictrl.u_rxreg.data_int[10] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
+    .CLK(clknet_7_94_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13700_ (.D(_00911_),
+ sky130_fd_sc_hd__dfrtp_4 _13498_ (.D(_00911_),
     .Q(\u_spictrl.u_rxreg.data_int[11] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
+    .CLK(clknet_7_91_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13701_ (.D(_00912_),
+ sky130_fd_sc_hd__dfrtp_4 _13499_ (.D(_00912_),
     .Q(\u_spictrl.u_rxreg.data_int[12] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
+    .CLK(clknet_7_90_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13702_ (.D(_00913_),
+ sky130_fd_sc_hd__dfrtp_4 _13500_ (.D(_00913_),
     .Q(\u_spictrl.u_rxreg.data_int[13] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
+    .CLK(clknet_7_90_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13703_ (.D(_00914_),
+ sky130_fd_sc_hd__dfrtp_4 _13501_ (.D(_00914_),
     .Q(\u_spictrl.u_rxreg.data_int[14] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_102_0_mclk),
+    .CLK(clknet_7_90_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13704_ (.D(_00915_),
+ sky130_fd_sc_hd__dfrtp_4 _13502_ (.D(_00915_),
     .Q(\u_spictrl.u_rxreg.data_int[15] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_99_0_mclk),
+    .CLK(clknet_7_90_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13705_ (.D(_00916_),
+ sky130_fd_sc_hd__dfrtp_4 _13503_ (.D(_00916_),
     .Q(\u_spictrl.u_rxreg.data_int[16] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_99_0_mclk),
+    .CLK(clknet_7_79_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13706_ (.D(_00917_),
+ sky130_fd_sc_hd__dfrtp_4 _13504_ (.D(_00917_),
     .Q(\u_spictrl.u_rxreg.data_int[17] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_99_0_mclk),
+    .CLK(clknet_7_78_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13707_ (.D(_00918_),
+ sky130_fd_sc_hd__dfrtp_4 _13505_ (.D(_00918_),
     .Q(\u_spictrl.u_rxreg.data_int[18] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13506_ (.D(_00919_),
+    .Q(\u_spictrl.u_rxreg.data_int[19] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13507_ (.D(_00920_),
+    .Q(\u_spictrl.u_rxreg.data_int[20] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13508_ (.D(_00921_),
+    .Q(\u_spictrl.u_rxreg.data_int[21] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13509_ (.D(_00922_),
+    .Q(\u_spictrl.u_rxreg.data_int[22] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_78_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13510_ (.D(_00923_),
+    .Q(\u_spictrl.u_rxreg.data_int[23] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13511_ (.D(_00924_),
+    .Q(\u_spictrl.u_rxreg.data_int[24] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13512_ (.D(_00925_),
+    .Q(\u_spictrl.u_rxreg.data_int[25] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_79_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13513_ (.D(_00926_),
+    .Q(\u_spictrl.u_rxreg.data_int[26] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13514_ (.D(_00927_),
+    .Q(\u_spictrl.u_rxreg.data_int[27] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13515_ (.D(_00928_),
+    .Q(\u_spictrl.u_rxreg.data_int[28] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_113_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13516_ (.D(_00929_),
+    .Q(\u_spictrl.u_rxreg.data_int[29] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_113_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13517_ (.D(_00930_),
+    .Q(\u_spictrl.u_rxreg.data_int[30] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13518_ (.D(_00931_),
+    .Q(\u_spictrl.u_rxreg.data_int[31] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_91_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13519_ (.D(_00932_),
+    .Q(\u_spictrl.u_rxreg.counter[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_124_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13520_ (.D(_00933_),
+    .Q(\u_spictrl.u_rxreg.counter[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13521_ (.D(_00934_),
+    .Q(\u_spictrl.u_rxreg.counter[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13522_ (.D(_00935_),
+    .Q(\u_spictrl.u_rxreg.counter[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13523_ (.D(_00936_),
+    .Q(\u_spictrl.u_rxreg.counter[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13524_ (.D(_00937_),
+    .Q(\u_spictrl.u_rxreg.counter[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13525_ (.D(_00938_),
+    .Q(\u_spictrl.u_rxreg.counter[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13526_ (.D(_00939_),
+    .Q(\u_spictrl.u_rxreg.counter[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13527_ (.D(_00940_),
+    .Q(\u_spictrl.u_rxreg.counter[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13528_ (.D(_00941_),
+    .Q(\u_spictrl.u_rxreg.counter[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13529_ (.D(_00942_),
+    .Q(\u_spictrl.u_rxreg.counter[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13530_ (.D(_00943_),
+    .Q(\u_spictrl.u_rxreg.counter[11] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13531_ (.D(_00944_),
+    .Q(\u_spictrl.u_rxreg.counter[12] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13532_ (.D(_00945_),
+    .Q(\u_spictrl.u_rxreg.counter[13] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13533_ (.D(_00946_),
+    .Q(\u_spictrl.u_rxreg.counter[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13534_ (.D(_00947_),
+    .Q(\u_spictrl.u_rxreg.counter[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_125_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13535_ (.D(_00948_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13536_ (.D(_00949_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13537_ (.D(_00950_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[3] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13538_ (.D(_00951_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13539_ (.D(_00952_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13540_ (.D(_00953_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13541_ (.D(_00954_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_119_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13542_ (.D(_00955_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13543_ (.D(_00956_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_118_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13544_ (.D(_00957_),
+    .Q(\u_spictrl.u_rxreg.counter_trgt[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_116_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13545_ (.D(_00958_),
+    .Q(\u_spictrl.u_rxreg.qddr_rx_en ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13546_ (.D(_00959_),
+    .Q(\u_spictrl.u_txreg.spi_mode[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13547_ (.D(_00960_),
+    .Q(\u_spictrl.u_txreg.spi_mode[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_112_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13548_ (.D(_00961_),
+    .Q(\u_spictrl.spi_dummy ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13549_ (.D(_00962_),
+    .Q(\u_spictrl.spi_sdo0 ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13550_ (.D(_00963_),
+    .Q(\u_spictrl.spi_sdo1 ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13551_ (.D(_00964_),
+    .Q(\u_spictrl.spi_sdo2 ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13552_ (.D(_00965_),
+    .Q(\u_spictrl.spi_sdo3 ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_75_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13553_ (.D(_00966_),
+    .Q(\u_spictrl.u_txreg.data_int[28] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13554_ (.D(_00967_),
+    .Q(\u_spictrl.u_txreg.data_int[29] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_97_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13555_ (.D(_00968_),
+    .Q(\u_spictrl.u_txreg.data_int[30] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13556_ (.D(_00969_),
+    .Q(\u_spictrl.u_txreg.data_int[31] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_96_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13557_ (.D(_00970_),
+    .Q(\u_spictrl.u_txreg.data_int[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_100_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13558_ (.D(_00971_),
+    .Q(\u_spictrl.u_txreg.data_int[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_100_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13559_ (.D(_00972_),
+    .Q(\u_spictrl.u_txreg.data_int[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13560_ (.D(_00973_),
+    .Q(\u_spictrl.u_txreg.data_int[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13561_ (.D(_00974_),
+    .Q(\u_spictrl.u_txreg.data_int[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13562_ (.D(_00975_),
+    .Q(\u_spictrl.u_txreg.data_int[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_115_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13563_ (.D(_00976_),
+    .Q(\u_spictrl.u_txreg.data_int[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13564_ (.D(_00977_),
+    .Q(\u_spictrl.u_txreg.data_int[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13565_ (.D(_00978_),
+    .Q(\u_spictrl.u_txreg.data_int[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_114_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13566_ (.D(_00979_),
+    .Q(\u_spictrl.u_txreg.data_int[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13567_ (.D(_00980_),
+    .Q(\u_spictrl.u_txreg.data_int[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13568_ (.D(_00981_),
+    .Q(\u_spictrl.u_txreg.data_int[11] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_120_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13569_ (.D(_00982_),
+    .Q(\u_spictrl.u_txreg.data_int[12] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_101_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13570_ (.D(_00983_),
+    .Q(\u_spictrl.u_txreg.data_int[13] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_101_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13571_ (.D(_00984_),
+    .Q(\u_spictrl.u_txreg.data_int[14] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_101_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13572_ (.D(_00985_),
+    .Q(\u_spictrl.u_txreg.data_int[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_101_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13573_ (.D(_00986_),
+    .Q(\u_spictrl.u_txreg.data_int[16] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_99_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13708_ (.D(_00919_),
-    .Q(\u_spictrl.u_rxreg.data_int[19] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_96_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13709_ (.D(_00920_),
-    .Q(\u_spictrl.u_rxreg.data_int[20] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_96_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13710_ (.D(_00921_),
-    .Q(\u_spictrl.u_rxreg.data_int[21] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_96_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13711_ (.D(_00922_),
-    .Q(\u_spictrl.u_rxreg.data_int[22] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_96_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13712_ (.D(_00923_),
-    .Q(\u_spictrl.u_rxreg.data_int[23] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13713_ (.D(_00924_),
-    .Q(\u_spictrl.u_rxreg.data_int[24] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13714_ (.D(_00925_),
-    .Q(\u_spictrl.u_rxreg.data_int[25] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13715_ (.D(_00926_),
-    .Q(\u_spictrl.u_rxreg.data_int[26] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13716_ (.D(_00927_),
-    .Q(\u_spictrl.u_rxreg.data_int[27] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13717_ (.D(_00928_),
-    .Q(\u_spictrl.u_rxreg.data_int[28] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_53_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13718_ (.D(_00929_),
-    .Q(\u_spictrl.u_rxreg.data_int[29] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_55_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13719_ (.D(_00930_),
-    .Q(\u_spictrl.u_rxreg.data_int[30] ),
+ sky130_fd_sc_hd__dfrtp_4 _13574_ (.D(_00987_),
+    .Q(\u_spictrl.u_txreg.data_int[17] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_98_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13720_ (.D(_00931_),
-    .Q(\u_spictrl.u_rxreg.data_int[31] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_55_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13721_ (.D(_00932_),
-    .Q(\u_spictrl.u_rxreg.counter[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13722_ (.D(_00933_),
-    .Q(\u_spictrl.u_rxreg.counter[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_108_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13723_ (.D(_00934_),
-    .Q(\u_spictrl.u_rxreg.counter[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_108_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13724_ (.D(_00935_),
-    .Q(\u_spictrl.u_rxreg.counter[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_108_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13725_ (.D(_00936_),
-    .Q(\u_spictrl.u_rxreg.counter[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_108_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13726_ (.D(_00937_),
-    .Q(\u_spictrl.u_rxreg.counter[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_109_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13727_ (.D(_00938_),
-    .Q(\u_spictrl.u_rxreg.counter[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_110_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13728_ (.D(_00939_),
-    .Q(\u_spictrl.u_rxreg.counter[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13729_ (.D(_00940_),
-    .Q(\u_spictrl.u_rxreg.counter[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13730_ (.D(_00941_),
-    .Q(\u_spictrl.u_rxreg.counter[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13731_ (.D(_00942_),
-    .Q(\u_spictrl.u_rxreg.counter[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_110_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13732_ (.D(_00943_),
-    .Q(\u_spictrl.u_rxreg.counter[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13733_ (.D(_00944_),
-    .Q(\u_spictrl.u_rxreg.counter[12] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13734_ (.D(_00945_),
-    .Q(\u_spictrl.u_rxreg.counter[13] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13735_ (.D(_00946_),
-    .Q(\u_spictrl.u_rxreg.counter[14] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_111_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13736_ (.D(_00947_),
-    .Q(\u_spictrl.u_rxreg.counter[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_110_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13737_ (.D(_00948_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_105_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13738_ (.D(_00949_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_105_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13739_ (.D(_00950_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[3] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_105_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13740_ (.D(_00951_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_105_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13741_ (.D(_00952_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_104_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13742_ (.D(_00953_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_105_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13743_ (.D(_00954_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_104_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13744_ (.D(_00955_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_104_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13745_ (.D(_00956_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_104_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13746_ (.D(_00957_),
-    .Q(\u_spictrl.u_rxreg.counter_trgt[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_104_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13747_ (.D(_00958_),
-    .Q(\u_spictrl.u_txreg.spi_mode[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13748_ (.D(_00959_),
-    .Q(\u_spictrl.u_txreg.spi_mode[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13749_ (.D(_00960_),
-    .Q(\u_spictrl.spi_sdo0 ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13750_ (.D(_00961_),
-    .Q(\u_spictrl.spi_sdo1 ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13751_ (.D(_00962_),
-    .Q(\u_spictrl.spi_sdo2 ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13752_ (.D(_00963_),
-    .Q(\u_spictrl.spi_sdo3 ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13753_ (.D(_00964_),
-    .Q(\u_spictrl.u_txreg.data_int[28] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13754_ (.D(_00965_),
-    .Q(\u_spictrl.u_txreg.data_int[29] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_62_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13755_ (.D(_00966_),
-    .Q(\u_spictrl.u_txreg.data_int[30] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13756_ (.D(_00967_),
-    .Q(\u_spictrl.u_txreg.data_int[31] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_63_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13757_ (.D(_00968_),
-    .Q(\u_spictrl.u_txreg.data_int[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_59_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13758_ (.D(_00969_),
-    .Q(\u_spictrl.u_txreg.data_int[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_58_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13759_ (.D(_00970_),
-    .Q(\u_spictrl.u_txreg.data_int[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_59_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13760_ (.D(_00971_),
-    .Q(\u_spictrl.u_txreg.data_int[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_59_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13761_ (.D(_00972_),
-    .Q(\u_spictrl.u_txreg.data_int[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_58_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13762_ (.D(_00973_),
-    .Q(\u_spictrl.u_txreg.data_int[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_58_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13763_ (.D(_00974_),
-    .Q(\u_spictrl.u_txreg.data_int[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_58_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13764_ (.D(_00975_),
-    .Q(\u_spictrl.u_txreg.data_int[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13765_ (.D(_00976_),
-    .Q(\u_spictrl.u_txreg.data_int[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13766_ (.D(_00977_),
-    .Q(\u_spictrl.u_txreg.data_int[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13767_ (.D(_00978_),
-    .Q(\u_spictrl.u_txreg.data_int[10] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13768_ (.D(_00979_),
-    .Q(\u_spictrl.u_txreg.data_int[11] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13769_ (.D(_00980_),
-    .Q(\u_spictrl.u_txreg.data_int[12] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13770_ (.D(_00981_),
-    .Q(\u_spictrl.u_txreg.data_int[13] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_46_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13771_ (.D(_00982_),
-    .Q(\u_spictrl.u_txreg.data_int[14] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13772_ (.D(_00983_),
-    .Q(\u_spictrl.u_txreg.data_int[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13773_ (.D(_00984_),
-    .Q(\u_spictrl.u_txreg.data_int[16] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13774_ (.D(_00985_),
-    .Q(\u_spictrl.u_txreg.data_int[17] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_47_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13775_ (.D(_00986_),
+ sky130_fd_sc_hd__dfrtp_4 _13575_ (.D(_00988_),
     .Q(\u_spictrl.u_txreg.data_int[18] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_59_0_mclk),
+    .CLK(clknet_7_102_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13776_ (.D(_00987_),
+ sky130_fd_sc_hd__dfrtp_4 _13576_ (.D(_00989_),
     .Q(\u_spictrl.u_txreg.data_int[19] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_58_0_mclk),
+    .CLK(clknet_7_99_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13777_ (.D(_00988_),
+ sky130_fd_sc_hd__dfrtp_4 _13577_ (.D(_00990_),
     .Q(\u_spictrl.u_txreg.data_int[20] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_59_0_mclk),
+    .CLK(clknet_7_96_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13778_ (.D(_00989_),
+ sky130_fd_sc_hd__dfrtp_4 _13578_ (.D(_00991_),
     .Q(\u_spictrl.u_txreg.data_int[21] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_59_0_mclk),
+    .CLK(clknet_7_96_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13779_ (.D(_00990_),
+ sky130_fd_sc_hd__dfrtp_4 _13579_ (.D(_00992_),
     .Q(\u_spictrl.u_txreg.data_int[22] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_58_0_mclk),
+    .CLK(clknet_7_97_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13780_ (.D(_00991_),
+ sky130_fd_sc_hd__dfrtp_4 _13580_ (.D(_00993_),
     .Q(\u_spictrl.u_txreg.data_int[23] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_62_0_mclk),
+    .CLK(clknet_7_97_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13781_ (.D(_00992_),
+ sky130_fd_sc_hd__dfrtp_4 _13581_ (.D(_00994_),
     .Q(\u_spictrl.u_txreg.data_int[24] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_62_0_mclk),
+    .CLK(clknet_7_97_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13782_ (.D(_00993_),
+ sky130_fd_sc_hd__dfrtp_4 _13582_ (.D(_00995_),
     .Q(\u_spictrl.u_txreg.data_int[25] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_62_0_mclk),
+    .CLK(clknet_7_100_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13783_ (.D(_00994_),
+ sky130_fd_sc_hd__dfrtp_4 _13583_ (.D(_00996_),
     .Q(\u_spictrl.u_txreg.data_int[26] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_63_0_mclk),
+    .CLK(clknet_7_100_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13784_ (.D(_00995_),
+ sky130_fd_sc_hd__dfrtp_4 _13584_ (.D(_00997_),
     .Q(\u_spictrl.u_txreg.data_int[27] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_62_0_mclk),
+    .CLK(clknet_7_97_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13785_ (.D(_00996_),
+ sky130_fd_sc_hd__dfrtp_4 _13585_ (.D(_00998_),
     .Q(\u_spictrl.u_txreg.counter[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_94_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13786_ (.D(_00997_),
+ sky130_fd_sc_hd__dfrtp_4 _13586_ (.D(_00999_),
     .Q(\u_spictrl.u_txreg.counter[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_116_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13787_ (.D(_00998_),
+ sky130_fd_sc_hd__dfrtp_4 _13587_ (.D(_01000_),
     .Q(\u_spictrl.u_txreg.counter[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_113_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13788_ (.D(_00999_),
+ sky130_fd_sc_hd__dfrtp_4 _13588_ (.D(_01001_),
     .Q(\u_spictrl.u_txreg.counter[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_113_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13789_ (.D(_01000_),
+ sky130_fd_sc_hd__dfrtp_4 _13589_ (.D(_01002_),
     .Q(\u_spictrl.u_txreg.counter[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_116_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13790_ (.D(_01001_),
+ sky130_fd_sc_hd__dfrtp_4 _13590_ (.D(_01003_),
     .Q(\u_spictrl.u_txreg.counter[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_117_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13791_ (.D(_01002_),
+ sky130_fd_sc_hd__dfrtp_4 _13591_ (.D(_01004_),
     .Q(\u_spictrl.u_txreg.counter[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_110_0_mclk),
+    .CLK(clknet_7_117_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13792_ (.D(_01003_),
+ sky130_fd_sc_hd__dfrtp_4 _13592_ (.D(_01005_),
     .Q(\u_spictrl.u_txreg.counter[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_107_0_mclk),
+    .CLK(clknet_7_117_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13793_ (.D(_01004_),
+ sky130_fd_sc_hd__dfrtp_4 _13593_ (.D(_01006_),
     .Q(\u_spictrl.u_txreg.counter[8] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_107_0_mclk),
+    .CLK(clknet_7_117_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13794_ (.D(_01005_),
+ sky130_fd_sc_hd__dfrtp_4 _13594_ (.D(_01007_),
     .Q(\u_spictrl.u_txreg.counter[9] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_107_0_mclk),
+    .CLK(clknet_7_117_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13795_ (.D(_01006_),
+ sky130_fd_sc_hd__dfrtp_4 _13595_ (.D(_01008_),
     .Q(\u_spictrl.u_txreg.counter[10] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_107_0_mclk),
+    .CLK(clknet_7_117_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13796_ (.D(_01007_),
+ sky130_fd_sc_hd__dfrtp_4 _13596_ (.D(_01009_),
     .Q(\u_spictrl.u_txreg.counter[11] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_107_0_mclk),
+    .CLK(clknet_7_95_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13797_ (.D(_01008_),
+ sky130_fd_sc_hd__dfrtp_4 _13597_ (.D(_01010_),
     .Q(\u_spictrl.u_txreg.counter[12] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_107_0_mclk),
+    .CLK(clknet_7_95_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13798_ (.D(_01009_),
+ sky130_fd_sc_hd__dfrtp_4 _13598_ (.D(_01011_),
     .Q(\u_spictrl.u_txreg.counter[13] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_95_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13799_ (.D(_01010_),
+ sky130_fd_sc_hd__dfrtp_4 _13599_ (.D(_01012_),
     .Q(\u_spictrl.u_txreg.counter[14] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_94_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13800_ (.D(_01011_),
+ sky130_fd_sc_hd__dfrtp_4 _13600_ (.D(_01013_),
     .Q(\u_spictrl.u_txreg.counter[15] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_94_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13801_ (.D(_01012_),
-    .Q(\u_spictrl.u_txreg.counter_trgt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13802_ (.D(_01013_),
+ sky130_fd_sc_hd__dfrtp_4 _13601_ (.D(_01014_),
     .Q(\u_spictrl.u_txreg.counter_trgt[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_113_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13803_ (.D(_01014_),
+ sky130_fd_sc_hd__dfrtp_4 _13602_ (.D(_01015_),
     .Q(\u_spictrl.u_txreg.counter_trgt[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_112_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13804_ (.D(_01015_),
+ sky130_fd_sc_hd__dfrtp_4 _13603_ (.D(_01016_),
     .Q(\u_spictrl.u_txreg.counter_trgt[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_113_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13805_ (.D(_01016_),
+ sky130_fd_sc_hd__dfrtp_4 _13604_ (.D(_01017_),
     .Q(\u_spictrl.u_txreg.counter_trgt[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_113_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13806_ (.D(_01017_),
+ sky130_fd_sc_hd__dfrtp_4 _13605_ (.D(_01018_),
     .Q(\u_spictrl.u_txreg.counter_trgt[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_116_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13807_ (.D(_01018_),
+ sky130_fd_sc_hd__dfrtp_4 _13606_ (.D(_01019_),
     .Q(\u_spictrl.u_txreg.counter_trgt[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_107_0_mclk),
+    .CLK(clknet_7_117_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13808_ (.D(_01019_),
+ sky130_fd_sc_hd__dfrtp_4 _13607_ (.D(_01020_),
     .Q(\u_spictrl.u_txreg.counter_trgt[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_107_0_mclk),
+    .CLK(clknet_7_116_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13809_ (.D(_01020_),
+ sky130_fd_sc_hd__dfrtp_4 _13608_ (.D(_01021_),
     .Q(\u_spictrl.u_txreg.counter_trgt[8] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_105_0_mclk),
+    .CLK(clknet_7_117_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13810_ (.D(_01021_),
+ sky130_fd_sc_hd__dfrtp_4 _13609_ (.D(_01022_),
     .Q(\u_spictrl.u_txreg.counter_trgt[9] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_104_0_mclk),
+    .CLK(clknet_7_116_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13811_ (.D(_01022_),
+ sky130_fd_sc_hd__dfrtp_4 _13610_ (.D(_01023_),
     .Q(\u_spictrl.u_txreg.counter_trgt[10] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_106_0_mclk),
+    .CLK(clknet_7_117_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13812_ (.D(_01023_),
+ sky130_fd_sc_hd__dfrtp_4 _13611_ (.D(_01024_),
     .Q(spi_debug[9]),
     .RESET_B(rst_n),
     .CLK(clknet_7_33_0_mclk),
@@ -54872,135 +54260,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13813_ (.D(_01024_),
+ sky130_fd_sc_hd__dfrtp_4 _13612_ (.D(_01025_),
     .Q(spi_debug[10]),
     .RESET_B(rst_n),
-    .CLK(clknet_7_32_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13814_ (.D(_01025_),
-    .Q(spi_debug[11]),
-    .RESET_B(rst_n),
     .CLK(clknet_7_33_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13815_ (.D(_01026_),
+ sky130_fd_sc_hd__dfrtp_4 _13613_ (.D(_01026_),
+    .Q(spi_debug[11]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_32_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13614_ (.D(_01027_),
     .Q(\u_spim_regs.cfg_m1_addr[24] ),
     .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13816_ (.D(_01027_),
+ sky130_fd_sc_hd__dfxtp_4 _13615_ (.D(_01028_),
     .Q(\u_spim_regs.cfg_m1_addr[25] ),
     .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13817_ (.D(_01028_),
+ sky130_fd_sc_hd__dfxtp_4 _13616_ (.D(_01029_),
     .Q(\u_spim_regs.cfg_m1_addr[26] ),
     .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13818_ (.D(_01029_),
+ sky130_fd_sc_hd__dfxtp_4 _13617_ (.D(_01030_),
     .Q(\u_spim_regs.cfg_m1_addr[27] ),
     .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13819_ (.D(_01030_),
+ sky130_fd_sc_hd__dfxtp_4 _13618_ (.D(_01031_),
     .Q(\u_spim_regs.cfg_m1_addr[28] ),
-    .CLK(clknet_7_11_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13820_ (.D(_01031_),
+ sky130_fd_sc_hd__dfxtp_4 _13619_ (.D(_01032_),
     .Q(\u_spim_regs.cfg_m1_addr[29] ),
-    .CLK(clknet_7_11_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13821_ (.D(_01032_),
+ sky130_fd_sc_hd__dfxtp_4 _13620_ (.D(_01033_),
     .Q(\u_spim_regs.cfg_m1_addr[30] ),
     .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13822_ (.D(_01033_),
+ sky130_fd_sc_hd__dfxtp_4 _13621_ (.D(_01034_),
     .Q(\u_spim_regs.cfg_m1_addr[31] ),
     .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13823_ (.D(_01034_),
+ sky130_fd_sc_hd__dfrtp_4 _13622_ (.D(_01035_),
     .Q(\u_spictrl.spi_clk_div[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_30_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13824_ (.D(_01035_),
-    .Q(\u_spictrl.spi_clk_div[1] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13825_ (.D(_01036_),
-    .Q(\u_spictrl.spi_clk_div[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_30_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13826_ (.D(_01037_),
-    .Q(\u_spictrl.spi_clk_div[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_30_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13827_ (.D(_01038_),
-    .Q(\u_spictrl.spi_clk_div[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13828_ (.D(_01039_),
-    .Q(\u_spictrl.spi_clk_div[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13829_ (.D(_01040_),
-    .Q(\u_spictrl.spi_clk_div[6] ),
-    .RESET_B(rst_n),
     .CLK(clknet_7_28_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13830_ (.D(_01041_),
+ sky130_fd_sc_hd__dfstp_4 _13623_ (.D(_01036_),
+    .Q(\u_spictrl.spi_clk_div[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_28_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13624_ (.D(_01037_),
+    .Q(\u_spictrl.spi_clk_div[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_28_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13625_ (.D(_01038_),
+    .Q(\u_spictrl.spi_clk_div[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13626_ (.D(_01039_),
+    .Q(\u_spictrl.spi_clk_div[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_22_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13627_ (.D(_01040_),
+    .Q(\u_spictrl.spi_clk_div[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13628_ (.D(_01041_),
+    .Q(\u_spictrl.spi_clk_div[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_22_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13629_ (.D(_01042_),
     .Q(\u_spictrl.spi_clk_div[7] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_28_0_mclk),
@@ -55008,215 +54396,215 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13831_ (.D(_01042_),
+ sky130_fd_sc_hd__dfrtp_4 _13630_ (.D(_01043_),
     .Q(\u_spim_regs.cfg_m0_fsm_reset ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_25_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13631_ (.D(_01044_),
+    .Q(\u_spictrl.cfg_m0_cs_reg[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13632_ (.D(_01045_),
+    .Q(\u_spictrl.cfg_m0_cs_reg[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13633_ (.D(_01046_),
+    .Q(\u_spictrl.cfg_m0_cs_reg[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13634_ (.D(_01047_),
+    .Q(\u_spictrl.cfg_m0_cs_reg[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_29_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13635_ (.D(_01048_),
+    .Q(\u_spictrl.cfg_m0_spi_mode[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13636_ (.D(_01049_),
+    .Q(\u_spictrl.cfg_m0_spi_mode[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_31_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13637_ (.D(_01050_),
+    .Q(\u_spictrl.cfg_m0_spi_switch[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13638_ (.D(_01051_),
+    .Q(\u_spictrl.cfg_m0_spi_switch[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_30_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13639_ (.D(_01052_),
+    .Q(\u_spim_regs.cfg_m0_spi_seq[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13640_ (.D(_01053_),
+    .Q(\u_spim_regs.cfg_m0_spi_seq[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13641_ (.D(_01054_),
+    .Q(\u_spim_regs.cfg_m0_spi_seq[2] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13642_ (.D(_01055_),
+    .Q(\u_spim_regs.cfg_m0_spi_seq[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13643_ (.D(_01056_),
+    .Q(\u_spim_regs.cfg_m0_addr_cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13644_ (.D(_01057_),
+    .Q(\u_spim_regs.cfg_m0_addr_cnt[1] ),
+    .SET_B(rst_n),
     .CLK(clknet_7_24_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13832_ (.D(_01043_),
-    .Q(\u_spictrl.cfg_m0_cs_reg[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_30_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13833_ (.D(_01044_),
-    .Q(\u_spictrl.cfg_m0_cs_reg[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_30_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13834_ (.D(_01045_),
-    .Q(\u_spictrl.cfg_m0_cs_reg[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13835_ (.D(_01046_),
-    .Q(\u_spictrl.cfg_m0_cs_reg[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13836_ (.D(_01047_),
-    .Q(\u_spictrl.cfg_m0_spi_mode[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_25_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13837_ (.D(_01048_),
-    .Q(\u_spictrl.cfg_m0_spi_mode[1] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_25_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13838_ (.D(_01049_),
-    .Q(\u_spictrl.cfg_m0_spi_switch[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_26_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13839_ (.D(_01050_),
-    .Q(\u_spictrl.cfg_m0_spi_switch[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_27_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13840_ (.D(_01051_),
-    .Q(\u_spim_regs.cfg_m0_spi_seq[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_26_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13841_ (.D(_01052_),
-    .Q(\u_spim_regs.cfg_m0_spi_seq[1] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_26_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13842_ (.D(_01053_),
-    .Q(\u_spim_regs.cfg_m0_spi_seq[2] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_26_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13843_ (.D(_01054_),
-    .Q(\u_spim_regs.cfg_m0_spi_seq[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_27_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13844_ (.D(_01055_),
-    .Q(\u_spim_regs.cfg_m0_addr_cnt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_27_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13845_ (.D(_01056_),
-    .Q(\u_spim_regs.cfg_m0_addr_cnt[1] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_27_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13846_ (.D(_01057_),
+ sky130_fd_sc_hd__dfstp_4 _13645_ (.D(_01058_),
     .Q(\u_spim_regs.cfg_m0_dummy_cnt[0] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_27_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13847_ (.D(_01058_),
-    .Q(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_27_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13848_ (.D(_01059_),
-    .Q(\u_spim_regs.cfg_m0_data_cnt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13849_ (.D(_01060_),
-    .Q(\u_spim_regs.cfg_m0_data_cnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13850_ (.D(_01061_),
-    .Q(\u_spim_regs.cfg_m0_data_cnt[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_6_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13851_ (.D(_01062_),
-    .Q(\u_spim_regs.cfg_m0_data_cnt[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13852_ (.D(_01063_),
-    .Q(\u_spim_regs.cfg_m0_data_cnt[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13853_ (.D(_01064_),
-    .Q(\u_spim_regs.cfg_m0_data_cnt[5] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13854_ (.D(_01065_),
-    .Q(\u_spim_regs.cfg_m0_data_cnt[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_3_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13855_ (.D(_01066_),
-    .Q(\u_spim_regs.cfg_m0_data_cnt[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_6_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13856_ (.D(_01067_),
-    .Q(\u_spim_regs.cfg_m0_cmd_reg[0] ),
-    .SET_B(rst_n),
     .CLK(clknet_7_18_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13857_ (.D(_01068_),
+ sky130_fd_sc_hd__dfrtp_4 _13646_ (.D(_01059_),
+    .Q(\u_spim_regs.cfg_m0_dummy_cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13647_ (.D(_01060_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13648_ (.D(_01061_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13649_ (.D(_01062_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13650_ (.D(_01063_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13651_ (.D(_01064_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13652_ (.D(_01065_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[5] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13653_ (.D(_01066_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13654_ (.D(_01067_),
+    .Q(\u_spim_regs.cfg_m0_data_cnt[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13655_ (.D(_01068_),
+    .Q(\u_spim_regs.cfg_m0_cmd_reg[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13656_ (.D(_01069_),
     .Q(\u_spim_regs.cfg_m0_cmd_reg[1] ),
     .SET_B(rst_n),
     .CLK(clknet_7_7_0_mclk),
@@ -55224,23 +54612,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13858_ (.D(_01069_),
+ sky130_fd_sc_hd__dfrtp_4 _13657_ (.D(_01070_),
     .Q(\u_spim_regs.cfg_m0_cmd_reg[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_18_0_mclk),
+    .CLK(clknet_7_7_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13859_ (.D(_01070_),
+ sky130_fd_sc_hd__dfstp_4 _13658_ (.D(_01071_),
     .Q(\u_spim_regs.cfg_m0_cmd_reg[3] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_18_0_mclk),
+    .CLK(clknet_7_7_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13860_ (.D(_01071_),
+ sky130_fd_sc_hd__dfrtp_4 _13659_ (.D(_01072_),
     .Q(\u_spim_regs.cfg_m0_cmd_reg[4] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_24_0_mclk),
@@ -55248,7 +54636,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13861_ (.D(_01072_),
+ sky130_fd_sc_hd__dfstp_4 _13660_ (.D(_01073_),
     .Q(\u_spim_regs.cfg_m0_cmd_reg[5] ),
     .SET_B(rst_n),
     .CLK(clknet_7_24_0_mclk),
@@ -55256,31 +54644,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13862_ (.D(_01073_),
+ sky130_fd_sc_hd__dfstp_4 _13661_ (.D(_01074_),
     .Q(\u_spim_regs.cfg_m0_cmd_reg[6] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_24_0_mclk),
+    .CLK(clknet_7_13_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13863_ (.D(_01074_),
+ sky130_fd_sc_hd__dfstp_4 _13662_ (.D(_01075_),
     .Q(\u_spim_regs.cfg_m0_cmd_reg[7] ),
     .SET_B(rst_n),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13663_ (.D(_01076_),
+    .Q(\u_spim_regs.cfg_m0_mode_reg[0] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_24_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13864_ (.D(_01075_),
-    .Q(\u_spim_regs.cfg_m0_mode_reg[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_25_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13865_ (.D(_01076_),
+ sky130_fd_sc_hd__dfrtp_4 _13664_ (.D(_01077_),
     .Q(\u_spim_regs.cfg_m0_mode_reg[1] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_24_0_mclk),
@@ -55288,47 +54676,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13866_ (.D(_01077_),
+ sky130_fd_sc_hd__dfrtp_4 _13665_ (.D(_01078_),
     .Q(\u_spim_regs.cfg_m0_mode_reg[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_28_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13867_ (.D(_01078_),
-    .Q(\u_spim_regs.cfg_m0_mode_reg[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_28_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13868_ (.D(_01079_),
-    .Q(\u_spim_regs.cfg_m0_mode_reg[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_29_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13869_ (.D(_01080_),
-    .Q(\u_spim_regs.cfg_m0_mode_reg[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_29_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13870_ (.D(_01081_),
-    .Q(\u_spim_regs.cfg_m0_mode_reg[6] ),
-    .RESET_B(rst_n),
     .CLK(clknet_7_25_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13871_ (.D(_01082_),
+ sky130_fd_sc_hd__dfrtp_4 _13666_ (.D(_01079_),
+    .Q(\u_spim_regs.cfg_m0_mode_reg[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_25_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13667_ (.D(_01080_),
+    .Q(\u_spim_regs.cfg_m0_mode_reg[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_25_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13668_ (.D(_01081_),
+    .Q(\u_spim_regs.cfg_m0_mode_reg[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_22_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13669_ (.D(_01082_),
+    .Q(\u_spim_regs.cfg_m0_mode_reg[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13670_ (.D(_01083_),
     .Q(\u_spim_regs.cfg_m0_mode_reg[7] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_25_0_mclk),
@@ -55336,247 +54724,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13872_ (.D(_01083_),
+ sky130_fd_sc_hd__dfstp_4 _13671_ (.D(_01084_),
     .Q(\u_spictrl.cfg_m1_cs_reg[0] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_26_0_mclk),
+    .CLK(clknet_7_28_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13873_ (.D(_01084_),
+ sky130_fd_sc_hd__dfrtp_4 _13672_ (.D(_01085_),
     .Q(\u_spictrl.cfg_m1_cs_reg[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_13_0_mclk),
+    .CLK(clknet_7_25_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13874_ (.D(_01085_),
+ sky130_fd_sc_hd__dfrtp_4 _13673_ (.D(_01086_),
     .Q(\u_spictrl.cfg_m1_cs_reg[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_13_0_mclk),
+    .CLK(clknet_7_25_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13875_ (.D(_01086_),
+ sky130_fd_sc_hd__dfrtp_4 _13674_ (.D(_01087_),
     .Q(\u_spictrl.cfg_m1_cs_reg[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_13_0_mclk),
+    .CLK(clknet_7_28_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13876_ (.D(_01087_),
+ sky130_fd_sc_hd__dfrtp_4 _13675_ (.D(_01088_),
     .Q(\u_spictrl.cfg_m1_spi_mode[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_13_0_mclk),
+    .CLK(clknet_7_25_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13877_ (.D(_01088_),
+ sky130_fd_sc_hd__dfstp_4 _13676_ (.D(_01089_),
     .Q(\u_spictrl.cfg_m1_spi_mode[1] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_13_0_mclk),
+    .CLK(clknet_7_30_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13878_ (.D(_01089_),
+ sky130_fd_sc_hd__dfrtp_4 _13677_ (.D(_01090_),
     .Q(\u_spictrl.cfg_m1_spi_switch[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_26_0_mclk),
+    .CLK(clknet_7_30_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13879_ (.D(_01090_),
+ sky130_fd_sc_hd__dfstp_4 _13678_ (.D(_01091_),
     .Q(\u_spictrl.cfg_m1_spi_switch[1] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_26_0_mclk),
+    .CLK(clknet_7_27_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13880_ (.D(_01091_),
+ sky130_fd_sc_hd__dfstp_4 _13679_ (.D(_01092_),
     .Q(\u_spictrl.cfg_cs_early[0] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_30_0_mclk),
+    .CLK(clknet_7_31_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13881_ (.D(_01092_),
+ sky130_fd_sc_hd__dfrtp_4 _13680_ (.D(_01093_),
     .Q(\u_spictrl.cfg_cs_early[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_30_0_mclk),
+    .CLK(clknet_7_31_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13882_ (.D(_01093_),
+ sky130_fd_sc_hd__dfstp_4 _13681_ (.D(_01094_),
     .Q(\u_spictrl.cfg_cs_late[0] ),
     .SET_B(rst_n),
-    .CLK(clknet_7_52_0_mclk),
+    .CLK(clknet_7_29_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13883_ (.D(_01094_),
+ sky130_fd_sc_hd__dfrtp_4 _13682_ (.D(_01095_),
     .Q(\u_spictrl.cfg_cs_late[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_30_0_mclk),
+    .CLK(clknet_7_74_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13884_ (.D(_01095_),
+ sky130_fd_sc_hd__dfrtp_4 _13683_ (.D(_01096_),
     .Q(\u_spim_regs.cfg_m1_fsm_reset[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_33_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13885_ (.D(_01096_),
-    .Q(\u_spim_regs.cfg_m1_spi_seq[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_14_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13886_ (.D(_01097_),
-    .Q(\u_spim_regs.cfg_m1_spi_seq[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13887_ (.D(_01098_),
-    .Q(\u_spim_regs.cfg_m1_spi_seq[2] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13888_ (.D(_01099_),
-    .Q(\u_spim_regs.cfg_m1_spi_seq[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13889_ (.D(_01100_),
-    .Q(\u_spim_regs.cfg_m1_addr_cnt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_48_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _13890_ (.D(_01101_),
-    .Q(\u_spim_regs.cfg_m1_addr_cnt[1] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_37_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13891_ (.D(_01102_),
-    .Q(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_15_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13892_ (.D(_01103_),
-    .Q(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_15_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13893_ (.D(_01104_),
-    .Q(\u_spim_regs.cfg_m1_data_cnt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13894_ (.D(_01105_),
-    .Q(\u_spim_regs.cfg_m1_data_cnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13895_ (.D(_01106_),
-    .Q(\u_spim_regs.cfg_m1_data_cnt[2] ),
-    .RESET_B(rst_n),
     .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13896_ (.D(_01107_),
-    .Q(\u_spim_regs.cfg_m1_data_cnt[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_11_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13897_ (.D(_01108_),
-    .Q(\u_spim_regs.cfg_m1_data_cnt[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13898_ (.D(_01109_),
-    .Q(\u_spim_regs.cfg_m1_data_cnt[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13899_ (.D(_01110_),
-    .Q(\u_spim_regs.cfg_m1_data_cnt[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_11_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13900_ (.D(_01111_),
-    .Q(\u_spim_regs.cfg_m1_data_cnt[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_11_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13901_ (.D(_01112_),
+ sky130_fd_sc_hd__dfrtp_4 _13684_ (.D(_01097_),
     .Q(\u_spim_regs.cur_cnt[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_32_0_mclk),
+    .CLK(clknet_7_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13902_ (.D(_01113_),
+ sky130_fd_sc_hd__dfrtp_4 _13685_ (.D(_01098_),
     .Q(\u_spim_regs.cur_cnt[1] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_32_0_mclk),
@@ -55584,7 +54844,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13903_ (.D(_01114_),
+ sky130_fd_sc_hd__dfrtp_4 _13686_ (.D(_01099_),
     .Q(\u_spim_regs.cur_cnt[2] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_32_0_mclk),
@@ -55592,7 +54852,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13904_ (.D(_01115_),
+ sky130_fd_sc_hd__dfrtp_4 _13687_ (.D(_01100_),
     .Q(\u_spim_regs.cur_cnt[3] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_32_0_mclk),
@@ -55600,7 +54860,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13905_ (.D(_01116_),
+ sky130_fd_sc_hd__dfrtp_4 _13688_ (.D(_01101_),
     .Q(\u_spim_regs.cur_cnt[4] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_32_0_mclk),
@@ -55608,7 +54868,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13906_ (.D(_01117_),
+ sky130_fd_sc_hd__dfrtp_4 _13689_ (.D(_01102_),
     .Q(\u_spim_regs.cur_cnt[5] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_32_0_mclk),
@@ -55616,39 +54876,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13907_ (.D(_01118_),
-    .Q(\u_wb_if.NextPreAddr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _13690_ (.D(_01103_),
+    .Q(\u_spim_regs.cfg_m1_spi_seq[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_4_0_mclk),
+    .CLK(clknet_7_11_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13908_ (.D(_01119_),
-    .Q(\u_wb_if.NextPreAddr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _13691_ (.D(_01104_),
+    .Q(\u_spim_regs.cfg_m1_spi_seq[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_4_0_mclk),
+    .CLK(clknet_7_15_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13909_ (.D(_01120_),
+ sky130_fd_sc_hd__dfstp_4 _13692_ (.D(_01105_),
+    .Q(\u_spim_regs.cfg_m1_spi_seq[2] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13693_ (.D(_01106_),
+    .Q(\u_spim_regs.cfg_m1_spi_seq[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13694_ (.D(_01107_),
     .Q(\u_m0_cmd_fifo.rd_ptr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_55_0_mclk),
+    .CLK(clknet_7_37_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13910_ (.D(_01121_),
+ sky130_fd_sc_hd__dfrtp_4 _13695_ (.D(_01108_),
     .Q(\u_m0_cmd_fifo.rd_ptr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_55_0_mclk),
+    .CLK(clknet_7_36_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13911_ (.D(_01122_),
+ sky130_fd_sc_hd__dfrtp_4 _13696_ (.D(_01109_),
+    .Q(\u_m0_cmd_fifo.wr_ptr[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13697_ (.D(_01110_),
+    .Q(\u_m0_cmd_fifo.wr_ptr[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_36_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13698_ (.D(_01111_),
     .Q(\u_wb_if.NextPreAddr[2] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_16_0_mclk),
@@ -55656,39 +54948,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13912_ (.D(_01123_),
+ sky130_fd_sc_hd__dfrtp_4 _13699_ (.D(_01112_),
     .Q(\u_wb_if.NextPreAddr[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
+    .CLK(clknet_7_7_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13913_ (.D(_01124_),
+ sky130_fd_sc_hd__dfrtp_4 _13700_ (.D(_01113_),
     .Q(\u_wb_if.NextPreAddr[4] ),
     .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13701_ (.D(_01114_),
+    .Q(\u_wb_if.NextPreAddr[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_7_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13702_ (.D(_01115_),
+    .Q(\u_wb_if.NextPreAddr[6] ),
+    .RESET_B(rst_n),
     .CLK(clknet_7_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13914_ (.D(_01125_),
-    .Q(\u_wb_if.NextPreAddr[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_16_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13915_ (.D(_01126_),
-    .Q(\u_wb_if.NextPreAddr[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_16_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13916_ (.D(_01127_),
+ sky130_fd_sc_hd__dfrtp_4 _13703_ (.D(_01116_),
     .Q(\u_wb_if.NextPreAddr[7] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_5_0_mclk),
@@ -55696,7 +54988,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13917_ (.D(_01128_),
+ sky130_fd_sc_hd__dfrtp_4 _13704_ (.D(_01117_),
     .Q(\u_wb_if.NextPreAddr[8] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_16_0_mclk),
@@ -55704,15 +54996,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13918_ (.D(_01129_),
+ sky130_fd_sc_hd__dfrtp_4 _13705_ (.D(_01118_),
     .Q(\u_wb_if.NextPreAddr[9] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_16_0_mclk),
+    .CLK(clknet_7_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13919_ (.D(_01130_),
+ sky130_fd_sc_hd__dfrtp_4 _13706_ (.D(_01119_),
     .Q(\u_wb_if.NextPreAddr[10] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_16_0_mclk),
@@ -55720,7 +55012,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13920_ (.D(_01131_),
+ sky130_fd_sc_hd__dfrtp_4 _13707_ (.D(_01120_),
     .Q(\u_wb_if.NextPreAddr[11] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_5_0_mclk),
@@ -55728,7 +55020,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13921_ (.D(_01132_),
+ sky130_fd_sc_hd__dfrtp_4 _13708_ (.D(_01121_),
     .Q(\u_wb_if.NextPreAddr[12] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_5_0_mclk),
@@ -55736,7 +55028,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13922_ (.D(_01133_),
+ sky130_fd_sc_hd__dfrtp_4 _13709_ (.D(_01122_),
     .Q(\u_wb_if.NextPreAddr[13] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_5_0_mclk),
@@ -55744,7 +55036,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13923_ (.D(_01134_),
+ sky130_fd_sc_hd__dfrtp_4 _13710_ (.D(_01123_),
     .Q(\u_wb_if.NextPreAddr[14] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_5_0_mclk),
@@ -55752,15 +55044,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13924_ (.D(_01135_),
+ sky130_fd_sc_hd__dfrtp_4 _13711_ (.D(_01124_),
     .Q(\u_wb_if.NextPreAddr[15] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_4_0_mclk),
+    .CLK(clknet_7_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13925_ (.D(_01136_),
+ sky130_fd_sc_hd__dfrtp_4 _13712_ (.D(_01125_),
     .Q(\u_wb_if.NextPreAddr[16] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_5_0_mclk),
@@ -55768,71 +55060,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13926_ (.D(_01137_),
+ sky130_fd_sc_hd__dfrtp_4 _13713_ (.D(_01126_),
     .Q(\u_wb_if.NextPreAddr[17] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_4_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13927_ (.D(_01138_),
-    .Q(\u_wb_if.NextPreAddr[18] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_4_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13928_ (.D(_01139_),
-    .Q(\u_wb_if.NextPreAddr[19] ),
-    .RESET_B(rst_n),
     .CLK(clknet_7_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13929_ (.D(_01140_),
+ sky130_fd_sc_hd__dfrtp_4 _13714_ (.D(_01127_),
+    .Q(\u_wb_if.NextPreAddr[18] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_1_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13715_ (.D(_01128_),
+    .Q(\u_wb_if.NextPreAddr[19] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_4_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13716_ (.D(_01129_),
     .Q(\u_wb_if.NextPreAddr[20] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13930_ (.D(_01141_),
-    .Q(\u_wb_if.NextPreAddr[21] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13931_ (.D(_01142_),
-    .Q(\u_wb_if.NextPreAddr[22] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13932_ (.D(_01143_),
-    .Q(\u_wb_if.NextPreAddr[23] ),
-    .RESET_B(rst_n),
     .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13933_ (.D(_01144_),
-    .Q(\u_wb_if.NextPreAddr[24] ),
+ sky130_fd_sc_hd__dfrtp_4 _13717_ (.D(_01130_),
+    .Q(\u_wb_if.NextPreAddr[21] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13718_ (.D(_01131_),
+    .Q(\u_wb_if.NextPreAddr[22] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_17_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13934_ (.D(_01145_),
+ sky130_fd_sc_hd__dfrtp_4 _13719_ (.D(_01132_),
+    .Q(\u_wb_if.NextPreAddr[23] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13720_ (.D(_01133_),
+    .Q(\u_wb_if.NextPreAddr[24] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13721_ (.D(_01134_),
     .Q(\u_wb_if.NextPreAddr[25] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_16_0_mclk),
@@ -55840,39 +55132,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13935_ (.D(_01146_),
+ sky130_fd_sc_hd__dfrtp_4 _13722_ (.D(_01135_),
     .Q(\u_wb_if.NextPreAddr[26] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13936_ (.D(_01147_),
-    .Q(\u_wb_if.NextPreAddr[27] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13937_ (.D(_01148_),
-    .Q(\u_wb_if.NextPreAddr[28] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13938_ (.D(_01149_),
-    .Q(\u_wb_if.NextPreAddr[29] ),
-    .RESET_B(rst_n),
     .CLK(clknet_7_17_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13939_ (.D(_01150_),
+ sky130_fd_sc_hd__dfrtp_4 _13723_ (.D(_01136_),
+    .Q(\u_wb_if.NextPreAddr[27] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_17_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13724_ (.D(_01137_),
+    .Q(\u_wb_if.NextPreAddr[28] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13725_ (.D(_01138_),
+    .Q(\u_wb_if.NextPreAddr[29] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_16_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13726_ (.D(_01139_),
     .Q(\u_wb_if.NextPreAddr[30] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_16_0_mclk),
@@ -55880,663 +55172,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13940_ (.D(_01151_),
+ sky130_fd_sc_hd__dfrtp_4 _13727_ (.D(_01140_),
     .Q(\u_wb_if.NextPreAddr[31] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
+    .CLK(clknet_7_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13941_ (.D(_01152_),
-    .Q(\u_m0_cmd_fifo.wr_ptr[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_52_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13942_ (.D(_01153_),
-    .Q(\u_m0_cmd_fifo.wr_ptr[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_52_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13943_ (.D(_01154_),
+ sky130_fd_sc_hd__dfrtp_4 _13728_ (.D(_01141_),
     .Q(\u_m1_cmd_fifo.rd_ptr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_45_0_mclk),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13944_ (.D(_01155_),
+ sky130_fd_sc_hd__dfrtp_4 _13729_ (.D(_01142_),
     .Q(\u_m1_cmd_fifo.rd_ptr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_45_0_mclk),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13945_ (.D(_01156_),
+ sky130_fd_sc_hd__dfrtp_4 _13730_ (.D(_01143_),
     .Q(\u_m1_cmd_fifo.rd_ptr[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_39_0_mclk),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13946_ (.D(_01157_),
-    .Q(\u_m1_cmd_fifo.wr_ptr[0] ),
+ sky130_fd_sc_hd__dfrtp_4 _13731_ (.D(_01144_),
+    .Q(\u_wb_if.NextPreAddr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_45_0_mclk),
+    .CLK(clknet_7_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13947_ (.D(_01158_),
-    .Q(\u_m1_cmd_fifo.wr_ptr[1] ),
+ sky130_fd_sc_hd__dfrtp_4 _13732_ (.D(_01145_),
+    .Q(\u_wb_if.NextPreAddr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_45_0_mclk),
+    .CLK(clknet_7_6_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13948_ (.D(_01159_),
-    .Q(\u_m1_cmd_fifo.wr_ptr[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_45_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13949_ (.D(_01160_),
-    .Q(wbd_dat_o[0]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13950_ (.D(_01161_),
-    .Q(wbd_dat_o[1]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13951_ (.D(_01162_),
-    .Q(wbd_dat_o[2]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13952_ (.D(_01163_),
-    .Q(wbd_dat_o[3]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13953_ (.D(_01164_),
-    .Q(wbd_dat_o[4]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13954_ (.D(_01165_),
-    .Q(wbd_dat_o[5]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13955_ (.D(_01166_),
-    .Q(wbd_dat_o[6]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13956_ (.D(_01167_),
-    .Q(wbd_dat_o[7]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13957_ (.D(_01168_),
-    .Q(wbd_dat_o[8]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13958_ (.D(_01169_),
-    .Q(wbd_dat_o[9]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13959_ (.D(_01170_),
-    .Q(wbd_dat_o[10]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_22_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13960_ (.D(_01171_),
-    .Q(wbd_dat_o[11]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_20_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13961_ (.D(_01172_),
-    .Q(wbd_dat_o[12]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_17_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13962_ (.D(_01173_),
-    .Q(wbd_dat_o[13]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13963_ (.D(_01174_),
-    .Q(wbd_dat_o[14]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13964_ (.D(_01175_),
-    .Q(wbd_dat_o[15]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_22_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13965_ (.D(_01176_),
-    .Q(wbd_dat_o[16]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_18_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13966_ (.D(_01177_),
-    .Q(wbd_dat_o[17]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_18_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13967_ (.D(_01178_),
-    .Q(wbd_dat_o[18]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_18_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13968_ (.D(_01179_),
-    .Q(wbd_dat_o[19]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_18_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13969_ (.D(_01180_),
-    .Q(wbd_dat_o[20]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13970_ (.D(_01181_),
-    .Q(wbd_dat_o[21]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13971_ (.D(_01182_),
-    .Q(wbd_dat_o[22]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13972_ (.D(_01183_),
-    .Q(wbd_dat_o[23]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_18_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13973_ (.D(_01184_),
-    .Q(wbd_dat_o[24]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13974_ (.D(_01185_),
-    .Q(wbd_dat_o[25]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_18_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13975_ (.D(_01186_),
-    .Q(wbd_dat_o[26]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13976_ (.D(_01187_),
-    .Q(wbd_dat_o[27]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_18_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13977_ (.D(_01188_),
-    .Q(wbd_dat_o[28]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13978_ (.D(_01189_),
-    .Q(wbd_dat_o[29]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13979_ (.D(_01190_),
-    .Q(wbd_dat_o[30]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_18_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13980_ (.D(_01191_),
-    .Q(wbd_dat_o[31]),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_16_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13981_ (.D(_01192_),
-    .Q(\u_spim_regs.spi_delay_cnt[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13982_ (.D(_01193_),
-    .Q(\u_spim_regs.spi_delay_cnt[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13983_ (.D(_01194_),
-    .Q(\u_spim_regs.spi_delay_cnt[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13984_ (.D(_01195_),
-    .Q(\u_spim_regs.spi_delay_cnt[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13985_ (.D(_01196_),
-    .Q(\u_spim_regs.spi_delay_cnt[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13986_ (.D(_01197_),
-    .Q(\u_spim_regs.spi_delay_cnt[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13987_ (.D(_01198_),
-    .Q(\u_spim_regs.spi_delay_cnt[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13988_ (.D(_01199_),
-    .Q(\u_spim_regs.spi_delay_cnt[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13989_ (.D(_01200_),
-    .Q(\u_spim_regs.spi_delay_cnt[8] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_42_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13990_ (.D(_01201_),
-    .Q(\u_spim_regs.spi_delay_cnt[9] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_43_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _13991_ (.D(_01202_),
-    .Q(\u_spim_regs.cfg_m1_req ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13992_ (.D(_01203_),
-    .Q(\u_spim_regs.cfg_m1_addr[0] ),
-    .CLK(clknet_7_13_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13993_ (.D(_01204_),
-    .Q(\u_spim_regs.cfg_m1_addr[1] ),
-    .CLK(clknet_7_13_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13994_ (.D(_01205_),
-    .Q(\u_spim_regs.cfg_m1_addr[2] ),
-    .CLK(clknet_7_13_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13995_ (.D(_01206_),
-    .Q(\u_spim_regs.cfg_m1_addr[3] ),
-    .CLK(clknet_7_13_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13996_ (.D(_01207_),
-    .Q(\u_spim_regs.cfg_m1_addr[4] ),
-    .CLK(clknet_7_13_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13997_ (.D(_01208_),
-    .Q(\u_spim_regs.cfg_m1_addr[5] ),
-    .CLK(clknet_7_13_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13998_ (.D(_01209_),
-    .Q(\u_spim_regs.cfg_m1_addr[6] ),
-    .CLK(clknet_7_15_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _13999_ (.D(_01210_),
-    .Q(\u_spim_regs.cfg_m1_addr[7] ),
-    .CLK(clknet_7_15_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _14000_ (.D(_01211_),
-    .Q(\u_spim_regs.cfg_m1_addr[16] ),
-    .CLK(clknet_7_14_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _14001_ (.D(_01212_),
-    .Q(\u_spim_regs.cfg_m1_addr[17] ),
-    .CLK(clknet_7_14_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _14002_ (.D(_01213_),
-    .Q(\u_spim_regs.cfg_m1_addr[18] ),
-    .CLK(clknet_7_15_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _14003_ (.D(_01214_),
-    .Q(\u_spim_regs.cfg_m1_addr[19] ),
-    .CLK(clknet_7_14_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _14004_ (.D(_01215_),
-    .Q(\u_spim_regs.cfg_m1_addr[20] ),
-    .CLK(clknet_7_26_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _14005_ (.D(_01216_),
-    .Q(\u_spim_regs.cfg_m1_addr[21] ),
-    .CLK(clknet_7_14_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _14006_ (.D(_01217_),
-    .Q(\u_spim_regs.cfg_m1_addr[22] ),
-    .CLK(clknet_7_14_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfxtp_4 _14007_ (.D(_01218_),
-    .Q(\u_spim_regs.cfg_m1_addr[23] ),
-    .CLK(clknet_7_15_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14008_ (.D(_01219_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14009_ (.D(_01220_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_10_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14010_ (.D(_01221_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14011_ (.D(_01222_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14012_ (.D(_01223_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14013_ (.D(_01224_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[5] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_8_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14014_ (.D(_01225_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[6] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14015_ (.D(_01226_),
-    .Q(\u_spim_regs.cfg_m1_mode_reg[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _14016_ (.D(_01227_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[0] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_12_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _14017_ (.D(_01228_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[1] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_12_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14018_ (.D(_01229_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_12_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _14019_ (.D(_01230_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[3] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_12_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14020_ (.D(_01231_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[4] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_12_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _14021_ (.D(_01232_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[5] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_12_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfstp_4 _14022_ (.D(_01233_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[6] ),
-    .SET_B(rst_n),
-    .CLK(clknet_7_9_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14023_ (.D(_01234_),
-    .Q(\u_spim_regs.cfg_m1_cmd_reg[7] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_15_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14024_ (.D(_01235_),
+ sky130_fd_sc_hd__dfrtp_4 _13733_ (.D(_01146_),
     .Q(\u_spim_regs.cfg_m1_wdata[9] ),
     .RESET_B(rst_n),
     .CLK(clknet_7_12_0_mclk),
@@ -56544,338 +55228,1042 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14025_ (.D(_01236_),
-    .Q(spi_debug[13]),
+ sky130_fd_sc_hd__dfrtp_4 _13734_ (.D(_01147_),
+    .Q(\u_m1_cmd_fifo.wr_ptr[0] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
+    .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14026_ (.D(_01237_),
-    .Q(spi_debug[14]),
+ sky130_fd_sc_hd__dfrtp_4 _13735_ (.D(_01148_),
+    .Q(\u_m1_cmd_fifo.wr_ptr[1] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_19_0_mclk),
+    .CLK(clknet_7_40_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14027_ (.D(_01238_),
-    .Q(\u_spim_regs.spim_reg_ack ),
+ sky130_fd_sc_hd__dfrtp_4 _13736_ (.D(_01149_),
+    .Q(\u_m1_cmd_fifo.wr_ptr[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
+    .CLK(clknet_7_34_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14028_ (.D(_01239_),
-    .Q(\u_spim_regs.spim_reg_rdata[0] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14029_ (.D(_01240_),
-    .Q(\u_spim_regs.spim_reg_rdata[1] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14030_ (.D(_01241_),
-    .Q(\u_spim_regs.spim_reg_rdata[2] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14031_ (.D(_01242_),
-    .Q(\u_spim_regs.spim_reg_rdata[3] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_23_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14032_ (.D(_01243_),
-    .Q(\u_spim_regs.spim_reg_rdata[4] ),
+ sky130_fd_sc_hd__dfrtp_4 _13737_ (.D(_01150_),
+    .Q(wbd_dat_o[0]),
     .RESET_B(rst_n),
     .CLK(clknet_7_22_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14033_ (.D(_01244_),
-    .Q(\u_spim_regs.spim_reg_rdata[5] ),
+ sky130_fd_sc_hd__dfrtp_4 _13738_ (.D(_01151_),
+    .Q(wbd_dat_o[1]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13739_ (.D(_01152_),
+    .Q(wbd_dat_o[2]),
     .RESET_B(rst_n),
     .CLK(clknet_7_22_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14034_ (.D(_01245_),
-    .Q(\u_spim_regs.spim_reg_rdata[6] ),
+ sky130_fd_sc_hd__dfrtp_4 _13740_ (.D(_01153_),
+    .Q(wbd_dat_o[3]),
     .RESET_B(rst_n),
-    .CLK(clknet_7_28_0_mclk),
+    .CLK(clknet_7_22_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14035_ (.D(_01246_),
-    .Q(\u_spim_regs.spim_reg_rdata[7] ),
+ sky130_fd_sc_hd__dfrtp_4 _13741_ (.D(_01154_),
+    .Q(wbd_dat_o[4]),
     .RESET_B(rst_n),
-    .CLK(clknet_7_28_0_mclk),
+    .CLK(clknet_7_22_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14036_ (.D(_01247_),
-    .Q(\u_spim_regs.spim_reg_rdata[8] ),
+ sky130_fd_sc_hd__dfrtp_4 _13742_ (.D(_01155_),
+    .Q(wbd_dat_o[5]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13743_ (.D(_01156_),
+    .Q(wbd_dat_o[6]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13744_ (.D(_01157_),
+    .Q(wbd_dat_o[7]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13745_ (.D(_01158_),
+    .Q(wbd_dat_o[8]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_22_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13746_ (.D(_01159_),
+    .Q(wbd_dat_o[9]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13747_ (.D(_01160_),
+    .Q(wbd_dat_o[10]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13748_ (.D(_01161_),
+    .Q(wbd_dat_o[11]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13749_ (.D(_01162_),
+    .Q(wbd_dat_o[12]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13750_ (.D(_01163_),
+    .Q(wbd_dat_o[13]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_22_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13751_ (.D(_01164_),
+    .Q(wbd_dat_o[14]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_22_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13752_ (.D(_01165_),
+    .Q(wbd_dat_o[15]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_22_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13753_ (.D(_01166_),
+    .Q(wbd_dat_o[16]),
     .RESET_B(rst_n),
     .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14037_ (.D(_01248_),
-    .Q(\u_spim_regs.spim_reg_rdata[9] ),
+ sky130_fd_sc_hd__dfrtp_4 _13754_ (.D(_01167_),
+    .Q(wbd_dat_o[17]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13755_ (.D(_01168_),
+    .Q(wbd_dat_o[18]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13756_ (.D(_01169_),
+    .Q(wbd_dat_o[19]),
     .RESET_B(rst_n),
     .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14038_ (.D(_01249_),
-    .Q(\u_spim_regs.spim_reg_rdata[10] ),
+ sky130_fd_sc_hd__dfrtp_4 _13757_ (.D(_01170_),
+    .Q(wbd_dat_o[20]),
     .RESET_B(rst_n),
     .CLK(clknet_7_21_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14039_ (.D(_01250_),
-    .Q(\u_spim_regs.spim_reg_rdata[11] ),
+ sky130_fd_sc_hd__dfrtp_4 _13758_ (.D(_01171_),
+    .Q(wbd_dat_o[21]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13759_ (.D(_01172_),
+    .Q(wbd_dat_o[22]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13760_ (.D(_01173_),
+    .Q(wbd_dat_o[23]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13761_ (.D(_01174_),
+    .Q(wbd_dat_o[24]),
     .RESET_B(rst_n),
     .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14040_ (.D(_01251_),
+ sky130_fd_sc_hd__dfrtp_4 _13762_ (.D(_01175_),
+    .Q(wbd_dat_o[25]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13763_ (.D(_01176_),
+    .Q(wbd_dat_o[26]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13764_ (.D(_01177_),
+    .Q(wbd_dat_o[27]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_20_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13765_ (.D(_01178_),
+    .Q(wbd_dat_o[28]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13766_ (.D(_01179_),
+    .Q(wbd_dat_o[29]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13767_ (.D(_01180_),
+    .Q(wbd_dat_o[30]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_21_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13768_ (.D(_01181_),
+    .Q(wbd_dat_o[31]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_20_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13769_ (.D(_01182_),
+    .Q(\u_spim_regs.spi_delay_cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13770_ (.D(_01183_),
+    .Q(\u_spim_regs.spi_delay_cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13771_ (.D(_01184_),
+    .Q(\u_spim_regs.spi_delay_cnt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13772_ (.D(_01185_),
+    .Q(\u_spim_regs.spi_delay_cnt[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13773_ (.D(_01186_),
+    .Q(\u_spim_regs.spi_delay_cnt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13774_ (.D(_01187_),
+    .Q(\u_spim_regs.spi_delay_cnt[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13775_ (.D(_01188_),
+    .Q(\u_spim_regs.spi_delay_cnt[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13776_ (.D(_01189_),
+    .Q(\u_spim_regs.spi_delay_cnt[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_43_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13777_ (.D(_01190_),
+    .Q(\u_spim_regs.spi_delay_cnt[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13778_ (.D(_01191_),
+    .Q(\u_spim_regs.spi_delay_cnt[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_42_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13779_ (.D(_01192_),
+    .Q(\u_spim_regs.cfg_m1_req ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_11_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13780_ (.D(_01193_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[0] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_14_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13781_ (.D(_01194_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13782_ (.D(_01195_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_14_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13783_ (.D(_01196_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[3] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_14_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13784_ (.D(_01197_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_24_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13785_ (.D(_01198_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[5] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_14_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13786_ (.D(_01199_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[6] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13787_ (.D(_01200_),
+    .Q(\u_spim_regs.cfg_m1_cmd_reg[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13788_ (.D(_01201_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_10_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13789_ (.D(_01202_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_11_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13790_ (.D(_01203_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_10_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13791_ (.D(_01204_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_10_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13792_ (.D(_01205_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_10_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13793_ (.D(_01206_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_10_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13794_ (.D(_01207_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_10_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13795_ (.D(_01208_),
+    .Q(\u_spim_regs.cfg_m1_data_cnt[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_10_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13796_ (.D(_01209_),
+    .Q(\u_spim_regs.cfg_m1_dummy_cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13797_ (.D(_01210_),
+    .Q(\u_spim_regs.cfg_m1_dummy_cnt[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13798_ (.D(_01211_),
+    .Q(\u_spim_regs.cfg_m1_addr_cnt[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfstp_4 _13799_ (.D(_01212_),
+    .Q(\u_spim_regs.cfg_m1_addr_cnt[1] ),
+    .SET_B(rst_n),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13800_ (.D(_01213_),
+    .Q(\u_spim_regs.cfg_m1_addr[0] ),
+    .CLK(clknet_7_14_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13801_ (.D(_01214_),
+    .Q(\u_spim_regs.cfg_m1_addr[1] ),
+    .CLK(clknet_7_24_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13802_ (.D(_01215_),
+    .Q(\u_spim_regs.cfg_m1_addr[2] ),
+    .CLK(clknet_7_24_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13803_ (.D(_01216_),
+    .Q(\u_spim_regs.cfg_m1_addr[3] ),
+    .CLK(clknet_7_24_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13804_ (.D(_01217_),
+    .Q(\u_spim_regs.cfg_m1_addr[4] ),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13805_ (.D(_01218_),
+    .Q(\u_spim_regs.cfg_m1_addr[5] ),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13806_ (.D(_01219_),
+    .Q(\u_spim_regs.cfg_m1_addr[6] ),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13807_ (.D(_01220_),
+    .Q(\u_spim_regs.cfg_m1_addr[7] ),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13808_ (.D(_01221_),
+    .Q(\u_spim_regs.cfg_m1_addr[16] ),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13809_ (.D(_01222_),
+    .Q(\u_spim_regs.cfg_m1_addr[17] ),
+    .CLK(clknet_7_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13810_ (.D(_01223_),
+    .Q(\u_spim_regs.cfg_m1_addr[18] ),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13811_ (.D(_01224_),
+    .Q(\u_spim_regs.cfg_m1_addr[19] ),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13812_ (.D(_01225_),
+    .Q(\u_spim_regs.cfg_m1_addr[20] ),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13813_ (.D(_01226_),
+    .Q(\u_spim_regs.cfg_m1_addr[21] ),
+    .CLK(clknet_7_27_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13814_ (.D(_01227_),
+    .Q(\u_spim_regs.cfg_m1_addr[22] ),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfxtp_4 _13815_ (.D(_01228_),
+    .Q(\u_spim_regs.cfg_m1_addr[23] ),
+    .CLK(clknet_7_26_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13816_ (.D(_01229_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13817_ (.D(_01230_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13818_ (.D(_01231_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_13_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13819_ (.D(_01232_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_12_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13820_ (.D(_01233_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13821_ (.D(_01234_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_9_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13822_ (.D(_01235_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13823_ (.D(_01236_),
+    .Q(\u_spim_regs.cfg_m1_mode_reg[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_8_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13824_ (.D(_01237_),
+    .Q(spi_debug[13]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13825_ (.D(_01238_),
+    .Q(spi_debug[14]),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_18_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13826_ (.D(_01239_),
+    .Q(\u_spim_regs.spim_reg_ack ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_6_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13827_ (.D(_01240_),
+    .Q(\u_spim_regs.spim_reg_rdata[0] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13828_ (.D(_01241_),
+    .Q(\u_spim_regs.spim_reg_rdata[1] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13829_ (.D(_01242_),
+    .Q(\u_spim_regs.spim_reg_rdata[2] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13830_ (.D(_01243_),
+    .Q(\u_spim_regs.spim_reg_rdata[3] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13831_ (.D(_01244_),
+    .Q(\u_spim_regs.spim_reg_rdata[4] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13832_ (.D(_01245_),
+    .Q(\u_spim_regs.spim_reg_rdata[5] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13833_ (.D(_01246_),
+    .Q(\u_spim_regs.spim_reg_rdata[6] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13834_ (.D(_01247_),
+    .Q(\u_spim_regs.spim_reg_rdata[7] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_74_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13835_ (.D(_01248_),
+    .Q(\u_spim_regs.spim_reg_rdata[8] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13836_ (.D(_01249_),
+    .Q(\u_spim_regs.spim_reg_rdata[9] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13837_ (.D(_01250_),
+    .Q(\u_spim_regs.spim_reg_rdata[10] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13838_ (.D(_01251_),
+    .Q(\u_spim_regs.spim_reg_rdata[11] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_72_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13839_ (.D(_01252_),
     .Q(\u_spim_regs.spim_reg_rdata[12] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_22_0_mclk),
+    .CLK(clknet_7_72_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14041_ (.D(_01252_),
+ sky130_fd_sc_hd__dfrtp_4 _13840_ (.D(_01253_),
     .Q(\u_spim_regs.spim_reg_rdata[13] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_22_0_mclk),
+    .CLK(clknet_7_72_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14042_ (.D(_01253_),
+ sky130_fd_sc_hd__dfrtp_4 _13841_ (.D(_01254_),
     .Q(\u_spim_regs.spim_reg_rdata[14] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_22_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14043_ (.D(_01254_),
-    .Q(\u_spim_regs.spim_reg_rdata[15] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_22_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14044_ (.D(_01255_),
-    .Q(\u_spim_regs.spim_reg_rdata[16] ),
-    .RESET_B(rst_n),
-    .CLK(clknet_7_29_0_mclk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14045_ (.D(_01256_),
-    .Q(\u_spim_regs.spim_reg_rdata[17] ),
-    .RESET_B(rst_n),
     .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14046_ (.D(_01257_),
+ sky130_fd_sc_hd__dfrtp_4 _13842_ (.D(_01255_),
+    .Q(\u_spim_regs.spim_reg_rdata[15] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_23_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13843_ (.D(_01256_),
+    .Q(\u_spim_regs.spim_reg_rdata[16] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13844_ (.D(_01257_),
+    .Q(\u_spim_regs.spim_reg_rdata[17] ),
+    .RESET_B(rst_n),
+    .CLK(clknet_7_19_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__dfrtp_4 _13845_ (.D(_01258_),
     .Q(\u_spim_regs.spim_reg_rdata[18] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_29_0_mclk),
+    .CLK(clknet_7_21_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14047_ (.D(_01258_),
+ sky130_fd_sc_hd__dfrtp_4 _13846_ (.D(_01259_),
     .Q(\u_spim_regs.spim_reg_rdata[19] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_29_0_mclk),
+    .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14048_ (.D(_01259_),
+ sky130_fd_sc_hd__dfrtp_4 _13847_ (.D(_01260_),
     .Q(\u_spim_regs.spim_reg_rdata[20] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_28_0_mclk),
+    .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14049_ (.D(_01260_),
+ sky130_fd_sc_hd__dfrtp_4 _13848_ (.D(_01261_),
     .Q(\u_spim_regs.spim_reg_rdata[21] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_74_0_mclk),
+    .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14050_ (.D(_01261_),
+ sky130_fd_sc_hd__dfrtp_4 _13849_ (.D(_01262_),
     .Q(\u_spim_regs.spim_reg_rdata[22] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_74_0_mclk),
+    .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14051_ (.D(_01262_),
+ sky130_fd_sc_hd__dfrtp_4 _13850_ (.D(_01263_),
     .Q(\u_spim_regs.spim_reg_rdata[23] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
+    .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14052_ (.D(_01263_),
+ sky130_fd_sc_hd__dfrtp_4 _13851_ (.D(_01264_),
     .Q(\u_spim_regs.spim_reg_rdata[24] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_31_0_mclk),
+    .CLK(clknet_7_21_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14053_ (.D(_01264_),
+ sky130_fd_sc_hd__dfrtp_4 _13852_ (.D(_01265_),
     .Q(\u_spim_regs.spim_reg_rdata[25] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_29_0_mclk),
+    .CLK(clknet_7_21_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14054_ (.D(_01265_),
+ sky130_fd_sc_hd__dfrtp_4 _13853_ (.D(_01266_),
     .Q(\u_spim_regs.spim_reg_rdata[26] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_29_0_mclk),
+    .CLK(clknet_7_21_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14055_ (.D(_01266_),
+ sky130_fd_sc_hd__dfrtp_4 _13854_ (.D(_01267_),
     .Q(\u_spim_regs.spim_reg_rdata[27] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_28_0_mclk),
+    .CLK(clknet_7_17_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14056_ (.D(_01267_),
+ sky130_fd_sc_hd__dfrtp_4 _13855_ (.D(_01268_),
     .Q(\u_spim_regs.spim_reg_rdata[28] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_29_0_mclk),
+    .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14057_ (.D(_01268_),
+ sky130_fd_sc_hd__dfrtp_4 _13856_ (.D(_01269_),
     .Q(\u_spim_regs.spim_reg_rdata[29] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_29_0_mclk),
+    .CLK(clknet_7_20_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14058_ (.D(_01269_),
+ sky130_fd_sc_hd__dfrtp_4 _13857_ (.D(_01270_),
     .Q(\u_spim_regs.spim_reg_rdata[30] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_72_0_mclk),
+    .CLK(clknet_7_66_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14059_ (.D(_01270_),
+ sky130_fd_sc_hd__dfrtp_4 _13858_ (.D(_01271_),
     .Q(\u_spim_regs.spim_reg_rdata[31] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_72_0_mclk),
+    .CLK(clknet_7_23_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14060_ (.D(_01271_),
+ sky130_fd_sc_hd__dfrtp_4 _13859_ (.D(_01272_),
     .Q(\u_wb_if.NextPreDVal ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
+    .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14061_ (.D(_01272_),
+ sky130_fd_sc_hd__dfrtp_4 _13860_ (.D(_01273_),
     .Q(\u_wb_if.NextPreDCnt[2] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_5_0_mclk),
+    .CLK(clknet_7_17_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14062_ (.D(_01273_),
+ sky130_fd_sc_hd__dfrtp_4 _13861_ (.D(_01274_),
     .Q(\u_wb_if.NextPreDCnt[3] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
+    .CLK(clknet_7_17_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14063_ (.D(_01274_),
+ sky130_fd_sc_hd__dfrtp_4 _13862_ (.D(_01275_),
     .Q(\u_wb_if.NextPreDCnt[4] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
+    .CLK(clknet_7_16_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14064_ (.D(_01275_),
+ sky130_fd_sc_hd__dfrtp_4 _13863_ (.D(_01276_),
     .Q(\u_wb_if.NextPreDCnt[5] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
+    .CLK(clknet_7_19_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14065_ (.D(_01276_),
+ sky130_fd_sc_hd__dfrtp_4 _13864_ (.D(_01277_),
     .Q(\u_wb_if.NextPreDCnt[6] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_7_0_mclk),
+    .CLK(clknet_7_17_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__dfrtp_4 _14066_ (.D(_01277_),
+ sky130_fd_sc_hd__dfrtp_4 _13865_ (.D(_01278_),
     .Q(\u_wb_if.NextPreDCnt[7] ),
     .RESET_B(rst_n),
-    .CLK(clknet_7_6_0_mclk),
+    .CLK(clknet_7_18_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58648,77 +58036,149 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_424 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_427 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_436 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_439 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_442 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_443 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_450 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_455 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_458 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 PHY_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
     .VPWR(vccd1));
  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_460 (.VGND(vssd1),
     .VPWR(vccd1));
@@ -64426,127 +63886,685 @@
     .VPWR(vccd1));
  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3312 (.VGND(vssd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_0 (.A(_03409_),
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3313 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3314 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3315 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3316 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3317 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3318 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3319 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3320 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3321 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3322 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3323 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3324 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3325 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3326 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3327 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3328 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3329 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3330 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3331 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3332 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3333 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3334 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3335 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3336 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3337 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3338 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3339 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3340 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3341 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3342 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3343 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3344 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3345 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3346 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3347 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3348 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3349 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3350 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3351 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3352 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3353 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3354 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3355 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3356 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3357 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3358 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3359 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3360 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3361 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3362 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3363 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3364 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3365 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3366 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3367 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3368 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3369 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3370 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3371 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3372 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3373 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3374 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3375 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3376 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3377 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3378 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3379 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3380 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3381 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3382 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3383 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3384 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3385 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3386 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3387 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3388 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3389 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3390 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3391 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3392 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3393 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3394 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3395 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3396 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3397 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3398 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3399 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3400 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3401 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3402 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3403 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3404 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3405 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3406 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3407 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3408 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3409 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3410 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3411 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3412 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3413 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3414 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3415 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3416 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3417 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3418 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3419 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3420 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3421 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3422 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3423 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3424 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3425 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3426 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3427 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3428 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3429 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3430 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3431 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3432 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3433 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3434 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3435 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3436 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3437 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3438 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3439 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3440 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3441 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3442 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3443 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3444 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3445 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3446 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3447 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3448 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3449 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3450 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3451 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3452 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3453 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3454 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3455 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3456 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3457 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3458 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3459 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3460 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3461 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3462 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3463 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3464 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3465 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3466 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3467 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3468 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3469 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3470 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3471 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3472 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3473 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3474 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3475 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3476 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3477 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3478 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3479 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3480 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3481 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3482 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3483 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3484 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3485 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3486 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3487 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3488 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3489 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3490 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3491 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3492 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3493 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3494 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3495 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3496 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3497 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3498 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3499 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3500 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3501 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3502 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3503 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3504 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3505 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3506 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3507 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3508 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3509 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3510 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3511 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3512 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3513 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3514 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3515 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3516 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3517 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3518 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3519 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3520 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3521 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3522 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3523 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3524 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3525 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3526 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3527 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3528 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3529 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3530 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3531 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3532 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3533 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3534 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3535 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3536 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3537 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3538 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3539 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3540 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3541 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3542 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3543 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3544 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3545 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3546 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3547 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3548 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3549 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3550 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3551 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3552 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3553 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3554 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3555 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3556 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3557 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3558 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3559 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3560 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3561 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3562 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3563 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3564 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3565 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3566 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3567 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3568 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3569 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3570 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3571 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3572 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3573 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3574 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3575 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3576 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3577 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3578 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3579 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3580 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3581 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3582 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3583 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3584 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3585 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3586 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3587 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3588 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3589 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3590 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_3591 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_0 (.A(_04354_),
     .X(psn_net_0),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_1 (.A(psn_net_35),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_1 (.A(_04354_),
     .X(psn_net_1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_2 (.A(psn_net_36),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_2 (.A(_04038_),
     .X(psn_net_2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_3 (.A(psn_net_37),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_3 (.A(psn_net_78),
     .X(psn_net_3),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_4 (.A(_03407_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_4 (.A(_03860_),
     .X(psn_net_4),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_5 (.A(psn_net_38),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_5 (.A(psn_net_28),
     .X(psn_net_5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_6 (.A(psn_net_39),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_6 (.A(psn_net_29),
     .X(psn_net_6),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_7 (.A(_03405_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_7 (.A(psn_net_6),
     .X(psn_net_7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_8 (.A(psn_net_40),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_8 (.A(_03313_),
     .X(psn_net_8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_9 (.A(_03404_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_9 (.A(_03313_),
     .X(psn_net_9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_10 (.A(psn_net_41),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_10 (.A(_03302_),
     .X(psn_net_10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_11 (.A(psn_net_42),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_11 (.A(psn_net_40),
     .X(psn_net_11),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_12 (.A(psn_net_42),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_12 (.A(psn_net_30),
     .X(psn_net_12),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_13 (.A(_03402_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_13 (.A(_03300_),
     .X(psn_net_13),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_14 (.A(psn_net_43),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_14 (.A(_03300_),
     .X(psn_net_14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_15 (.A(_03401_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_15 (.A(psn_net_31),
     .X(psn_net_15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_16 (.A(psn_net_44),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_16 (.A(psn_net_32),
     .X(psn_net_16),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_17 (.A(psn_net_45),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_17 (.A(psn_net_33),
     .X(psn_net_17),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_18 (.A(psn_net_46),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_18 (.A(psn_net_34),
     .X(psn_net_18),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_19 (.A(_03399_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_19 (.A(psn_net_35),
     .X(psn_net_19),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_20 (.A(_03398_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_20 (.A(psn_net_46),
     .X(psn_net_20),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -64558,1063 +64576,1063 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_22 (.A(_03397_),
+ sky130_fd_sc_hd__buf_8 psn_inst_psn_buff_22 (.A(psn_net_21),
     .X(psn_net_22),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_23 (.A(_03396_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_23 (.A(_04421_),
     .X(psn_net_23),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_24 (.A(_03395_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_24 (.A(psn_net_74),
     .X(psn_net_24),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_25 (.A(psn_net_48),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_25 (.A(_04377_),
     .X(psn_net_25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_26 (.A(psn_net_49),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_26 (.A(psn_net_79),
     .X(psn_net_26),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_27 (.A(psn_net_50),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_27 (.A(_03869_),
     .X(psn_net_27),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_28 (.A(_03391_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_28 (.A(psn_net_38),
     .X(psn_net_28),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_29 (.A(_03390_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_29 (.A(psn_net_39),
     .X(psn_net_29),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_30 (.A(_01321_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_30 (.A(psn_net_41),
     .X(psn_net_30),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_31 (.A(_01321_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_31 (.A(psn_net_42),
     .X(psn_net_31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_32 (.A(\u_wb_if.NextPreAddr[9] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_32 (.A(_03299_),
     .X(psn_net_32),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_33 (.A(\u_wb_if.NextPreAddr[9] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_33 (.A(psn_net_43),
     .X(psn_net_33),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_34 (.A(\u_wb_if.NextPreAddr[9] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_34 (.A(psn_net_44),
     .X(psn_net_34),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_35 (.A(psn_net_55),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_35 (.A(psn_net_45),
     .X(psn_net_35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_36 (.A(_03408_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_36 (.A(\u_spictrl.u_txreg.counter_trgt[3] ),
     .X(psn_net_36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_37 (.A(psn_net_56),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_37 (.A(psn_net_55),
     .X(psn_net_37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_38 (.A(psn_net_57),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_38 (.A(psn_net_48),
     .X(psn_net_38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_39 (.A(psn_net_58),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_39 (.A(psn_net_49),
     .X(psn_net_39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_40 (.A(psn_net_59),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_40 (.A(_03301_),
     .X(psn_net_40),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_41 (.A(psn_net_60),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_41 (.A(psn_net_50),
     .X(psn_net_41),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_42 (.A(psn_net_61),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_42 (.A(psn_net_51),
     .X(psn_net_42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_43 (.A(psn_net_62),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_43 (.A(psn_net_52),
     .X(psn_net_43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_44 (.A(psn_net_63),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_44 (.A(psn_net_53),
     .X(psn_net_44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_45 (.A(psn_net_64),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_45 (.A(psn_net_54),
     .X(psn_net_45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_46 (.A(psn_net_65),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_46 (.A(\u_spictrl.u_txreg.counter_trgt[2] ),
     .X(psn_net_46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_47 (.A(psn_net_66),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_47 (.A(\u_spictrl.u_txreg.counter_trgt[2] ),
     .X(psn_net_47),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_48 (.A(psn_net_67),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_48 (.A(psn_net_60),
     .X(psn_net_48),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_49 (.A(psn_net_68),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_49 (.A(psn_net_77),
     .X(psn_net_49),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_50 (.A(psn_net_69),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_50 (.A(_03301_),
     .X(psn_net_50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_51 (.A(psn_net_88),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_51 (.A(_03300_),
     .X(psn_net_51),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_52 (.A(_01306_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_52 (.A(psn_net_61),
     .X(psn_net_52),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_53 (.A(_01306_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_53 (.A(_03298_),
     .X(psn_net_53),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_54 (.A(psn_net_89),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_54 (.A(_03298_),
     .X(psn_net_54),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_55 (.A(_03408_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_55 (.A(psn_net_62),
     .X(psn_net_55),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_56 (.A(psn_net_74),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_56 (.A(_03897_),
     .X(psn_net_56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_57 (.A(psn_net_75),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_57 (.A(_03893_),
     .X(psn_net_57),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_58 (.A(psn_net_76),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_58 (.A(_03872_),
     .X(psn_net_58),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_59 (.A(psn_net_77),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_59 (.A(psn_net_64),
     .X(psn_net_59),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_60 (.A(psn_net_78),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_60 (.A(psn_net_76),
     .X(psn_net_60),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_61 (.A(psn_net_79),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_61 (.A(psn_net_65),
     .X(psn_net_61),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_62 (.A(psn_net_80),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_62 (.A(psn_net_66),
     .X(psn_net_62),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_63 (.A(psn_net_81),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_63 (.A(_03872_),
     .X(psn_net_63),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_64 (.A(psn_net_82),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_64 (.A(_03871_),
     .X(psn_net_64),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_65 (.A(psn_net_83),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_65 (.A(_03299_),
     .X(psn_net_65),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_66 (.A(psn_net_84),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_66 (.A(psn_net_67),
     .X(psn_net_66),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_67 (.A(psn_net_85),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_67 (.A(psn_net_68),
     .X(psn_net_67),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_68 (.A(psn_net_86),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_68 (.A(psn_net_69),
     .X(psn_net_68),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_69 (.A(psn_net_87),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_69 (.A(\u_spictrl.u_txreg.counter_trgt[3] ),
     .X(psn_net_69),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_70 (.A(_01318_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_70 (.A(_03881_),
     .X(psn_net_70),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_71 (.A(_01318_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_71 (.A(_03873_),
     .X(psn_net_71),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_72 (.A(_01318_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_72 (.A(_04039_),
     .X(psn_net_72),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_73 (.A(\u_wb_if.NextPreAddr[8] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_73 (.A(_03879_),
     .X(psn_net_73),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_74 (.A(_03407_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_74 (.A(_04421_),
     .X(psn_net_74),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_75 (.A(psn_net_90),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_75 (.A(_03870_),
     .X(psn_net_75),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_76 (.A(psn_net_91),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_76 (.A(psn_net_201),
     .X(psn_net_76),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_77 (.A(psn_net_92),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_77 (.A(psn_net_137),
     .X(psn_net_77),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_78 (.A(psn_net_93),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_78 (.A(_03870_),
     .X(psn_net_78),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_79 (.A(psn_net_94),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_79 (.A(_03870_),
     .X(psn_net_79),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_80 (.A(psn_net_95),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_80 (.A(_04611_),
     .X(psn_net_80),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_81 (.A(psn_net_96),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_81 (.A(_03939_),
     .X(psn_net_81),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_82 (.A(psn_net_97),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_82 (.A(psn_net_94),
     .X(psn_net_82),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_83 (.A(psn_net_98),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_83 (.A(_03937_),
     .X(psn_net_83),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_84 (.A(psn_net_99),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_84 (.A(psn_net_95),
     .X(psn_net_84),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_85 (.A(psn_net_100),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_85 (.A(_03929_),
     .X(psn_net_85),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_86 (.A(psn_net_101),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_86 (.A(_03835_),
     .X(psn_net_86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_87 (.A(psn_net_102),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_87 (.A(psn_net_96),
     .X(psn_net_87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_88 (.A(_01306_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_88 (.A(psn_net_97),
     .X(psn_net_88),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_89 (.A(\u_wb_if.NextPreAddr[6] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_89 (.A(psn_net_88),
     .X(psn_net_89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_90 (.A(_03406_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_90 (.A(psn_net_98),
     .X(psn_net_90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_91 (.A(_03406_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_91 (.A(psn_net_99),
     .X(psn_net_91),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_92 (.A(_03405_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_92 (.A(psn_net_147),
     .X(psn_net_92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_93 (.A(psn_net_138),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_93 (.A(_04599_),
     .X(psn_net_93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_94 (.A(psn_net_139),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_94 (.A(_03938_),
     .X(psn_net_94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_95 (.A(psn_net_140),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_95 (.A(psn_net_143),
     .X(psn_net_95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_96 (.A(psn_net_141),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_96 (.A(psn_net_144),
     .X(psn_net_96),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_97 (.A(psn_net_142),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_97 (.A(psn_net_145),
     .X(psn_net_97),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_98 (.A(psn_net_143),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_98 (.A(_03833_),
     .X(psn_net_98),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_99 (.A(psn_net_144),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_99 (.A(psn_net_146),
     .X(psn_net_99),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_100 (.A(psn_net_145),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_100 (.A(_03464_),
     .X(psn_net_100),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_101 (.A(psn_net_146),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_101 (.A(_03462_),
     .X(psn_net_101),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_102 (.A(psn_net_147),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_102 (.A(_03462_),
     .X(psn_net_102),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_103 (.A(_05184_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_103 (.A(psn_net_169),
     .X(psn_net_103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_104 (.A(psn_net_127),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_104 (.A(_03461_),
     .X(psn_net_104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_105 (.A(_05151_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_105 (.A(psn_net_170),
     .X(psn_net_105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_106 (.A(psn_net_128),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_106 (.A(psn_net_171),
     .X(psn_net_106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_107 (.A(_03918_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_107 (.A(psn_net_172),
     .X(psn_net_107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_108 (.A(_03918_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_108 (.A(_03459_),
     .X(psn_net_108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_109 (.A(psn_net_129),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_109 (.A(_03458_),
     .X(psn_net_109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_110 (.A(_03877_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_110 (.A(_03457_),
     .X(psn_net_110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_111 (.A(_03877_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_111 (.A(_03457_),
     .X(psn_net_111),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_112 (.A(_03877_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_112 (.A(_03456_),
     .X(psn_net_112),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_113 (.A(psn_net_130),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_113 (.A(_03456_),
     .X(psn_net_113),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_114 (.A(psn_net_131),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_114 (.A(_03455_),
     .X(psn_net_114),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_115 (.A(psn_net_132),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_115 (.A(_03455_),
     .X(psn_net_115),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_116 (.A(_03306_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_116 (.A(_03454_),
     .X(psn_net_116),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_117 (.A(_03306_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_117 (.A(_03454_),
     .X(psn_net_117),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_118 (.A(_03304_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_118 (.A(_03453_),
     .X(psn_net_118),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_119 (.A(psn_net_133),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_119 (.A(_03453_),
     .X(psn_net_119),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_120 (.A(psn_net_119),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_120 (.A(_03452_),
     .X(psn_net_120),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_121 (.A(psn_net_134),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_121 (.A(_03452_),
     .X(psn_net_121),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_122 (.A(psn_net_135),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_122 (.A(_01434_),
     .X(psn_net_122),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_123 (.A(_03303_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_123 (.A(_01434_),
     .X(psn_net_123),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_124 (.A(psn_net_156),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_124 (.A(psn_net_123),
     .X(psn_net_124),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_125 (.A(\u_spictrl.u_txreg.counter_trgt[1] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_125 (.A(_01433_),
     .X(psn_net_125),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_126 (.A(\u_spictrl.u_txreg.counter_trgt[1] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_126 (.A(_01426_),
     .X(psn_net_126),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_127 (.A(psn_net_158),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_127 (.A(_01425_),
     .X(psn_net_127),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_128 (.A(psn_net_159),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_128 (.A(_01414_),
     .X(psn_net_128),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_129 (.A(_03918_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_129 (.A(\u_m0_res_fifo.wr_ptr[3] ),
     .X(psn_net_129),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_130 (.A(psn_net_152),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_130 (.A(_04619_),
     .X(psn_net_130),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_131 (.A(psn_net_153),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_131 (.A(_05082_),
     .X(psn_net_131),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_132 (.A(psn_net_154),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_132 (.A(_05082_),
     .X(psn_net_132),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_133 (.A(psn_net_155),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_133 (.A(_05082_),
     .X(psn_net_133),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_134 (.A(_03303_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_134 (.A(_05081_),
     .X(psn_net_134),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_135 (.A(_03303_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_135 (.A(psn_net_139),
     .X(psn_net_135),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_136 (.A(\u_spictrl.u_txreg.counter_trgt[2] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_136 (.A(psn_net_140),
     .X(psn_net_136),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_137 (.A(psn_net_161),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_137 (.A(psn_net_175),
     .X(psn_net_137),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_138 (.A(_03404_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_138 (.A(_05045_),
     .X(psn_net_138),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_139 (.A(psn_net_191),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_139 (.A(psn_net_173),
     .X(psn_net_139),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_140 (.A(psn_net_192),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_140 (.A(psn_net_174),
     .X(psn_net_140),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_141 (.A(psn_net_193),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_141 (.A(_04552_),
     .X(psn_net_141),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_142 (.A(psn_net_194),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_142 (.A(_04552_),
     .X(psn_net_142),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_143 (.A(psn_net_195),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_143 (.A(_03929_),
     .X(psn_net_143),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_144 (.A(psn_net_196),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_144 (.A(_03834_),
     .X(psn_net_144),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_145 (.A(psn_net_197),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_145 (.A(_03834_),
     .X(psn_net_145),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_146 (.A(psn_net_198),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_146 (.A(_03832_),
     .X(psn_net_146),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_147 (.A(psn_net_199),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_147 (.A(_03832_),
     .X(psn_net_147),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_148 (.A(_03920_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_148 (.A(\u_m0_res_fifo.flush ),
     .X(psn_net_148),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_149 (.A(psn_net_148),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_149 (.A(\u_m0_res_fifo.flush ),
     .X(psn_net_149),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_150 (.A(_03919_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_150 (.A(\u_m0_res_fifo.flush ),
     .X(psn_net_150),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_151 (.A(psn_net_150),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_151 (.A(_01544_),
     .X(psn_net_151),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_152 (.A(_03307_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_152 (.A(_01544_),
     .X(psn_net_152),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_153 (.A(_03307_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_153 (.A(_01544_),
     .X(psn_net_153),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_154 (.A(_03306_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_154 (.A(_01528_),
     .X(psn_net_154),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_155 (.A(psn_net_160),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_155 (.A(_01528_),
     .X(psn_net_155),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_156 (.A(\u_spictrl.u_txreg.counter_trgt[1] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_156 (.A(_01528_),
     .X(psn_net_156),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_157 (.A(_05185_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_157 (.A(_01527_),
     .X(psn_net_157),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_158 (.A(_05183_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_158 (.A(_01527_),
     .X(psn_net_158),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_159 (.A(psn_net_162),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_159 (.A(_01526_),
     .X(psn_net_159),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_160 (.A(_03304_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_160 (.A(_01525_),
     .X(psn_net_160),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_161 (.A(psn_net_163),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_161 (.A(_01524_),
     .X(psn_net_161),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_162 (.A(psn_net_204),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_162 (.A(_01524_),
     .X(psn_net_162),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_163 (.A(psn_net_188),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_163 (.A(psn_net_162),
     .X(psn_net_163),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 psn_inst_psn_buff_164 (.A(io_oeb[3]),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_164 (.A(_01523_),
     .X(psn_net_164),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_165 (.A(_05175_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_165 (.A(_01523_),
     .X(psn_net_165),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_166 (.A(psn_net_209),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_166 (.A(psn_net_165),
     .X(psn_net_166),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_167 (.A(psn_net_166),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_167 (.A(\u_spictrl.gnt[2] ),
     .X(psn_net_167),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_168 (.A(_03723_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_168 (.A(\u_spictrl.gnt[2] ),
     .X(psn_net_168),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_169 (.A(_03723_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_169 (.A(_03461_),
     .X(psn_net_169),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_170 (.A(_03723_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_170 (.A(_03460_),
     .X(psn_net_170),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_171 (.A(_03300_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_171 (.A(_03460_),
     .X(psn_net_171),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_172 (.A(_03300_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_172 (.A(_03459_),
     .X(psn_net_172),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_173 (.A(psn_net_172),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_173 (.A(psn_net_202),
     .X(psn_net_173),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_174 (.A(_01528_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_174 (.A(psn_net_203),
     .X(psn_net_174),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_175 (.A(_01528_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_175 (.A(_03362_),
     .X(psn_net_175),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_176 (.A(spi_debug[18]),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_176 (.A(\u_m1_res_fifo.flush ),
     .X(psn_net_176),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_177 (.A(spi_debug[18]),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_177 (.A(\u_m1_res_fifo.flush ),
     .X(psn_net_177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_178 (.A(_03847_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_178 (.A(\u_m1_res_fifo.flush ),
     .X(psn_net_178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_179 (.A(_03846_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_179 (.A(_01605_),
     .X(psn_net_179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_180 (.A(_03837_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_180 (.A(_01605_),
     .X(psn_net_180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_181 (.A(_03837_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_181 (.A(psn_net_180),
     .X(psn_net_181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_182 (.A(_03837_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_182 (.A(_01543_),
     .X(psn_net_182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_183 (.A(_03836_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_183 (.A(_01543_),
     .X(psn_net_183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_184 (.A(_03833_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_184 (.A(_01542_),
     .X(psn_net_184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_185 (.A(_03832_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_185 (.A(_01535_),
     .X(psn_net_185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_186 (.A(_03831_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_186 (.A(_01535_),
     .X(psn_net_186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_187 (.A(psn_net_224),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_187 (.A(_01534_),
     .X(psn_net_187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_188 (.A(psn_net_190),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_188 (.A(_01534_),
     .X(psn_net_188),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_189 (.A(_04654_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_189 (.A(_01529_),
     .X(psn_net_189),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_190 (.A(psn_net_205),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_190 (.A(_01529_),
     .X(psn_net_190),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_191 (.A(psn_net_212),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_191 (.A(_01529_),
     .X(psn_net_191),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_192 (.A(psn_net_213),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_192 (.A(spi_debug[18]),
     .X(psn_net_192),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_193 (.A(psn_net_214),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_193 (.A(psn_net_192),
     .X(psn_net_193),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_194 (.A(psn_net_215),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_194 (.A(spi_debug[18]),
     .X(psn_net_194),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_195 (.A(psn_net_216),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_195 (.A(psn_net_194),
     .X(psn_net_195),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_196 (.A(psn_net_217),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_196 (.A(_03363_),
     .X(psn_net_196),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_197 (.A(psn_net_218),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_197 (.A(_03718_),
     .X(psn_net_197),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_198 (.A(psn_net_219),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_198 (.A(psn_net_221),
     .X(psn_net_198),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -65626,103 +65644,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_200 (.A(psn_net_206),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_200 (.A(psn_net_222),
     .X(psn_net_200),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_201 (.A(psn_net_206),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_201 (.A(_03706_),
     .X(psn_net_201),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_202 (.A(_04671_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_202 (.A(_04622_),
     .X(psn_net_202),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_203 (.A(_04671_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_203 (.A(_04618_),
     .X(psn_net_203),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_204 (.A(psn_net_207),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_204 (.A(_03406_),
     .X(psn_net_204),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_205 (.A(psn_net_208),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_205 (.A(_03405_),
     .X(psn_net_205),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_206 (.A(_04680_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_206 (.A(_03405_),
     .X(psn_net_206),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_207 (.A(_04670_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_207 (.A(_03404_),
     .X(psn_net_207),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_208 (.A(\u_spictrl.u_txreg.counter_trgt[2] ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_208 (.A(_03404_),
     .X(psn_net_208),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_209 (.A(_03725_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_209 (.A(_03403_),
     .X(psn_net_209),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_210 (.A(_03724_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_210 (.A(_03401_),
     .X(psn_net_210),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_211 (.A(spi_debug[17]),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_211 (.A(_03401_),
     .X(psn_net_211),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_212 (.A(_03403_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_212 (.A(_03401_),
     .X(psn_net_212),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_213 (.A(_03402_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_213 (.A(_03400_),
     .X(psn_net_213),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_214 (.A(_03401_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_214 (.A(_03400_),
     .X(psn_net_214),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_215 (.A(_03400_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_215 (.A(_03399_),
     .X(psn_net_215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_216 (.A(_03400_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_216 (.A(_03399_),
     .X(psn_net_216),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -65734,162 +65752,84 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_218 (.A(_03394_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_218 (.A(_03397_),
     .X(psn_net_218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_219 (.A(_03393_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_219 (.A(\u_wb_if.NextPreAddr[17] ),
     .X(psn_net_219),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_220 (.A(_03391_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_220 (.A(psn_net_223),
     .X(psn_net_220),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_221 (.A(_03375_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_221 (.A(_03708_),
     .X(psn_net_221),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_222 (.A(_03374_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_222 (.A(psn_net_224),
     .X(psn_net_222),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_223 (.A(_03373_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_223 (.A(psn_net_225),
     .X(psn_net_223),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_224 (.A(_03369_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_224 (.A(psn_net_226),
     .X(psn_net_224),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_225 (.A(_03369_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_225 (.A(psn_net_227),
     .X(psn_net_225),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_226 (.A(\u_m0_res_fifo.flush ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_226 (.A(psn_net_228),
     .X(psn_net_226),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_227 (.A(\u_m0_res_fifo.flush ),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_227 (.A(_03708_),
     .X(psn_net_227),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_228 (.A(_01543_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_228 (.A(_03707_),
     .X(psn_net_228),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_229 (.A(_01543_),
+ sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_229 (.A(_03711_),
     .X(psn_net_229),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 psn_inst_psn_buff_230 (.A(_01542_),
+ sky130_fd_sc_hd__buf_8 psn_inst_psn_buff_230 (.A(_03710_),
     .X(psn_net_230),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_231 (.A(_01542_),
-    .X(psn_net_231),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_232 (.A(_01541_),
-    .X(psn_net_232),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_233 (.A(_01541_),
-    .X(psn_net_233),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_234 (.A(psn_net_243),
-    .X(psn_net_234),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_235 (.A(psn_net_234),
-    .X(psn_net_235),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_236 (.A(_01535_),
-    .X(psn_net_236),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_237 (.A(_01535_),
-    .X(psn_net_237),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_238 (.A(_01532_),
-    .X(psn_net_238),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_239 (.A(spi_debug[20]),
-    .X(psn_net_239),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_240 (.A(spi_debug[20]),
-    .X(psn_net_240),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_241 (.A(_01603_),
-    .X(psn_net_241),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_242 (.A(_01603_),
-    .X(psn_net_242),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 psn_inst_psn_buff_243 (.A(_01540_),
-    .X(psn_net_243),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__clkbuf_16 clkbuf_0_mclk (.A(mclk),
     .X(clknet_0_mclk),
     .VGND(vssd1),
@@ -67432,22 +67372,112 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_0 (.DIODE(psn_net_164),
+ sky130_fd_sc_hd__diode_2 INSDIODE2_0 (.DIODE(io_in[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_1 (.DIODE(\u_m0_res_fifo.empty ),
+ sky130_fd_sc_hd__diode_2 INSDIODE2_1 (.DIODE(io_in[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_2 (.DIODE(\u_m0_res_fifo.full ),
+ sky130_fd_sc_hd__diode_2 INSDIODE2_2 (.DIODE(io_in[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 INSDIODE2_3 (.DIODE(\u_spim_regs.spi_init_done ),
+ sky130_fd_sc_hd__diode_2 INSDIODE2_3 (.DIODE(_02041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_4 (.DIODE(_02217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_5 (.DIODE(_02242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_6 (.DIODE(_02305_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_7 (.DIODE(_02463_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_8 (.DIODE(_02488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_9 (.DIODE(_02516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_10 (.DIODE(_02696_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_11 (.DIODE(_05394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_12 (.DIODE(_05401_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_13 (.DIODE(_05432_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_14 (.DIODE(_05437_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_15 (.DIODE(spi_sdo0_d1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_16 (.DIODE(spi_sdo1_d1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_17 (.DIODE(spi_sdo3_d1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_18 (.DIODE(\u_m1_cmd_fifo.empty ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_19 (.DIODE(\u_m1_res_fifo.empty ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_20 (.DIODE(\u_spictrl.spi_clk ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 INSDIODE2_21 (.DIODE(\u_spim_regs.spi_init_done ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -67464,11 +67494,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67484,47 +67518,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67540,19 +67570,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67580,11 +67606,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_354 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67592,23 +67622,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_420 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67616,15 +67642,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67652,47 +67678,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67700,23 +67730,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_695 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67732,15 +67766,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67776,11 +67806,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67788,55 +67818,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_1_177 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_1_185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_213 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_1_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67844,39 +67902,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_299 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_323 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67884,15 +67934,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67900,15 +67958,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_420 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67920,47 +67982,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_566 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_1_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67972,39 +68046,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_1_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_746 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68016,23 +68102,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68056,27 +68142,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68088,91 +68170,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_386 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68180,19 +68250,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68200,15 +68266,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_2_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68220,71 +68290,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_766 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68292,19 +68358,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_806 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68320,75 +68378,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68396,59 +68450,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_395 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_412 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_416 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_440 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68456,35 +68490,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_518 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68492,35 +68518,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_604 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_608 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68528,39 +68542,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_715 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_736 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68568,19 +68574,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68600,15 +68614,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68624,31 +68634,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68656,15 +68666,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68672,31 +68686,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68704,171 +68710,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_794 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_806 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68884,191 +68874,251 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_468 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_5_488 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_494 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_5_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_5_516 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_5_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_5_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_5_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_5_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_5_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_5_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_5_618 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_5_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69076,15 +69126,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69092,55 +69142,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_764 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_771 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_778 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_790 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69152,11 +69186,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69176,23 +69206,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69200,7 +69226,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69208,75 +69234,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69284,91 +69330,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_550 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_562 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69380,23 +69418,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69404,167 +69442,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69572,43 +69606,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69616,23 +69650,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69640,123 +69678,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_805 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69764,7 +69798,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69776,39 +69810,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69816,19 +69850,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69836,51 +69878,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_8_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69888,95 +69954,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_8_608 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_614 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_8_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_643 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69984,47 +70070,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70032,59 +70114,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70092,15 +70182,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_9_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70108,47 +70202,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_307 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70156,51 +70242,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_9_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_9_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70212,15 +70314,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70228,43 +70334,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70272,19 +70378,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70292,303 +70398,319 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_10_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_374 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70600,67 +70722,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_11_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70676,179 +70826,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70856,27 +71006,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_821 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70888,131 +71030,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_12_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71020,223 +71170,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_69 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_84 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_91 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71244,107 +71366,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_13_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71352,63 +71482,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_621 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71420,43 +71546,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71464,31 +71590,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71496,183 +71622,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_14_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_495 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71680,55 +71818,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_691 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71740,43 +71882,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_838 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71784,15 +71934,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_70 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_15_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71812,71 +71966,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_314 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_332 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_15_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71884,43 +72066,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_480 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71928,87 +72122,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_742 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72020,27 +72218,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_823 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72052,11 +72246,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_23 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_16_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72064,19 +72266,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72084,19 +72290,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_135 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_142 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72104,19 +72302,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_16_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_16_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72124,11 +72334,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_16_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72136,23 +72350,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_16_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_16_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72160,15 +72386,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_16_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72180,123 +72414,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_805 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_820 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_827 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_16_839 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_17_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72304,23 +72562,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_50 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72328,75 +72578,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72404,47 +72654,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72452,51 +72702,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72504,91 +72758,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_798 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_17_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72596,63 +72858,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_50 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_58 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_62 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_81 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72660,39 +72898,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_179 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72704,99 +72934,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72804,23 +73030,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_534 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_18_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72832,63 +73066,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72896,47 +73130,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_806 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72944,7 +73170,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72952,19 +73178,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72976,15 +73202,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_19_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72992,79 +73222,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_19_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_19_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73072,175 +73314,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_730 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_759 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_778 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_790 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_802 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_814 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73256,127 +73466,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_20_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73384,163 +73602,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_733 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_770 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_782 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73548,15 +73750,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73568,179 +73770,219 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_464 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_21_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_21_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_21_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_21_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_21_552 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_21_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_21_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73748,47 +73990,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_719 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_743 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73804,11 +74062,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73824,15 +74086,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_22_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_22_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73840,11 +74110,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73856,47 +74130,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_192 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73904,23 +74170,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73928,83 +74194,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_22_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74012,11 +74282,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_562 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_22_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_22_582 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_22_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74024,39 +74310,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_688 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74064,23 +74346,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74088,47 +74366,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_36 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_40 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74140,39 +74406,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74180,11 +74442,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_23_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74192,147 +74458,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_621 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_23_633 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_23_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_23_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_23_681 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_23_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74340,11 +74634,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_23_741 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_23_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74352,23 +74654,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_817 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_23_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74384,227 +74690,231 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_24_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74616,7 +74926,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74628,71 +74938,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_830 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74700,135 +74994,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_272 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_282 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_300 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_308 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74836,55 +75098,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74892,71 +75162,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_610 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_618 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_626 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74964,15 +75218,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_674 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74980,23 +75238,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75004,23 +75262,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75036,7 +75294,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_26_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_26_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75044,179 +75314,199 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_26_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_26_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75224,95 +75514,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75352,191 +75638,219 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_27_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_27_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_27_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_555 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_27_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_27_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75544,59 +75858,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_707 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_712 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_724 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_736 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_27_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75608,19 +75938,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_800 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75640,51 +75966,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_28_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75692,187 +76026,191 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_28_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75880,163 +76218,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_29_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_29_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_29_354 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76044,107 +76414,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_681 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_685 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_29_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76152,39 +76546,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_817 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76200,95 +76598,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_250 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76300,159 +76710,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_682 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_686 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76460,23 +76858,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76484,15 +76878,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76504,11 +76898,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76516,103 +76918,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_267 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_280 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_298 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76628,47 +77006,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_31_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76676,131 +77066,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_31_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76816,175 +77210,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77004,79 +77398,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_711 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_719 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_32_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77084,11 +77486,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_765 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77096,10 +77506,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_794 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77116,11 +77522,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77128,111 +77530,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_33_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_33_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_370 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_33_378 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_33_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77240,147 +77686,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_770 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_33_782 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_796 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_33_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_33_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77396,23 +77866,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_34 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77420,27 +77886,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_34_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_34_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_34_157 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_34_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77452,99 +77946,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_34_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_34_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_34_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_34_400 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_34_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_34_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77552,51 +78090,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_34_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77604,19 +78154,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_722 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_34_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77624,203 +78178,227 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_381 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_35_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_35_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77828,11 +78406,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77844,43 +78422,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_598 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_606 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77892,39 +78462,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_674 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_681 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_694 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77932,7 +78490,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77944,19 +78502,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77972,19 +78530,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78000,19 +78558,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78020,63 +78578,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_36_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78088,99 +78654,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_577 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78188,91 +78750,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_37_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78280,279 +78846,235 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_416 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_427 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_438 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_455 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_488 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_500 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_504 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_508 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78560,43 +79082,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_817 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78612,35 +79130,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_69 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78648,63 +79158,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78712,115 +79218,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_509 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_521 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78828,159 +79326,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_39_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78988,83 +79502,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79076,111 +79590,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_734 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_742 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_39_754 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_39_762 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_39_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_786 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_798 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_810 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_39_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79204,11 +79754,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79216,31 +79774,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_40_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_140 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_160 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_40_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79248,143 +79826,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_567 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79392,19 +79966,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_624 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79412,67 +79982,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_40_791 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_801 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_40_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79480,275 +80062,307 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_41_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_41_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_366 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79768,67 +80382,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79836,23 +80454,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79860,19 +80474,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_42_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79880,35 +80506,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_409 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79916,31 +80538,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_484 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_489 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79948,19 +80562,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_536 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79972,47 +80578,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_42_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_639 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_655 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80020,19 +80610,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_712 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_42_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80040,51 +80634,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80092,67 +80682,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80164,87 +80754,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80252,55 +80842,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_600 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80308,71 +80890,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_43_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80388,19 +80974,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80412,59 +80998,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_158 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_171 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80472,175 +81046,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_576 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_588 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_600 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80648,19 +81210,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_658 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80668,111 +81246,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_40 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_53 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80784,27 +81346,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80812,27 +81370,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80844,47 +81394,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_315 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_45_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_45_334 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_45_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80896,107 +81462,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81004,31 +81570,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_45_795 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81036,7 +81610,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81044,35 +81622,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_46_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_46_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81084,271 +81678,283 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_46_458 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_46_470 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_46_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81356,31 +81962,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_47_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81388,23 +81998,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81412,107 +82018,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_455 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81524,135 +82118,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_710 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_714 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_722 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_726 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_734 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_760 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_778 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_782 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_797 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_809 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_814 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81668,87 +82214,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_48_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81756,51 +82306,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_370 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_382 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_402 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_414 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81808,11 +82342,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_468 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81820,27 +82358,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_48_562 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_48_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_48_586 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_48_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_48_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81848,15 +82406,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81864,27 +82422,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_719 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81892,91 +82446,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_128 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_49_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81984,35 +82542,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_49_254 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_49_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82020,19 +82586,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82044,75 +82610,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_49_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_49_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_49_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_49_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82120,15 +82702,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_617 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82136,67 +82722,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_804 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82212,55 +82794,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_50_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82268,563 +82862,611 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_831 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_50_839 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_51_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_51_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_21 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_51_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_51_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_51_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_51_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_51_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82840,67 +83482,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_420 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82908,35 +83542,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_52_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82948,19 +83586,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82972,39 +83610,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83012,23 +83650,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_786 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83036,11 +83670,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83048,15 +83682,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83064,75 +83698,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_174 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_181 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_187 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83144,19 +83766,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83164,143 +83790,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83308,43 +83934,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83352,31 +83982,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_40 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_48 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83384,127 +84006,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_394 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83512,35 +84122,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_54_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83548,71 +84162,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_54_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_54_765 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_54_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83620,75 +84246,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83696,23 +84318,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83720,67 +84342,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_55_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_55_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_55_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83792,23 +84430,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_468 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_493 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83816,15 +84446,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_542 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83836,19 +84462,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_612 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83856,71 +84478,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_784 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_805 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_817 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83936,15 +84546,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83952,23 +84562,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_56_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83976,111 +84590,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_56_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_56_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84088,55 +84718,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_56_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84144,91 +84778,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_804 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_810 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_834 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_21 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84236,91 +84850,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84328,23 +84934,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84352,47 +84954,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84404,67 +85006,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_663 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_57_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84472,23 +85086,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84496,15 +85110,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_776 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84512,11 +85118,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84524,19 +85130,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84544,155 +85154,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_375 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_381 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_402 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84700,75 +85282,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_587 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_592 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_598 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84776,195 +85342,203 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_59_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_59_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84972,15 +85546,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84988,23 +85562,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_362 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_374 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85012,43 +85578,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_514 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85056,43 +85618,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85100,131 +85654,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_60_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_60_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_60_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_60_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85232,15 +85802,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_60_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85248,19 +85826,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85268,35 +85842,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_387 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_60_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85304,39 +85886,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_60_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_60_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85348,27 +85938,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_597 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85376,75 +85958,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_731 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_733 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_760 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_775 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85452,91 +86010,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_61_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_61_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_61_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_61_142 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_61_150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_168 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_61_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_61_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_61_203 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_61_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85544,27 +86150,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_61_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85572,83 +86182,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_61_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85660,43 +86278,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85704,15 +86322,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_61_792 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_61_798 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_61_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85720,31 +86350,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_34 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_62_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85756,15 +86390,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_62_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85776,39 +86418,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_62_224 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_62_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85816,43 +86466,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_326 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85860,43 +86502,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85904,31 +86546,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_62_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85936,79 +86590,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_808 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86016,51 +86666,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86072,15 +86726,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_63_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86088,79 +86750,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_63_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_63_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86168,39 +86846,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_549 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86208,35 +86882,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_656 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_667 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86248,55 +86910,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_777 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_782 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86304,11 +86946,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86316,215 +86958,199 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_489 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_499 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_507 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_529 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86532,87 +87158,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86620,19 +87246,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_815 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86640,43 +87262,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86684,143 +87302,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_450 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_65_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_65_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_65_498 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_65_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_65_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_65_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86828,71 +87470,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86900,167 +87542,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_358 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_66_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_66_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_66_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_66_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87072,51 +87730,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_536 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87124,27 +87774,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_590 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_602 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87156,7 +87798,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87164,59 +87806,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87224,107 +87866,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_310 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_67_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87332,59 +87990,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_67_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_67_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_67_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_67_488 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_67_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87392,15 +88066,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87408,79 +88082,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_690 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_698 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_710 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_732 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87496,23 +88150,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_821 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_67_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87524,115 +88182,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_68_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87640,43 +88306,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_455 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87688,11 +88350,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_501 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87700,119 +88358,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_69_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_69_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87820,15 +88486,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_69_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87840,147 +88510,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_69_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_440 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87992,35 +88658,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_494 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_502 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_509 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88028,27 +88682,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_69_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88060,191 +88722,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_69_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_266 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_286 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88256,23 +88910,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_344 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88280,43 +88930,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_462 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_468 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88324,243 +88966,263 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_71_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_71_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_71_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_71_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_71_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88568,23 +89230,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88592,27 +89246,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88620,15 +89274,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88636,15 +89290,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_71_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88652,99 +89310,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_810 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_815 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_823 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_829 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88752,11 +89394,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88764,15 +89402,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_30 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_43 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88780,43 +89410,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88824,7 +89466,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_72_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88832,103 +89478,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_72_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_72_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88944,55 +89590,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_651 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_667 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_672 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89000,51 +89634,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_72_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89056,167 +89690,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89224,23 +89854,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_563 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_73_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89248,39 +89882,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89288,67 +89918,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_74_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89356,91 +89986,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_74_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89452,27 +90082,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_334 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_342 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89480,95 +90098,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_74_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_74_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_74_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_74_609 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_74_630 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_74_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_74_652 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_74_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89576,83 +90214,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_74_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_74_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89660,55 +90298,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_75_200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_75_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_75_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_247 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_254 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_75_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89716,7 +90386,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89724,43 +90394,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_356 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_369 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89768,71 +90430,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_553 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_75_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89840,19 +90510,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89860,11 +90530,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_665 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_75_671 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_679 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_75_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89872,43 +90554,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89916,7 +90594,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89928,167 +90606,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_76_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_76_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_76_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90096,31 +90782,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_440 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_453 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90128,35 +90798,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90164,147 +90830,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90312,167 +90962,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_616 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90480,27 +91126,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90508,19 +91154,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_77_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_77_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90528,107 +91182,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_135 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90636,23 +91266,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_78_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_78_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90660,23 +91298,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_78_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90684,15 +91326,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_340 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90700,47 +91334,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_78_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_78_527 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90748,127 +91394,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_78_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_79_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_79_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90876,67 +91530,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_148 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_152 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_178 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90944,35 +91582,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_79_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90980,127 +91626,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_689 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91108,127 +91750,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_80_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_80_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91240,63 +91890,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_387 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_400 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91304,79 +91946,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_80_626 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_80_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_80_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91384,55 +92046,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_753 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_772 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_780 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91440,19 +92086,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91460,99 +92106,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_81_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_81_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_81_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_81_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91560,51 +92222,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_434 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_442 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_81_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91616,39 +92270,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_81_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91656,51 +92318,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_81_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_81_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_692 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_81_700 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_81_712 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_729 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91708,23 +92386,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_81_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91736,7 +92410,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91744,7 +92422,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_82_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91752,167 +92434,191 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_82_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_82_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_82_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_82_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_529 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91920,47 +92626,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_82_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_622 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91968,47 +92666,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92016,23 +92714,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_841 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92040,211 +92730,219 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_607 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_83_630 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_83_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92252,59 +92950,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92320,107 +93018,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_84_314 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92432,35 +93138,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_84_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92468,39 +93178,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92508,11 +93218,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_84_651 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_84_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92520,55 +93238,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_792 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_798 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_84_821 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_84_833 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_84_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92576,11 +93310,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92588,127 +93318,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_360 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92716,147 +93442,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_85_795 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_85_800 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92872,67 +93610,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_86_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_86_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92940,115 +93686,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_86_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_86_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_529 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93060,19 +93802,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_582 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93080,87 +93818,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_86_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_87_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93168,143 +93914,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_408 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93312,151 +94054,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_823 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_87_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_87_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93464,279 +94214,275 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_439 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93744,47 +94490,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_89_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93792,115 +94542,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_89_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_89_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_89_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93908,115 +94670,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_89_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_89_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_89_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_89_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_743 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94024,51 +94782,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_26 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94076,27 +94830,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94104,67 +94850,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_266 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94172,19 +94906,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_342 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94192,23 +94922,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_90_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94216,35 +94950,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_90_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_90_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94252,63 +94994,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_710 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94316,79 +95054,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_91_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_91_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_91_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_91_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_91_134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_91_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94396,71 +95158,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94468,119 +95226,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_503 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_515 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_534 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_546 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_550 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_555 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_563 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_571 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_579 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_585 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_595 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94588,7 +95298,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_612 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_91_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94596,15 +95310,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_660 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_91_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_91_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_91_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94612,231 +95338,239 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_92_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_92_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94844,23 +95578,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_510 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_523 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_534 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94868,59 +95590,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_682 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_92_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_92_697 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_92_710 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_92_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94928,135 +95666,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_93_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_93_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_93_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_93_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95064,35 +95818,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_93_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95100,99 +95858,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_93_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_625 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_633 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95200,7 +95950,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_93_665 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95208,59 +95970,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_823 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95268,51 +96038,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_91 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95320,95 +96074,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_94_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95416,7 +96166,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_94_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_94_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95428,19 +96186,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95452,47 +96210,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_94_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_619 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_94_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95500,63 +96262,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_764 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_776 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95564,103 +96314,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95668,23 +96430,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_321 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95692,171 +96450,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_718 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_729 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_95_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95864,127 +96634,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95996,23 +96774,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_338 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96020,127 +96790,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_680 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_688 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_699 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_707 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96148,15 +96934,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_758 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_96_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96164,15 +96954,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96180,83 +96970,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_238 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96264,43 +97058,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_368 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96308,55 +97098,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_97_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_97_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96364,67 +97162,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96432,27 +97226,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_97_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_97_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_815 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96468,63 +97262,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_131 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96532,19 +97314,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_98_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_98_235 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96552,51 +97346,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96604,23 +97402,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_464 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96628,119 +97422,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_813 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96748,11 +97546,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96760,219 +97554,259 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_99_674 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_697 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_99_701 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_99_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_99_713 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_99_719 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_99_730 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_99_738 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_99_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96980,115 +97814,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_100_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_100_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97096,127 +97938,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_100_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_100_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_100_608 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_100_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97224,19 +98082,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97244,207 +98102,227 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_427 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97452,15 +98330,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97472,23 +98350,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_553 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97496,59 +98382,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_741 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97556,23 +98438,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_101_810 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97580,11 +98470,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97592,11 +98482,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_102_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97608,155 +98502,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_371 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_375 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97764,95 +98642,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97860,367 +98738,387 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_103_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_103_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_103_369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_103_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_103_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_103_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_103_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98228,63 +99126,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_104_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_131 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_165 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98292,91 +99174,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_104_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_104_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_376 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_400 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98384,75 +99258,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_104_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_104_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98460,35 +99334,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_708 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_714 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_104_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98496,35 +99378,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_809 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_104_820 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_104_835 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98540,107 +99434,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_105_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_105_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_105_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_105_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_105_348 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_105_366 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_105_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98648,47 +99570,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_497 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98696,47 +99614,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_600 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_613 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98744,47 +99654,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_740 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98796,51 +99694,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_106_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98848,83 +99750,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98932,71 +99834,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_478 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_106_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_106_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_106_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_106_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99004,43 +99926,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_643 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99048,47 +99962,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_789 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99096,11 +100014,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_822 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_106_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99112,71 +100038,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_238 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_107_250 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_107_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99184,35 +100118,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_107_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99220,7 +100166,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_107_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_107_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99228,87 +100182,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99316,19 +100270,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_738 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99336,23 +100286,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_801 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_107_805 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99368,15 +100326,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99384,31 +100338,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_108_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_108_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99420,215 +100386,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_618 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_633 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_646 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_664 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_670 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_686 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_713 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99636,43 +100570,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99688,103 +100622,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_109_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_109_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99792,71 +100722,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_109_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_498 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_109_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_109_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99864,19 +100806,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99884,95 +100826,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_778 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_790 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_802 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_814 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99988,23 +100914,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100012,23 +100934,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100036,23 +100954,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100060,19 +100974,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_212 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100080,19 +100990,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_110_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_110_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100100,19 +101018,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_340 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100124,179 +101038,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_808 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_110_816 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100312,247 +101234,231 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_640 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_656 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_662 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_666 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100564,47 +101470,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_803 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100620,123 +101530,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_112_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_333 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100744,39 +101650,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_112_439 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_112_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_470 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_112_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100784,27 +101710,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_519 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100812,67 +101734,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_715 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100880,19 +101798,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100904,127 +101822,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101036,67 +101954,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_113_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_499 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101104,119 +102018,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_113_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101232,55 +102146,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_114_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_114_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101288,19 +102210,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101308,67 +102230,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_353 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_381 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101376,27 +102274,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_114_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101404,23 +102306,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_533 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101428,147 +102322,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_74 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_82 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_115_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101576,135 +102462,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_115_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_115_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101716,107 +102602,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_115_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_115_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_796 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_115_800 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_115_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101832,51 +102726,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101884,31 +102778,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_164 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_172 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101916,163 +102798,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_559 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_567 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_573 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_578 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102080,375 +102942,399 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_117_266 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_117_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_117_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_117_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_117_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_117_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_117_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102456,11 +103342,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102476,11 +103362,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102492,23 +103378,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102520,139 +103406,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_118_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_118_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_118_590 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_118_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102660,71 +103566,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_753 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_759 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_767 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102736,23 +103626,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_833 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102772,59 +103658,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102832,35 +103714,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_119_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_119_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_119_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102868,119 +103762,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_119_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_119_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_570 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_578 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102988,123 +103866,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103112,127 +103986,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_443 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_120_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_120_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103240,71 +104134,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_649 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103316,39 +104202,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_747 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_758 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_762 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103360,35 +104234,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103396,39 +104270,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_121_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_121_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_121_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103436,27 +104318,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103468,291 +104350,279 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_642 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_660 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_676 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103764,87 +104634,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_411 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103852,23 +104718,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103876,147 +104734,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_826 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_838 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_123_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_123_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104024,19 +104898,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104044,187 +104914,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_123_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_453 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_473 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_502 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_515 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_524 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_555 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_562 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_570 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104232,19 +105066,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104252,23 +105086,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104276,187 +105114,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_124_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_124_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_243 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_267 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104464,103 +105254,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104568,35 +105362,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_632 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_640 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_652 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_656 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104604,31 +105378,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_124_744 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_124_752 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_758 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_124_766 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_124_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104636,15 +105434,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104660,7 +105458,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_125_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104668,19 +105470,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104688,19 +105490,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104712,63 +105514,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_125_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_125_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_125_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_125_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104776,115 +105590,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_125_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_619 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104892,79 +105702,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_819 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_125_823 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_125_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_125_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104972,67 +105794,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_158 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105040,87 +105850,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_126_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_126_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_404 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_126_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_126_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_126_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105128,71 +105962,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_126_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_126_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_618 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_625 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105200,79 +106026,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_23 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105280,19 +106102,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_51 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105300,59 +106114,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_219 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105360,207 +106170,211 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_798 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105576,35 +106390,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_128_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_128_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_128_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105612,107 +106434,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_128_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_128_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_128_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_128_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_128_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_128_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_392 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105724,135 +106542,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_773 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105860,119 +106682,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_129_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_224 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105980,123 +106786,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_532 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_564 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_570 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_578 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_602 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106104,11 +106878,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_129_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106116,63 +106898,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_129_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_129_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106196,99 +106982,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_130_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_130_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106296,19 +107094,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106316,179 +107118,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_130_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_130_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_830 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_131_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106500,27 +107294,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_62 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106528,35 +107310,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_140 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_148 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106564,11 +107338,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_162 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106576,15 +107346,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_131_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_131_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106592,87 +107366,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_131_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_398 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_402 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_441 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106680,83 +107438,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_131_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_131_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_660 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106764,39 +107518,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_131_805 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_131_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106804,11 +107566,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106816,167 +107574,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_132_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_132_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_132_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106984,111 +107738,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_731 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_132_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_132_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_132_761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_132_766 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_132_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_132_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107096,19 +107878,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107116,7 +107898,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107124,123 +107906,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_133_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_133_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_133_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107248,47 +108030,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107296,19 +108078,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_133_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_133_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_133_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107320,11 +108110,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_598 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_618 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_133_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107332,95 +108130,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_133_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_133_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_133_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_134_23 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_134_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107428,147 +108238,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_134_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_134_526 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107576,51 +108398,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_134_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_134_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_670 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_679 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_134_683 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107628,23 +108462,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_753 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_134_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107656,211 +108498,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_398 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_414 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_430 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_467 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_479 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_486 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107868,51 +108674,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_135_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_135_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_135_682 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_135_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107920,139 +108742,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_160 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_168 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108060,35 +108854,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108096,15 +108886,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_348 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_136_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108112,119 +108906,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_136_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_136_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_608 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_611 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_622 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_649 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_661 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_669 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108232,51 +109002,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_733 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_737 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_747 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_760 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_772 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108284,59 +109034,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_67 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_72 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108344,31 +109086,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108376,19 +109114,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_201 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108396,59 +109138,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_137_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_372 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_137_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108456,139 +109222,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_771 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_137_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_137_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_137_816 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_137_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108596,23 +109382,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_138_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108620,47 +109406,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_161 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_138_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108672,11 +109446,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108684,23 +109458,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108708,31 +109482,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_372 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_138_400 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108744,115 +109546,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_138_746 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_138_758 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_770 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_138_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108868,107 +109686,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_139_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_139_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_139_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_244 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_139_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108980,83 +109814,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_139_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_139_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109064,83 +109910,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_139_749 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_139_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109156,59 +110010,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_140_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109220,167 +110082,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_140_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_140_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_140_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_140_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_140_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_522 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_140_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_545 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_140_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_140_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109388,87 +110266,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_140_832 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_140_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109480,27 +110370,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_141_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_141_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_141_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109508,79 +110418,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_312 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_324 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109588,35 +110486,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_398 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_404 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109624,63 +110514,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_141_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109692,59 +110590,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_141_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109752,15 +110654,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_837 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109768,23 +110666,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_142_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109796,119 +110706,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_142_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_142_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_371 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_387 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_394 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_402 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_142_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109920,47 +110810,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_487 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_508 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_516 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109972,15 +110850,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_590 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_142_601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_142_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109988,19 +110874,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_648 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_142_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110028,51 +110918,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110080,203 +110970,215 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_143_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_143_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_143_599 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_143_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110284,159 +111186,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_143_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_143_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_144_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_140 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_166 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110444,23 +111334,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110468,95 +111362,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_432 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_468 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110564,111 +111446,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_144_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_144_800 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_144_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110680,11 +111570,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110692,31 +111582,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_63 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110724,51 +111606,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110776,31 +111662,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_266 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110808,27 +111686,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_299 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_308 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110836,79 +111702,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_145_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_145_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_145_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_145_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_145_552 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_145_560 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110916,75 +111810,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_145_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_145_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_715 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111000,131 +111882,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111132,11 +112014,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111144,47 +112026,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111192,27 +112082,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111220,47 +112110,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_146_643 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_146_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111268,263 +112170,283 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_147_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_147_534 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_552 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_147_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111532,51 +112454,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_687 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_147_695 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_147_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111584,51 +112514,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_147_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111636,27 +112570,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_26 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_30 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_35 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111668,23 +112586,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111692,31 +112606,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_137 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111724,27 +112618,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111752,99 +112646,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111852,43 +112746,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_148_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_586 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_148_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111896,11 +112794,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_148_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_633 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111908,23 +112810,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111932,39 +112834,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111976,115 +112874,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112092,39 +112978,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_490 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112132,23 +113014,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112160,91 +113038,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112260,19 +113138,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112280,71 +113154,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_150_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_150_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112352,43 +113238,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112396,67 +113282,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_150_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_575 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_587 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112464,99 +113342,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_150_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_150_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_151_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112564,135 +113442,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_151_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_151_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_151_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_151_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_151_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_151_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112704,67 +113594,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_151_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_598 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_151_610 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_151_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_151_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112772,23 +113674,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_151_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_151_695 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_151_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112800,35 +113710,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_151_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_791 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_151_803 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_151_815 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_151_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112848,43 +113770,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_152_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_152_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_152_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_152_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_152_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112892,27 +113834,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_152_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_152_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112928,15 +113874,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_152_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_152_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112944,163 +113898,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_152_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_152_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_152_754 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_152_766 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_152_778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_152_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113120,11 +114090,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_153_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113132,43 +114102,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_153_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_153_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_153_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113176,63 +114150,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_153_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_153_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113240,75 +114218,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_153_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_153_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113316,51 +114302,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_153_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_153_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_153_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_153_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_153_667 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_153_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_153_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113368,43 +114370,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_153_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_153_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_153_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113420,107 +114430,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_154_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_154_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_252 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_154_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113528,59 +114522,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_154_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_154_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_154_458 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_154_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_154_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_154_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113588,23 +114606,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_531 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_154_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113612,227 +114634,223 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_155_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_155_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_334 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113840,75 +114858,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_453 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_155_467 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_474 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_482 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113916,63 +114910,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_155_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_651 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_664 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_155_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_155_683 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_155_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113980,43 +114990,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_155_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114032,15 +115042,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_156_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_156_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_156_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114048,103 +115066,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_156_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_156_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_156_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_156_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_156_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_156_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_306 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_156_314 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_338 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114156,87 +115158,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_156_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_156_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_156_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114244,11 +115250,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114256,139 +115266,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_156_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_157_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_157_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_157_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_157_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_157_185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_157_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114396,47 +115422,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_157_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114444,179 +115458,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_157_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_157_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_157_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_157_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_624 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_632 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_636 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_640 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_657 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_669 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_681 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_693 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114624,35 +115598,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_791 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_157_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_157_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114668,55 +115650,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_158_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_158_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_158_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_158_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_158_167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_158_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114724,119 +115722,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_158_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_158_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_158_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_158_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_158_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_158_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_158_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114844,19 +115842,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_532 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114864,63 +115858,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_158_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_158_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_672 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_684 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114928,39 +115910,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114976,111 +115954,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_159_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_159_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_159_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115088,31 +116070,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_384 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115120,23 +116098,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_436 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_159_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115144,7 +116126,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_159_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_468 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_159_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_159_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115152,11 +116154,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115164,75 +116166,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_159_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_738 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_159_750 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_159_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115240,19 +116250,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_808 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115268,131 +116282,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_160_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_160_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_160_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_364 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_160_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115400,19 +116422,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115420,143 +116438,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_160_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_160_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_160_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_728 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_740 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_758 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_160_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_160_786 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_160_792 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_160_813 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_160_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_160_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115564,119 +116618,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_161_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_161_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_161_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_161_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_161_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_161_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115688,39 +116738,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_398 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_161_411 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115740,95 +116778,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_161_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_161_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_654 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_666 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_678 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115836,39 +116862,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115884,183 +116910,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_162_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_162_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_162_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_162_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_162_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_162_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_162_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_162_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_162_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_162_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116068,19 +117086,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_162_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116088,27 +117106,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_570 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_582 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_590 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116128,11 +117134,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_655 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116140,11 +117150,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116156,23 +117166,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_162_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_162_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116192,15 +117206,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_22 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116208,83 +117226,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_163_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_163_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_163_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_163_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_163_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_163_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_163_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_163_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116292,75 +117338,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_163_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_419 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_427 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116372,47 +117410,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_163_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_163_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116420,23 +117458,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_620 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_163_632 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116448,15 +117494,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_680 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116464,39 +117514,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_796 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_163_808 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116512,131 +117570,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_164_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_164_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_164_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_332 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_164_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116644,79 +117714,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_164_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_533 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116724,99 +117790,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_164_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_164_798 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_164_810 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_164_822 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_164_834 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_165_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_165_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116828,111 +117918,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_165_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_165_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_165_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_165_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_165_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_165_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_165_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116940,27 +118042,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_165_382 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116968,67 +118066,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_165_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117036,59 +118134,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_165_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_165_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_165_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_165_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117096,19 +118190,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_165_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117124,23 +118218,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_166_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_166_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117148,127 +118250,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_166_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_166_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_166_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_166_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_166_404 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_166_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_166_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117276,27 +118394,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_472 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117304,15 +118418,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_166_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_166_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_166_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117320,67 +118446,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_708 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_166_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117388,59 +118510,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_166_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_166_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_166_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_167_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117448,75 +118574,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_167_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_167_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_167_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_167_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_167_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_167_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_167_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_167_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117524,71 +118670,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_167_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_167_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_167_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_167_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_167_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117596,59 +118754,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_167_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_167_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117656,39 +118814,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_167_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_784 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_167_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117708,23 +118870,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_168_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117732,63 +118894,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_168_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_168_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_168_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_168_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_168_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_168_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_168_212 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_168_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_168_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117796,39 +118970,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_168_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_168_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117836,107 +119018,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_168_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_168_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_168_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_168_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_168_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_611 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117948,55 +119118,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_168_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_806 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_168_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118012,15 +119174,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118028,27 +119186,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_169_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_169_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_169_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_140 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_169_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118056,39 +119218,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_169_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_169_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_169_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_169_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118096,79 +119266,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_169_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_169_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_169_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_169_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_169_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_476 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_169_484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_169_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_169_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118176,99 +119358,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_169_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_169_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_751 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_764 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_776 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118296,31 +119466,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_170_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_26 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_30 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_38 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_56 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118328,107 +119482,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_170_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_170_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118436,19 +119598,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_170_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_388 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118460,47 +119618,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_562 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_170_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_170_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118508,63 +119674,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_170_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_728 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_170_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_170_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_170_762 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_170_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_170_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118584,11 +119770,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118596,39 +119782,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_171_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118636,107 +119822,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_171_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_398 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118752,83 +119934,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_171_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_171_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_171_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_171_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118844,39 +120034,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_171_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_171_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_171_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118892,39 +120082,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_172_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_172_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_172_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118932,15 +120134,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_172_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_172_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_172_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_172_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118948,35 +120166,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_172_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_172_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_172_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_172_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_236 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118984,99 +120198,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_172_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_172_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_172_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_487 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_489 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_493 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_503 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_526 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119084,227 +120278,215 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_172_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_172_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_172_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_172_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_172_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_173_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_173_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_173_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_173_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_173_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_173_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_173_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_306 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_173_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119312,31 +120494,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_173_427 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_173_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119344,19 +120534,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_173_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_173_516 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_173_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119364,79 +120562,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_715 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119448,19 +120638,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_173_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119476,291 +120666,307 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_174_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_174_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_174_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_174_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_174_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_174_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_376 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_174_384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_174_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_174_408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_174_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_174_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_174_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_174_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_174_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_174_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_174_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_174_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_174_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_174_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119768,35 +120974,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_175_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_175_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_175_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119804,27 +121010,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119832,11 +121038,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_165 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119844,67 +121054,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_175_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_175_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_175_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_175_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_175_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_175_369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_175_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_175_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_175_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119912,83 +121150,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_175_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_175_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_610 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_622 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_634 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119996,11 +121222,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120008,47 +121238,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_773 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_175_778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_175_790 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_175_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_175_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_175_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120064,19 +121314,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_176_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120088,19 +121334,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_176_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120108,19 +121354,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_176_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_176_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120128,51 +121366,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_176_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_176_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_176_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_176_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_176_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_176_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120180,23 +121434,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_176_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_388 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_396 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_176_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120204,23 +121450,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_176_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_176_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120228,47 +121478,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_176_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_597 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_176_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120276,179 +121522,227 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_176_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_176_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_177_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_177_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_177_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_144 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_177_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_177_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_177_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_177_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_177_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_177_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_177_195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_177_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_177_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_177_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_177_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_177_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120456,15 +121750,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_177_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_177_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120472,83 +121774,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_177_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_177_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_177_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_440 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_446 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_473 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_486 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_177_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120556,23 +121830,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_177_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_177_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120580,75 +121862,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_177_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_177_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_799 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_177_811 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_177_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120668,103 +121958,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_178_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_178_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_178_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_178_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_178_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_266 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_286 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120772,35 +122054,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_178_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_178_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_178_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120808,27 +122098,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_178_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_472 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120836,159 +122122,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_178_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_178_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_178_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_178_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_58 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_179_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_179_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_179_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_179_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_179_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_179_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_179_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_179_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_179_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120996,159 +122318,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_179_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_179_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_179_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_179_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_179_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_179_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_179_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_179_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_179_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_179_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_179_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_179_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_179_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_179_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_179_610 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_179_618 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_179_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_179_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121156,43 +122506,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_179_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_179_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121200,19 +122546,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121232,283 +122578,303 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_180_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_180_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_180_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_338 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_180_348 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_180_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_180_364 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_180_374 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_180_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_180_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_180_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_180_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_180_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_180_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_180_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_180_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121528,15 +122894,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121544,19 +122906,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121564,51 +122926,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_181_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_181_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_181_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_181_247 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_181_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121620,31 +122994,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_302 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_310 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_314 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121652,27 +123010,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_181_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121680,47 +123038,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_181_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_506 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121728,123 +123082,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_181_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_764 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_776 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_800 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121868,7 +123206,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121884,163 +123222,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_182_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_182_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_182_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_182_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_182_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_458 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122052,63 +123386,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_182_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_182_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_638 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_182_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122116,43 +123454,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_182_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_182_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122184,171 +123518,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_183_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_183_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_183_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_183_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_183_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_183_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_183_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_183_456 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_466 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_474 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_487 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122356,11 +123674,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_183_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_526 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_183_534 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_183_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122368,91 +123694,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_183_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_183_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_183_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_183_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122472,139 +123810,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_184_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_184_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_184_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_184_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_184_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_184_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_184_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_184_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_184_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_184_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_184_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_184_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_184_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122616,111 +123974,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_184_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_184_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_707 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_184_714 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_184_726 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_184_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_184_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_184_761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_184_779 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_184_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122740,11 +124126,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_185_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122756,23 +124142,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_185_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_81 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_185_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122780,51 +124162,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_185_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122832,115 +124210,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_185_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_185_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_185_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_185_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_185_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_185_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_185_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_185_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_185_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_185_555 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_185_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122952,23 +124346,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_620 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_632 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_185_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122976,15 +124362,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122996,19 +124382,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_185_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_185_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123016,19 +124406,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_185_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_185_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123048,175 +124438,211 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_186_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_186_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_186_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_186_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_186_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_186_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_186_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_186_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_186_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_186_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_186_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_186_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_186_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_186_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_186_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_186_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_186_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_186_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_186_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_186_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_186_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_186_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123224,67 +124650,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_186_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_186_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_684 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_708 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123324,207 +124738,211 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_187_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_187_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_187_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_187_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_187_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_187_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_187_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_187_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_187_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_552 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_187_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123532,63 +124950,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_187_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_754 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_187_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123624,67 +125046,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_188_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_188_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_188_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_188_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123692,87 +125114,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_188_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_188_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_188_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_188_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_188_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_188_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123780,27 +125222,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_529 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123808,7 +125254,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_557 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_188_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123852,43 +125302,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_782 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_188_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123908,71 +125362,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_189_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_189_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_189_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_189_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123980,43 +125434,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_189_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_299 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124024,39 +125470,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_189_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_189_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124064,83 +125510,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_189_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_189_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_667 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124148,43 +125598,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_189_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_189_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_189_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124200,207 +125654,203 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_190_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_190_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_190_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_190_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_190_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_190_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_190_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_190_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_190_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_190_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_190_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_190_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_521 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124408,15 +125858,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_190_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_190_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_190_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_190_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124428,63 +125894,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_190_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_190_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_190_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124516,23 +125974,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_191_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124540,207 +125994,215 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_191_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_191_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_191_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_191_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_191_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_191_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_191_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_191_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_191_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_191_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_191_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_633 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_191_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124752,19 +126214,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_748 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_191_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124800,175 +126266,207 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_192_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_192_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_192_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_192_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_192_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_192_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_192_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_192_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_192_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_192_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_192_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_192_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_192_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_192_395 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_192_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_192_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_192_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_192_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_192_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_192_455 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_192_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124976,103 +126474,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_192_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_192_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_192_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_192_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125092,51 +126590,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_193_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_193_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_193_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_193_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_193_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125144,27 +126662,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_193_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_193_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_193_235 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_193_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_193_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125172,47 +126702,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_193_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_193_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125220,15 +126750,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_193_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125236,111 +126770,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_193_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_193_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_193_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125376,67 +126902,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_194_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_194_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_194_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_194_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_194_144 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_194_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_194_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125448,15 +126990,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125464,23 +127006,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_275 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125488,55 +127018,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_194_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_374 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_382 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_194_390 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_412 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125544,67 +127058,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_194_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_194_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_194_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125632,19 +127146,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125652,19 +127166,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_772 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_194_784 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_194_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125684,15 +127206,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125700,19 +127218,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_76 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125720,35 +127242,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_195_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_195_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_195_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_195_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_195_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_195_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125756,131 +127298,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_195_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_195_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_195_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_195_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_195_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_195_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_195_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_195_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_195_566 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_195_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_195_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125916,51 +127466,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125988,175 +127538,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_196_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_196_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_196_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_196_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_196_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_196_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_196_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_196_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_196_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_196_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_196_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_196_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_196_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_196_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_196_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126168,19 +127738,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_586 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_196_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126192,7 +127766,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_196_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126200,47 +127778,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_196_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_760 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_196_771 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_196_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_196_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126272,63 +127862,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_197_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_197_203 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_197_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126336,19 +127934,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126360,111 +127958,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_197_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_197_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_197_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_197_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_197_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_197_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_197_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126480,71 +128078,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_197_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_768 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_197_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_197_792 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_197_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_197_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126564,111 +128178,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_198_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_198_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_198_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_198_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_198_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_198_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_198_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_198_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_198_338 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_198_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126676,47 +128302,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126728,7 +128350,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126736,99 +128358,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_198_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_198_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126848,11 +128466,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_199_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126860,187 +128478,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_199_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_199_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_199_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_199_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_199_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_199_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_199_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_199_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_199_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_199_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127048,63 +128666,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_199_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_199_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127140,47 +128758,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_200_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127188,19 +128806,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_200_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127216,11 +128834,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_200_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127228,75 +128846,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_200_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_200_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_200_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_470 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127304,103 +128918,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_200_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_200_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_200_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_200_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_200_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127432,127 +129058,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_201_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_201_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_201_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_201_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_201_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_201_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_201_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_201_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_201_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_201_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_201_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_201_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_201_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127560,87 +129214,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_201_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_201_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_201_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_678 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127648,19 +129298,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_201_749 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_201_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127708,91 +129366,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_202_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_202_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_202_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_202_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_202_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127800,115 +129462,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_202_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_202_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_202_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_202_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_202_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_202_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_202_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_202_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127920,7 +129586,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_202_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127932,23 +129602,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_202_712 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_202_722 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_202_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128000,63 +129678,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_203_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128064,63 +129746,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_203_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_203_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_203_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_203_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128128,55 +129810,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_203_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_203_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_203_543 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_203_555 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_203_563 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_203_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128196,51 +129894,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_203_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_717 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_203_728 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_203_740 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_203_752 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_203_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128284,19 +129998,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_51 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_204_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128320,19 +130030,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_204_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128340,23 +130050,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_204_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_204_235 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_204_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128364,87 +130082,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_204_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_204_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_204_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_204_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_204_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_204_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_204_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_204_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_204_468 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128452,43 +130162,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_204_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_204_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_204_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_204_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_204_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128504,35 +130226,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_714 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_204_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128584,135 +130310,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_205_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_205_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_205_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_205_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_205_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_205_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_205_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_205_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_205_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128720,111 +130466,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_205_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_205_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_205_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_205_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_205_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_205_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_205_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_205_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_205_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_715 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_205_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128860,47 +130594,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_206_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_206_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_86 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128908,107 +130634,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_206_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_206_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_206_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_206_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_206_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_206_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_206_455 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_206_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129016,39 +130762,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_206_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129056,39 +130806,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_708 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129128,11 +130874,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_207_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129140,35 +130886,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_207_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129184,7 +130926,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_207_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129200,23 +130942,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_207_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129224,11 +130966,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_207_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_207_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129236,35 +130986,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_207_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_207_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_207_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129276,103 +131034,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_207_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_207_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_207_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_207_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_753 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_207_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129412,63 +131174,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_208_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_208_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_159 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_208_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129476,35 +131230,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_208_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_208_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_208_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129512,19 +131274,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_334 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_208_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_208_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_208_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129536,71 +131310,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_208_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_208_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_208_557 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_208_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_208_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_208_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_208_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_208_599 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_208_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129608,39 +131410,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_208_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_208_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129692,23 +131498,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_209_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129716,59 +131522,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_209_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_209_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_209_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129776,39 +131586,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_209_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_209_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129816,59 +131630,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_209_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_209_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_209_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_209_553 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_209_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_209_566 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_209_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129876,39 +131710,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_209_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_674 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_209_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_209_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129992,155 +131834,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_210_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_210_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_210_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_210_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_210_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_210_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_210_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_210_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_210_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_210_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_210_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_210_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_210_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_210_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_210_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_210_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_210_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130152,39 +132014,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_210_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130256,43 +132122,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_211_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_211_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_211_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_211_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130300,123 +132174,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_211_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_404 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_416 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_435 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130424,123 +132270,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_211_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_211_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130552,23 +132398,5379 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_212_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_212_23 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_212_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_212_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_212_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_212_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_212_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_212_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_212_175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_212_203 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_212_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_212_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_212_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_212_400 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_212_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_522 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_212_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_554 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_562 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_212_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_598 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_647 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_708 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_720 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_830 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_213_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_213_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_213_168 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_213 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_213_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_213_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_240 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_252 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_213_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_213_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_366 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_213_390 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_213_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_213_424 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_213_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_213_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_213_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_539 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_543 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_213_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_213_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_213_603 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_612 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_624 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_636 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_800 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_812 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_213_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_214_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_214_35 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_214_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_214_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_214_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_214_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_214_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_214_173 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_214_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_214_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_214_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_214_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_214_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_214_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_214_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_214_376 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_214_384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_214_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_214_404 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_214_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_214_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_214_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_214_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_214_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_214_543 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_580 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_647 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_708 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_720 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_830 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_215_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_215_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_215_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_215_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_215_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_215_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_215_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_215_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_215_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_215_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_498 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_215_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_215_529 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_215_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_215_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_215_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_800 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_812 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_216_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_216_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_216_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_216_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_216_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_216_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_216_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_216_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_216_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_216_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_216_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_240 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_216_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_216_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_216_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_216_376 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_216_384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_216_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_216_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_216_436 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_443 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_216_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_216_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_216_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_216_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_557 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_216_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_216_604 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_647 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_708 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_720 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_216_830 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_217_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_217_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_58 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_70 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_217_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_217_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_217_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_138 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_217_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_217_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_217_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_217_160 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_217_168 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_217_185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_217_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_217_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_217_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_217_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_217_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_298 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_217_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_217_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_217_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_372 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_217_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_217_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_217_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_217_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_217_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_554 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_217_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_217_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_603 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_217_639 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_800 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_812 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_217_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_217_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_218_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_218_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_218_86 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_218_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_218_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_218_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_218_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_218_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_218_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_218_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_218_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_240 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_218_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_252 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_218_275 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_313 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_218_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_376 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_218_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_468 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_218_480 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_218_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_523 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_218_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_566 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_218_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_599 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_647 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_708 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_720 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_830 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_219_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_219_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_219_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_236 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_332 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_219_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_219_395 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_219_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_219_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_442 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_219_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_219_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_219_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_498 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_219_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_219_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_219_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_219_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_219_599 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_610 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_219_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_800 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_812 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_219_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_220_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_220_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_86 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_220_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_220_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_220_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_220_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_220_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_220_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_220_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_354 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_220_358 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_220_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_220_400 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_220_408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_220_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_220_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_220_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_506 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_220_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_545 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_220_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_220_602 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_618 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_630 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_220_670 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_708 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_720 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_830 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_221_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_221_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_221_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_221_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_244 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_221_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_221_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_221_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_332 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_373 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_385 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_221_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_221_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_442 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_495 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_221_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_221_529 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_221_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_221_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_616 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_221_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_800 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_812 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_221_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_222_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_222_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_86 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_222_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_222_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_222_173 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_222_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_222_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_240 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_222_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_222_294 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_222_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_222_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_222_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_222_364 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_370 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_222_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_222_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_222_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_222_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_222_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_222_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_222_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_222_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_222_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_222_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_222_603 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_222_609 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_647 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_708 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_720 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_222_830 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_168 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_223_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_223_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_223_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_223_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_223_247 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_223_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_223_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_223_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_310 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_223_334 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_223_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_223_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_223_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_223_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_420 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_223_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_495 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_527 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_539 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_223_563 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_223_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_223_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_617 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_629 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_800 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_812 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_223_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_223_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_224_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_224_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_86 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_224_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_224_172 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_224_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_224_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_224_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_224_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_224_236 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_275 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_224_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_224_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_224_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_224_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_224_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_412 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_224_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_443 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_455 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_224_479 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_224_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_525 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_224_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_545 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_224_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_560 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_224_608 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_647 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_708 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_720 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_830 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_225_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_225_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_225_222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_225_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_225_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_225_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_225_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_225_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_225_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_348 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_382 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_225_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_225_450 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_495 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_225_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_225_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_225_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_604 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_616 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_225_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_800 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_812 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_225_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_225_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_226_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_226_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_86 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_226_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_226_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_226_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_226_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_226_235 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_240 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_226_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_266 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_278 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_226_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_226_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_226_310 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_334 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_226_338 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_226_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_226_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_226_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_464 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_476 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_525 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_226_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_226_609 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_647 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_708 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_720 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_830 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_227_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_227_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_227_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_227_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_227_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_227_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_227_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_374 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_227_386 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_227_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_227_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_227_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_227_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_495 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_568 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_617 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_629 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_800 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_812 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_227_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_227_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_228_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_228_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_86 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_232 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_306 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_354 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_464 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_476 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_525 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_228_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_228_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_582 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_228_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_647 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_708 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_720 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_228_830 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_229_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_75 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_156 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_168 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_230 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_354 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_366 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_373 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_385 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_404 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_478 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_552 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_590 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_602 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_614 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_621 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_633 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_652 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_664 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_676 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_683 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_695 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_707 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_714 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_726 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_738 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_800 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_807 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_819 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_229_831 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_229_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
diff --git a/verilog/rtl/spi_master/src/spim_ctrl.sv b/verilog/rtl/spi_master/src/spim_ctrl.sv
index 42f7a5d..e8aa2e4 100644
--- a/verilog/rtl/spi_master/src/spim_ctrl.sv
+++ b/verilog/rtl/spi_master/src/spim_ctrl.sv
@@ -123,15 +123,17 @@
     input  logic                          spi_sdi1,
     input  logic                          spi_sdi2,
     input  logic                          spi_sdi3,
-    output logic                          spi_en_tx // Spi Direction control
+    output logic                          spi_en_tx_out // Spi Direction control
 );
 
 //--------------------------------------
 // Parameter
 // --------------------------------------
-parameter  SPI_STD     = 2'b00;
-parameter  SPI_QUAD_TX = 2'b01;
-parameter  SPI_QUAD_RX = 2'b10;
+parameter P_SINGLE = 2'b00;
+parameter P_DOUBLE = 2'b01;
+parameter P_QUAD   = 2'b10;
+parameter P_QDDR   = 2'b11;
+
 
 /*************************************************************
 *  SPI FSM State Control
@@ -200,6 +202,7 @@
   logic spi_clock_en;
 
   logic spi_en_rx;
+  logic spi_en_tx;
 
 
   logic [15:0] counter_tx;
@@ -207,6 +210,7 @@
   logic [15:0] counter_rx;
   logic        counter_rx_valid;
 
+  logic        dummy_phase;
   logic [31:0] data_to_tx;
   logic        data_to_tx_valid;
   logic        data_to_tx_ready;
@@ -298,11 +302,13 @@
   //---------------------------------------------------------------------------
   
   logic  fsm_flush;
+  logic  spi_dummy;
   assign m0_res_fifo_flush   =  (gnt == 2'b01) ? fsm_flush : 1'b0;
   assign m1_res_fifo_flush   =  (gnt == 2'b10) ? fsm_flush : 1'b0;
 
   assign spi_clock_en =  tx_clk_en |  rx_clk_en;
 
+  assign spi_en_tx_out  = (spi_en_tx) && (spi_dummy ==0); // Don't Drive Tx On Dummy Phase
 
   spim_clkgen u_clkgen
   (
@@ -329,9 +335,11 @@
     .s_spi_mode     ( s_spi_mode             ),
     .counter_in     ( counter_tx             ),
     .counter_in_upd ( counter_tx_valid       ),
+    .dummy_phase    ( dummy_phase            ),
     .txdata         ( data_to_tx             ),
     .data_valid     ( data_to_tx_valid       ),
     .data_ready     ( tx_data_ready          ),
+    .spi_dummy      ( spi_dummy              ),
     .clk_en_o       ( tx_clk_en              )
   );
   spim_rx #(.ENDIEAN(ENDIEAN)) u_rxreg
@@ -359,6 +367,7 @@
   begin
       data_to_tx       =  'h0;
       data_to_tx_valid = 1'b0;
+      dummy_phase       = 1'b0;
 
       case(ctrl_data_mux)
           DATA_NULL:
@@ -369,6 +378,7 @@
 
           DATA_EMPTY:
           begin
+	      dummy_phase       =  1'b1;
               data_to_tx       =  '0;
               data_to_tx_valid = 1'b1;
           end
@@ -471,7 +481,7 @@
           ctrl_data_valid  = 1'b1;
           counter_tx       =  (cfg_addr_cnt == P_8BIT) ? 'd8 :
 	                      (cfg_addr_cnt == P_16BIT) ? 'd16 :
-	                      (cfg_addr_cnt == P_24BIT) ? 'd24 : 'd20;
+	                      (cfg_addr_cnt == P_24BIT) ? 'd24 : 'd32;
           counter_tx_valid = 1'b1;
           spi_en_tx        = 1'b1;
 	  if (tx_data_ready) begin
@@ -496,9 +506,16 @@
           ctrl_data_mux    = DATA_EMPTY;
           ctrl_data_valid  = 1'b1;
           counter_tx_valid = 1'b1;
-          counter_tx       =  (cfg_dummy_cnt == P_8BIT) ? 'd8 :
-	                      (cfg_dummy_cnt == P_16BIT) ? 'd16 :
-	                      (cfg_dummy_cnt == P_24BIT) ? 'd24 : 'd20;
+	  if(s_spi_mode == P_QDDR ) begin
+	    // QDDR Mode, change the Dummy cycle values to 32,40,48,56
+            counter_tx       =  (cfg_dummy_cnt == 2'b00) ? 'd32 :
+	                        (cfg_dummy_cnt == 2'b01) ? 'd40 :
+	                        (cfg_dummy_cnt == 2'b10) ? 'd48 : 'd56;
+	  end else begin
+            counter_tx       =  (cfg_dummy_cnt == P_8BIT) ? 'd8 :
+	                        (cfg_dummy_cnt == P_16BIT) ? 'd16 :
+	                        (cfg_dummy_cnt == P_24BIT) ? 'd24 : 'd32;
+	  end
           spi_en_tx        = 1'b1;
 	  if (tx_data_ready) begin
               ctrl_data_valid = 1'b0;
@@ -575,7 +592,7 @@
              // If you see new command request, then abort the current request
 	      next_state = FSM_FLUSH;
 	  end else begin
-	     if (rx_done && spi_rise) begin
+	     if (rx_done) begin
 	         next_state = FSM_CS_DEASEERT;
              end 
 	  end
@@ -666,10 +683,10 @@
   // ----------------------------------------------------------------------
   always @(posedge clk or negedge rstn) begin
      if (rstn == 1'b0) begin
-        s_spi_mode <= SPI_STD;
+        s_spi_mode <= P_SINGLE;
      end else begin
 	if(state == FSM_IDLE) begin // Reset the Mode at IDLE State
-            s_spi_mode <= SPI_STD;
+            s_spi_mode <= P_SINGLE;
 	end else if(state == FSM_ADR_PHASE && cfg_spi_switch == P_MODE_SWITCH_AT_ADDR) begin
             s_spi_mode <= cfg_spi_mode;
 	end else if(((state == FSM_READ_PHASE) || state == FSM_WRITE_CMD ) && cfg_spi_switch == P_MODE_SWITCH_AT_DATA) begin
diff --git a/verilog/rtl/spi_master/src/spim_regs.sv b/verilog/rtl/spi_master/src/spim_regs.sv
index 545e3b3..496d75e 100644
--- a/verilog/rtl/spi_master/src/spim_regs.sv
+++ b/verilog/rtl/spi_master/src/spim_regs.sv
@@ -443,7 +443,7 @@
                  cfg_m1_data_cnt[7:0] <= 'h2; // 2 Bytes
                  cfg_m1_addr          <= 'h0; 
                  cfg_m1_wrdy          <= 1'b1;
-                 cfg_m1_wdata         <= {16'h0,8'h2,8'h0}; // <<cr1[7:0]><sr1[7:0]>> cr1[1] = 1 indicate quad mode
+                 cfg_m1_wdata         <= {16'h0,8'h2,8'h0}; // <<cr1[7:0]><sr1[7:0]>> cr1[1] = 1 indicate quad mode cr1[7:6]=3 
                  cfg_m1_req           <= 'h1;
                  spi_init_state       <=  SPI_INIT_WRR_WAIT;
               end
@@ -480,7 +480,7 @@
         MEM_CTRL1: begin // This register control Direct Memory Access Type
              if ( spim_reg_be[0] == 1 ) begin
                cfg_m0_cs_reg    <= spim_reg_wdata[3:0]; // Chip Select for Memory Interface
-               cfg_m0_spi_mode  <= spim_reg_wdata[5:4]; // SPI Mode, 0 - Normal, 1- Double, 2 - Qard
+               cfg_m0_spi_mode  <= spim_reg_wdata[5:4]; // SPI Mode, 0 - Normal, 1- Double, 2 - Qard, 3 - QDDR
                cfg_m0_spi_switch<= spim_reg_wdata[7:6]; // Phase where to switch the SPI Mode
              end
              if ( spim_reg_be[1] == 1 ) begin
diff --git a/verilog/rtl/spi_master/src/spim_rx.sv b/verilog/rtl/spi_master/src/spim_rx.sv
index 47c4922..199ef4c 100644
--- a/verilog/rtl/spi_master/src/spim_rx.sv
+++ b/verilog/rtl/spi_master/src/spim_rx.sv
@@ -91,6 +91,7 @@
   parameter P_SINGLE = 2'b00;
   parameter P_DOUBLE = 2'b01;
   parameter P_QUAD   = 2'b10;
+  parameter P_QDDR   = 2'b11;
 
 //------------------------------------------------------
 // Variable Decleration
@@ -103,12 +104,14 @@
   logic [15:0] counter_next;
   logic        reg_done;
   logic        data_valid_i;
+  logic        qddr_rx_en;
   enum logic [1:0] { IDLE, RECEIVE, WAIT_FIFO, WAIT_FIFO_DONE } rx_CS, rx_NS;
 
 
   assign reg_done  = (s_spi_mode == P_SINGLE && (counter[4:0] == 5'b11111)) || 
 	             (s_spi_mode == P_DOUBLE && (counter[3:0] == 4'b1111)) ||
-	             (s_spi_mode == P_QUAD && (counter[2:0] == 3'b111));
+	             (s_spi_mode == P_QUAD && (counter[2:0] == 3'b111))    ||
+	             (s_spi_mode == P_QDDR && (counter[2:0] == 3'b111));
 
 
 
@@ -130,9 +133,9 @@
 
       RECEIVE: begin
 
-        if (rx_edge) begin
+        if (rx_edge || qddr_rx_en) begin
           counter_next = counter + 1;
-          if (s_spi_mode == P_QUAD )
+          if ((s_spi_mode == P_QUAD ) || (s_spi_mode == P_QDDR ))
              data_int_next = {data_int[27:0],sdi3,sdi2,sdi1,sdi0};
           else if (s_spi_mode == P_DOUBLE )
              data_int_next = {data_int[29:0],sdi1,sdi0};
@@ -185,6 +188,7 @@
       clk_en_o     <= '0;
       data         <= 'b0;
       data_valid   <= 1'b0;
+      qddr_rx_en   <= '0;
       rx_CS        <= IDLE;
     end else if(flush && rx_edge) begin
         counter      <= 0;
@@ -194,11 +198,20 @@
         clk_en_o     <= '0;
         data         <= 'b0;
         data_valid   <= 1'b0;
+	qddr_rx_en   <= 0;
         rx_CS        <= IDLE;
     end else begin
+        // Enable qddr rx after first rx edge
+        if(en && rx_edge && (rx_CS == RECEIVE) && (s_spi_mode ==P_QDDR)) begin
+	   qddr_rx_en <= 1;
+        end else if(!en || rx_done) begin
+	   qddr_rx_en <= 0;
+	end
+	
        data_valid <= data_valid_i;
        data <= (ENDIEAN) ? data_int_next : {data_int_next[7:0],data_int_next[15:8],data_int_next[23:16],data_int_next[31:24]};
-       if (rx_edge) begin
+       clk_en_o     <= (rx_NS == RECEIVE);
+       if (rx_edge ||  qddr_rx_en) begin
           counter      <= counter_next;
           data_int     <= data_int_next;
           rx_CS        <= rx_NS;
@@ -206,7 +219,8 @@
           clk_en_o     <= (rx_NS == RECEIVE);
        end
        if (en && counter_in_upd) begin
-           counter_trgt <= (s_spi_mode ==P_QUAD )   ? {2'b00,counter_in[15:2]} : 
+           counter_trgt <= (s_spi_mode ==P_QDDR )   ? {2'b00,counter_in[15:2]} : 
+		           (s_spi_mode ==P_QUAD )   ? {2'b00,counter_in[15:2]} : 
 		           (s_spi_mode ==P_DOUBLE ) ? {1'b0,counter_in[15:1]} : counter_in;
        end
     end
diff --git a/verilog/rtl/spi_master/src/spim_top.sv b/verilog/rtl/spi_master/src/spim_top.sv
index b1af420..042319c 100644
--- a/verilog/rtl/spi_master/src/spim_top.sv
+++ b/verilog/rtl/spi_master/src/spim_top.sv
@@ -66,6 +66,8 @@
 ////            with in 8DW or 32 Byte, else there is chance      ////
 ////            data path can hang due to response FIFO full due  ////
 ////            to partial reading of data                        ////
+////     V.4  -  July 26, 2021                                    ////
+////             QDDR (0xED) supported is added                   ////
 ////                                                              ////
 //////////////////////////////////////////////////////////////////////
 ////                                                              ////
@@ -240,10 +242,10 @@
 
 assign  io_out[0] =  spi_clk;
 assign  io_out[1] =  spi_csn0;// No hold fix for CS#, as it asserted much eariler than SPI clock
-assign  io_out[2] =  spi_sdo0_out;
-assign  io_out[3] =  spi_sdo1_out;
-assign  io_out[4] =  spi_sdo2_out;
-assign  io_out[5] =  spi_sdo3_out;
+assign  #1 io_out[2] =  spi_sdo0_out;
+assign  #1 io_out[3] =  spi_sdo1_out;
+assign  #1 io_out[4] =  spi_sdo2_out;
+assign  #1 io_out[5] =  spi_sdo3_out;
 
 // ADDing Delay cells for Interface hold fix
 sky130_fd_sc_hd__dlygate4sd3_1 u_delay1_sdio0 (.X(spi_sdo0_d1),.A(spi_sdo0));
@@ -265,10 +267,10 @@
 
 assign  io_oeb[0] =  1'b0;         // spi_clk
 assign  io_oeb[1] =  1'b0;         // spi_csn
-assign  io_oeb[2] =  !spi_en_tx;   // spi_dio0
-assign  io_oeb[3] =  !spi_en_tx;   // spi_dio1
-assign  io_oeb[4] =  (spi_mode == 0) ? 1 'b0 : !spi_en_tx;   // spi_dio2
-assign  io_oeb[5] =  (spi_mode == 0) ? 1 'b0 : !spi_en_tx;   // spi_dio3
+assign  #1 io_oeb[2] =  !spi_en_tx;   // spi_dio0
+assign  #1 io_oeb[3] =  !spi_en_tx;   // spi_dio1
+assign  #1 io_oeb[4] =  (spi_mode == 0) ? 1 'b0 : !spi_en_tx;   // spi_dio2
+assign  #1 io_oeb[5] =  (spi_mode == 0) ? 1 'b0 : !spi_en_tx;   // spi_dio3
 
 spim_if #( .WB_WIDTH(WB_WIDTH)) u_wb_if(
         .mclk                           (mclk                         ),
@@ -491,7 +493,7 @@
         .spi_sdi1                       (spi_sdi1                     ),
         .spi_sdi2                       (spi_sdi2                     ),
         .spi_sdi3                       (spi_sdi3                     ),
-	.spi_en_tx                      (spi_en_tx                    )
+	.spi_en_tx_out                      (spi_en_tx                    )
     );
 
 endmodule
diff --git a/verilog/rtl/spi_master/src/spim_tx.sv b/verilog/rtl/spi_master/src/spim_tx.sv
index 20c499f..4b57e64 100644
--- a/verilog/rtl/spi_master/src/spim_tx.sv
+++ b/verilog/rtl/spi_master/src/spim_tx.sv
@@ -85,8 +85,10 @@
     input  logic [15:0] counter_in,     // Transmit counter
     input  logic        counter_in_upd,
     input  logic [31:0] txdata,         // 32 bit tranmsit data
+    input  logic        dummy_phase,    // dummy data
     input  logic        data_valid,     // Input data valid
     output logic        data_ready,     // Data in acepted, this for txfifo
+    output logic        spi_dummy,      // spi dummy phase
     output logic        clk_en_o        // Enable Tx clock
 );
 
@@ -96,6 +98,7 @@
   parameter P_SINGLE = 2'b00;
   parameter P_DOUBLE = 2'b01;
   parameter P_QUAD   = 2'b10;
+  parameter P_QDDR   = 2'b11;
 
 //------------------------------------------------------
 // Variable Decleration
@@ -117,7 +120,8 @@
   // Indicate 32 bit data done, usefull for readining next 32b from txfifo
   assign tx32b_done  = (spi_mode == P_SINGLE  && (counter[4:0] == 5'b11111)) || 
                        (spi_mode == P_DOUBLE  && (counter[3:0] == 4'b1111)) || 
-	               (spi_mode == P_QUAD   && (counter[2:0] == 3'b111));
+	               (spi_mode == P_QUAD    && (counter[2:0] == 3'b111))   ||
+	               (spi_mode == P_QDDR    && (counter[2:0] == 3'b111));
 
   assign tx_done    = (counter == (counter_trgt-1)) && (tx_CS == TRANSMIT);
 
@@ -137,7 +141,7 @@
         data_int_next = txdata;
         counter_next  = '0;
 
-        if (en && data_valid) begin
+        if (en && data_valid && tx_edge) begin
 	  spi_mode_next    = s_spi_mode;
           data_ready_i    = 1'b1;
           tx_NS         = TRANSMIT;
@@ -148,7 +152,7 @@
          if ((counter + 1) ==counter_trgt) begin
                counter_next = 0;
                // Check if there is next data
-               if (en && data_valid) begin 
+               if (en && data_valid && tx_edge) begin 
 	         spi_mode_next    = s_spi_mode;
                  data_int_next = txdata;
                  data_ready_i    = 1'b1;
@@ -157,7 +161,7 @@
                  tx_NS    = IDLE;
                end
          end else if (tx32b_done) begin
-               if (en && data_valid) begin
+               if (en && (spi_dummy || data_valid) && tx_edge) begin
 	         spi_mode_next    = s_spi_mode;
                  data_int_next = txdata;
                  next_data_ready_i    = 1'b1;
@@ -168,12 +172,13 @@
                end
            end else begin
               counter_next = counter + 1;
-              data_int_next = (spi_mode == P_QUAD   ) ? {data_int[27:0],4'b0000} : 
+              data_int_next = (spi_mode == P_QDDR   ) ? {data_int[27:0],4'b0000} :
+		              (spi_mode == P_QUAD   ) ? {data_int[27:0],4'b0000} : 
 		              (spi_mode == P_DOUBLE ) ? {data_int[29:0],2'b00} : {data_int[30:0],1'b0};
            end
       end
       WAIT_FIFO_AVAIL: begin
-           if (en && data_valid) begin 
+           if (en && data_valid && tx_edge) begin 
 	     spi_mode_next    = s_spi_mode;
              data_int_next = txdata;
              data_ready_i    = 1'b1;
@@ -199,6 +204,7 @@
       counter_trgt <= '0;
       data_ready   <= '0;
       data_ready_f <= 0;
+      spi_dummy    <= 0;
       spi_mode     <= P_SINGLE;
     end
     else if(flush && tx_edge) begin
@@ -212,11 +218,12 @@
        counter_trgt <= '0;
        data_ready   <= '0;
        data_ready_f <= 0;
+       spi_dummy     <= dummy_phase;
       spi_mode     <= P_SINGLE;
     end else begin
        data_ready_f <= data_ready_i | next_data_ready_i;
        data_ready   <= data_ready_f && !(data_ready_i | next_data_ready_i); // Generate Pulse at falling edge
-       if(tx_edge) begin
+       if(tx_edge || (spi_mode_next == P_QDDR)) begin
           tx_CS        <= tx_NS;
           counter      <= counter_next;
           data_int     <= data_int_next;
@@ -224,14 +231,18 @@
        // Counter Exit condition, quad mode div-4 , else actual counter
        if (en && data_ready_i && tx_edge) begin
 	  spi_mode      <= s_spi_mode;
-          counter_trgt <= (s_spi_mode == P_QUAD )   ? {2'b00,counter_in[15:2]} : 
+	  spi_dummy     <= dummy_phase;
+          counter_trgt <= (s_spi_mode == P_QDDR )   ? {2'b00,counter_in[15:2]} : 
+		          (s_spi_mode == P_QUAD )   ? {2'b00,counter_in[15:2]} : 
 		          (s_spi_mode == P_DOUBLE ) ? {1'b0, counter_in[15:1]} :    counter_in;
+       end else if (en == 0) begin
+	  spi_dummy     <= '0;
        end
-       if(tx_edge && tx_NS == TRANSMIT) begin
-          sdo0         <= (spi_mode_next == P_QUAD) ? data_int_next[28] : (spi_mode_next == P_DOUBLE) ? data_int_next[30] : data_int_next[31];
-          sdo1         <= (spi_mode_next == P_QUAD) ? data_int_next[29] : (spi_mode_next == P_DOUBLE) ? data_int_next[31] :  1'b0;
-          sdo2         <= (spi_mode_next == P_QUAD) ? data_int_next[30] : 1'b1; // Protect
-          sdo3         <= (spi_mode_next == P_QUAD) ? data_int_next[31] : 1'b1; // Hold need to '1'
+       if((tx_edge || (spi_mode_next == P_QDDR)) && tx_NS == TRANSMIT) begin
+          sdo0         <= ((spi_mode_next == P_QUAD) || (spi_mode_next == P_QDDR))? data_int_next[28] : (spi_mode_next == P_DOUBLE) ? data_int_next[30] : data_int_next[31];
+          sdo1         <= ((spi_mode_next == P_QUAD) || (spi_mode_next == P_QDDR))? data_int_next[29] : (spi_mode_next == P_DOUBLE) ? data_int_next[31] :  1'b0;
+          sdo2         <= ((spi_mode_next == P_QUAD) || (spi_mode_next == P_QDDR))? data_int_next[30] : 1'b1; // Protect
+          sdo3         <= ((spi_mode_next == P_QUAD) || (spi_mode_next == P_QDDR))? data_int_next[31] : 1'b1; // Hold need to '1'
        end
     end      
   end