blob: 1950187789c44adbef07a628406986360c080b89 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 423 STEP 6900 ;
GCELLGRID Y 0 DO 511 STEP 6900 ;
VIAS 2 ;
- via4_3100x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 350 350 350 + ROWCOL 2 2 ;
- via4_1600x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 350 400 350 + ROWCOL 2 1 ;
END VIAS
COMPONENTS 1 ;
- TMR_ALU to_ALU_opt_TMR_KP_Voter + FIXED ( 1000000 1000000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1426980 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3486700 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3225580 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2965140 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1692860 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2704020 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2443580 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2183140 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1922020 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1661580 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1140020 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 879580 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 618460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1958740 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2223940 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2489820 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2755700 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3286780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 32980 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2290580 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2556460 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2821660 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3087540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3353420 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 231540 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3421420 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3160300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2899860 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2639420 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2378300 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2117860 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 430780 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1856740 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1596300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1335860 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1074740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 814300 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 553180 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 358020 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 162180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 630020 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 829260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1028500 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1227740 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1493620 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1759500 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024700 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 165580 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2423180 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2689060 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2954940 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3220140 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3486020 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 364820 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3290860 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3030420 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2769300 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2508860 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2247740 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1987300 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 564060 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1726860 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1465740 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1205300 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 944180 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 683740 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 423300 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 227460 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 32300 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 763300 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 962540 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1161780 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1361020 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1626220 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1892100 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2157980 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 98940 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2357220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2622420 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2888300 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3154180 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3419380 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 298180 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3356140 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3095700 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2834580 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2574140 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2313020 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2052580 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 497420 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1792140 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1531020 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1270580 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1009460 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 749020 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 487900 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 292740 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 96900 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 696660 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 895900 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1095140 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1294380 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1560260 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1825460 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2091340 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 629510 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2402810 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2420290 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2438230 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2455710 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2473650 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2491130 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2509070 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2527010 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2544490 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2562430 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 806610 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2579910 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2597850 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2615330 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2633270 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2650750 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2668690 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2686170 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2704110 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2722050 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2739530 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 824550 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2757470 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774950 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2792890 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2810370 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2828310 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845790 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863730 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881670 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 842030 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859970 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 877450 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 895390 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930810 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948750 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 646990 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1001650 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037070 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055010 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1072490 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090430 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1107910 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1125850 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1143790 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 664930 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1161270 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1179210 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1196690 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1214630 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1232110 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1250050 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1267530 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1285470 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1303410 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1320890 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 682410 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338830 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1356310 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1374250 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1391730 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1409670 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1427150 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1445090 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1463030 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1480510 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1498450 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 700350 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1515930 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1533870 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1551350 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1569290 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1586770 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1604710 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1622190 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1640130 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1658070 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1675550 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 717830 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1693490 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1710970 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1728910 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1746390 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1764330 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1781810 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1799750 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1817690 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1835170 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1853110 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 735770 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870590 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888530 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923950 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1976850 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1994790 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030210 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 753250 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048150 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2065630 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2083570 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101050 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2118990 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2136470 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2154410 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2172350 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2189830 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2207770 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 771190 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2225250 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2243190 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2260670 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2278610 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2296090 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2314030 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2331510 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2349450 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2367390 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2384870 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 789130 -1200 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 635030 -1200 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2408790 -1200 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2426270 -1200 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2444210 -1200 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2461690 -1200 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2479630 -1200 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2497110 -1200 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2515050 -1200 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2532530 -1200 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2550470 -1200 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2567950 -1200 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 812590 -1200 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2585890 -1200 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2603830 -1200 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2621310 -1200 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2639250 -1200 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2656730 -1200 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2674670 -1200 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2692150 -1200 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2710090 -1200 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2727570 -1200 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2745510 -1200 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 830530 -1200 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2763450 -1200 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780930 -1200 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798870 -1200 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2816350 -1200 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2834290 -1200 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851770 -1200 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869710 -1200 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 848010 -1200 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865950 -1200 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 883430 -1200 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 901370 -1200 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936790 -1200 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 652970 -1200 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 989690 -1200 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043050 -1200 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1060990 -1200 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1078470 -1200 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096410 -1200 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1113890 -1200 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1131830 -1200 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1149310 -1200 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 670910 -1200 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1167250 -1200 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1185190 -1200 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1202670 -1200 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1220610 -1200 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1238090 -1200 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1256030 -1200 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1273510 -1200 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1291450 -1200 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1308930 -1200 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1326870 -1200 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 688390 -1200 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1344350 -1200 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1362290 -1200 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1380230 -1200 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1397710 -1200 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1415650 -1200 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1433130 -1200 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1451070 -1200 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1468550 -1200 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1486490 -1200 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1503970 -1200 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 706330 -1200 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1521910 -1200 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1539850 -1200 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1557330 -1200 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1575270 -1200 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1592750 -1200 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1610690 -1200 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1628170 -1200 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1646110 -1200 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1663590 -1200 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1681530 -1200 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 723810 -1200 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1699470 -1200 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1716950 -1200 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1734890 -1200 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1752370 -1200 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1770310 -1200 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1787790 -1200 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1805730 -1200 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1823210 -1200 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1841150 -1200 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858630 -1200 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 741750 -1200 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876570 -1200 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894510 -1200 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929930 -1200 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1982830 -1200 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2000770 -1200 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018250 -1200 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036190 -1200 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 759230 -1200 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054130 -1200 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2071610 -1200 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2089550 -1200 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107030 -1200 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2124970 -1200 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2142450 -1200 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2160390 -1200 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2177870 -1200 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2195810 -1200 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2213290 -1200 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 777170 -1200 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2231230 -1200 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2249170 -1200 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2266650 -1200 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2284590 -1200 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2302070 -1200 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2320010 -1200 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2337490 -1200 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2355430 -1200 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2372910 -1200 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2390850 -1200 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 794650 -1200 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 641010 -1200 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2414310 -1200 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2432250 -1200 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2449730 -1200 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2467670 -1200 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2485610 -1200 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2503090 -1200 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2521030 -1200 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2538510 -1200 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2556450 -1200 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2573930 -1200 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 818570 -1200 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2591870 -1200 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2609350 -1200 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2627290 -1200 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2645230 -1200 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2662710 -1200 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2680650 -1200 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2698130 -1200 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2716070 -1200 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2733550 -1200 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2751490 -1200 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 836050 -1200 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768970 -1200 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2786910 -1200 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2804390 -1200 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2822330 -1200 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2840270 -1200 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857750 -1200 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875690 -1200 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853990 -1200 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871470 -1200 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 889410 -1200 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 907350 -1200 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924830 -1200 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942770 -1200 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 658950 -1200 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 995670 -1200 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031090 -1200 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049030 -1200 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1066970 -1200 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1084450 -1200 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102390 -1200 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1119870 -1200 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1137810 -1200 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1155290 -1200 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 676430 -1200 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1173230 -1200 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1190710 -1200 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1208650 -1200 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1226130 -1200 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1244070 -1200 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1262010 -1200 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1279490 -1200 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1297430 -1200 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1314910 -1200 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1332850 -1200 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 694370 -1200 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1350330 -1200 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1368270 -1200 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1385750 -1200 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1403690 -1200 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1421630 -1200 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1439110 -1200 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1457050 -1200 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1474530 -1200 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1492470 -1200 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1509950 -1200 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 712310 -1200 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1527890 -1200 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1545370 -1200 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1563310 -1200 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581250 -1200 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1598730 -1200 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1616670 -1200 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1634150 -1200 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1652090 -1200 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1669570 -1200 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1687510 -1200 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 729790 -1200 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1704990 -1200 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1722930 -1200 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1740410 -1200 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1758350 -1200 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1776290 -1200 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1793770 -1200 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1811710 -1200 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1829190 -1200 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1847130 -1200 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864610 -1200 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 747730 -1200 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882550 -1200 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935910 -1200 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1988810 -1200 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024230 -1200 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042170 -1200 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 765210 -1200 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2059650 -1200 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2077590 -1200 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095070 -1200 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113010 -1200 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2130950 -1200 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2148430 -1200 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2166370 -1200 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2183850 -1200 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2201790 -1200 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2219270 -1200 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 783150 -1200 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2237210 -1200 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2254690 -1200 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2272630 -1200 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2290570 -1200 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2308050 -1200 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2325990 -1200 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2343470 -1200 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2361410 -1200 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2378890 -1200 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2396830 -1200 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 800630 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -301550 -1769310 ) ( -298450 1769310 )
+ LAYER met4 ( -601550 -1769310 ) ( -598450 1769310 )
+ LAYER met4 ( -901550 -1769310 ) ( -898450 1769310 )
+ LAYER met4 ( -1201550 -1769310 ) ( -1198450 1769310 )
+ LAYER met4 ( -1501550 -488365 ) ( -1498450 1769310 )
+ LAYER met4 ( -1801550 -1769310 ) ( -1798450 1769310 )
+ LAYER met4 ( -2101550 -1769310 ) ( -2098450 1769310 )
+ LAYER met4 ( -2401550 -1769310 ) ( -2398450 1769310 )
+ LAYER met4 ( -2701550 -1769310 ) ( -2698450 1769310 )
+ LAYER met4 ( 221030 -1764510 ) ( 224130 1764510 )
+ LAYER met4 ( -2715550 -1764510 ) ( -2712450 1764510 )
+ LAYER met4 ( -1501550 -1769310 ) ( -1498450 -769840 )
+ LAYER met5 ( -2715550 1761410 ) ( 224130 1764510 )
+ LAYER met5 ( -2720350 1549490 ) ( 228930 1552590 )
+ LAYER met5 ( -2720350 1249490 ) ( 228930 1252590 )
+ LAYER met5 ( -2720350 949490 ) ( 228930 952590 )
+ LAYER met5 ( -2720350 649490 ) ( 228930 652590 )
+ LAYER met5 ( -2720350 349490 ) ( 228930 352590 )
+ LAYER met5 ( -2720350 49490 ) ( 228930 52590 )
+ LAYER met5 ( -2720350 -250510 ) ( 228930 -247410 )
+ LAYER met5 ( -2720350 -550510 ) ( 228930 -547410 )
+ LAYER met5 ( -2720350 -850510 ) ( 228930 -847410 )
+ LAYER met5 ( -2720350 -1150510 ) ( 228930 -1147410 )
+ LAYER met5 ( -2720350 -1450510 ) ( 228930 -1447410 )
+ LAYER met5 ( -2720350 -1750510 ) ( 228930 -1747410 )
+ LAYER met5 ( -2715550 -1764510 ) ( 224130 -1761410 )
+ FIXED ( 2705520 1759840 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -301550 -1778910 ) ( -298450 1778910 )
+ LAYER met4 ( -601550 -1778910 ) ( -598450 1778910 )
+ LAYER met4 ( -901550 -1778910 ) ( -898450 1778910 )
+ LAYER met4 ( -1201550 -1778910 ) ( -1198450 1778910 )
+ LAYER met4 ( -1501550 -488365 ) ( -1498450 1778910 )
+ LAYER met4 ( -1801550 -1778910 ) ( -1798450 1778910 )
+ LAYER met4 ( -2101550 -1778910 ) ( -2098450 1778910 )
+ LAYER met4 ( -2401550 -1778910 ) ( -2398450 1778910 )
+ LAYER met4 ( -2701550 -1778910 ) ( -2698450 1778910 )
+ LAYER met4 ( 212030 -1774110 ) ( 215130 1774110 )
+ LAYER met4 ( -2743750 -1774110 ) ( -2740650 1774110 )
+ LAYER met4 ( -1501550 -1778910 ) ( -1498450 -769840 )
+ LAYER met5 ( -2743750 1771010 ) ( 215130 1774110 )
+ LAYER met5 ( -2748550 1568090 ) ( 219930 1571190 )
+ LAYER met5 ( -2748550 1268090 ) ( 219930 1271190 )
+ LAYER met5 ( -2748550 968090 ) ( 219930 971190 )
+ LAYER met5 ( -2748550 668090 ) ( 219930 671190 )
+ LAYER met5 ( -2748550 368090 ) ( 219930 371190 )
+ LAYER met5 ( -2748550 68090 ) ( 219930 71190 )
+ LAYER met5 ( -2748550 -231910 ) ( 219930 -228810 )
+ LAYER met5 ( -2748550 -531910 ) ( 219930 -528810 )
+ LAYER met5 ( -2748550 -831910 ) ( 219930 -828810 )
+ LAYER met5 ( -2748550 -1131910 ) ( 219930 -1128810 )
+ LAYER met5 ( -2748550 -1431910 ) ( 219930 -1428810 )
+ LAYER met5 ( -2748550 -1731910 ) ( 219930 -1728810 )
+ LAYER met5 ( -2743750 -1774110 ) ( 215130 -1771010 )
+ FIXED ( 2724120 1759840 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -301550 -1788510 ) ( -298450 1788510 )
+ LAYER met4 ( -601550 -1788510 ) ( -598450 1788510 )
+ LAYER met4 ( -901550 -1788510 ) ( -898450 1788510 )
+ LAYER met4 ( -1201550 -1788510 ) ( -1198450 1788510 )
+ LAYER met4 ( -1501550 -488365 ) ( -1498450 1788510 )
+ LAYER met4 ( -1801550 -1788510 ) ( -1798450 1788510 )
+ LAYER met4 ( -2101550 -1788510 ) ( -2098450 1788510 )
+ LAYER met4 ( -2401550 -1788510 ) ( -2398450 1788510 )
+ LAYER met4 ( -2701550 -1788510 ) ( -2698450 1788510 )
+ LAYER met4 ( 203030 -1783710 ) ( 206130 1783710 )
+ LAYER met4 ( -2771950 -1783710 ) ( -2768850 1783710 )
+ LAYER met4 ( -1501550 -1788510 ) ( -1498450 -769840 )
+ LAYER met5 ( -2771950 1780610 ) ( 206130 1783710 )
+ LAYER met5 ( -2776750 1586690 ) ( 210930 1589790 )
+ LAYER met5 ( -2776750 1286690 ) ( 210930 1289790 )
+ LAYER met5 ( -2776750 986690 ) ( 210930 989790 )
+ LAYER met5 ( -2776750 686690 ) ( 210930 689790 )
+ LAYER met5 ( -2776750 386690 ) ( 210930 389790 )
+ LAYER met5 ( -2776750 86690 ) ( 210930 89790 )
+ LAYER met5 ( -2776750 -213310 ) ( 210930 -210210 )
+ LAYER met5 ( -2776750 -513310 ) ( 210930 -510210 )
+ LAYER met5 ( -2776750 -813310 ) ( 210930 -810210 )
+ LAYER met5 ( -2776750 -1113310 ) ( 210930 -1110210 )
+ LAYER met5 ( -2776750 -1413310 ) ( 210930 -1410210 )
+ LAYER met5 ( -2776750 -1713310 ) ( 210930 -1710210 )
+ LAYER met5 ( -2771950 -1783710 ) ( 206130 -1780610 )
+ FIXED ( 2742720 1759840 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -301550 -1798110 ) ( -298450 1798110 )
+ LAYER met4 ( -601550 -1798110 ) ( -598450 1798110 )
+ LAYER met4 ( -901550 -1798110 ) ( -898450 1798110 )
+ LAYER met4 ( -1201550 -1798110 ) ( -1198450 1798110 )
+ LAYER met4 ( -1501550 -488365 ) ( -1498450 1798110 )
+ LAYER met4 ( -1801550 -1798110 ) ( -1798450 1798110 )
+ LAYER met4 ( -2101550 -1798110 ) ( -2098450 1798110 )
+ LAYER met4 ( -2401550 -1798110 ) ( -2398450 1798110 )
+ LAYER met4 ( -2701550 -1798110 ) ( -2698450 1798110 )
+ LAYER met4 ( 194030 -1793310 ) ( 197130 1793310 )
+ LAYER met4 ( -2800150 -1793310 ) ( -2797050 1793310 )
+ LAYER met4 ( -1501550 -1798110 ) ( -1498450 -769840 )
+ LAYER met5 ( -2800150 1790210 ) ( 197130 1793310 )
+ LAYER met5 ( -2804950 1605290 ) ( 201930 1608390 )
+ LAYER met5 ( -2804950 1305290 ) ( 201930 1308390 )
+ LAYER met5 ( -2804950 1005290 ) ( 201930 1008390 )
+ LAYER met5 ( -2804950 705290 ) ( 201930 708390 )
+ LAYER met5 ( -2804950 405290 ) ( 201930 408390 )
+ LAYER met5 ( -2804950 105290 ) ( 201930 108390 )
+ LAYER met5 ( -2804950 -194710 ) ( 201930 -191610 )
+ LAYER met5 ( -2804950 -494710 ) ( 201930 -491610 )
+ LAYER met5 ( -2804950 -794710 ) ( 201930 -791610 )
+ LAYER met5 ( -2804950 -1094710 ) ( 201930 -1091610 )
+ LAYER met5 ( -2804950 -1394710 ) ( 201930 -1391610 )
+ LAYER met5 ( -2804950 -1694710 ) ( 201930 -1691610 )
+ LAYER met5 ( -2800150 -1793310 ) ( 197130 -1790210 )
+ FIXED ( 2761320 1759840 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -60930 -1788510 ) ( -57830 1788510 )
+ LAYER met4 ( -360930 -1788510 ) ( -357830 1788510 )
+ LAYER met4 ( -660930 -1788510 ) ( -657830 1788510 )
+ LAYER met4 ( -960930 -1788510 ) ( -957830 1788510 )
+ LAYER met4 ( -1260930 -1788510 ) ( -1257830 1788510 )
+ LAYER met4 ( -1560930 -1788510 ) ( -1557830 1788510 )
+ LAYER met4 ( -1860930 -488365 ) ( -1857830 1788510 )
+ LAYER met4 ( -2160930 -1788510 ) ( -2157830 1788510 )
+ LAYER met4 ( -2460930 -1788510 ) ( -2457830 1788510 )
+ LAYER met4 ( -2760930 -1788510 ) ( -2757830 1788510 )
+ LAYER met4 ( -2986130 -1788510 ) ( -2983030 1788510 )
+ LAYER met4 ( -1860930 -1788510 ) ( -1857830 -769840 )
+ LAYER met5 ( -2986130 1785410 ) ( 1550 1788510 )
+ LAYER met5 ( -2986130 1736690 ) ( 1550 1739790 )
+ LAYER met5 ( -2986130 1436690 ) ( 1550 1439790 )
+ LAYER met5 ( -2986130 1136690 ) ( 1550 1139790 )
+ LAYER met5 ( -2986130 836690 ) ( 1550 839790 )
+ LAYER met5 ( -2986130 536690 ) ( 1550 539790 )
+ LAYER met5 ( -2986130 236690 ) ( 1550 239790 )
+ LAYER met5 ( -2986130 -63310 ) ( 1550 -60210 )
+ LAYER met5 ( -2986130 -363310 ) ( 1550 -360210 )
+ LAYER met5 ( -2986130 -663310 ) ( 1550 -660210 )
+ LAYER met5 ( -2986130 -963310 ) ( 1550 -960210 )
+ LAYER met5 ( -2986130 -1263310 ) ( 1550 -1260210 )
+ LAYER met5 ( -2986130 -1563310 ) ( 1550 -1560210 )
+ LAYER met5 ( -2986130 -1788510 ) ( 1550 -1785410 )
+ FIXED ( 2952100 1759840 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -351930 -1798110 ) ( -348830 1798110 )
+ LAYER met4 ( -651930 -1798110 ) ( -648830 1798110 )
+ LAYER met4 ( -951930 -1798110 ) ( -948830 1798110 )
+ LAYER met4 ( -1251930 -1798110 ) ( -1248830 1798110 )
+ LAYER met4 ( -1551930 -1798110 ) ( -1548830 1798110 )
+ LAYER met4 ( -1851930 -488365 ) ( -1848830 1798110 )
+ LAYER met4 ( -2151930 -1798110 ) ( -2148830 1798110 )
+ LAYER met4 ( -2451930 -1798110 ) ( -2448830 1798110 )
+ LAYER met4 ( -2751930 -1798110 ) ( -2748830 1798110 )
+ LAYER met4 ( -3005330 -1798110 ) ( -3002230 1798110 )
+ LAYER met4 ( -1851930 -1798110 ) ( -1848830 -769840 )
+ LAYER met5 ( -3005330 1795010 ) ( 1550 1798110 )
+ LAYER met5 ( -3005330 1455290 ) ( 1550 1458390 )
+ LAYER met5 ( -3005330 1155290 ) ( 1550 1158390 )
+ LAYER met5 ( -3005330 855290 ) ( 1550 858390 )
+ LAYER met5 ( -3005330 555290 ) ( 1550 558390 )
+ LAYER met5 ( -3005330 255290 ) ( 1550 258390 )
+ LAYER met5 ( -3005330 -44710 ) ( 1550 -41610 )
+ LAYER met5 ( -3005330 -344710 ) ( 1550 -341610 )
+ LAYER met5 ( -3005330 -644710 ) ( 1550 -641610 )
+ LAYER met5 ( -3005330 -944710 ) ( 1550 -941610 )
+ LAYER met5 ( -3005330 -1244710 ) ( 1550 -1241610 )
+ LAYER met5 ( -3005330 -1544710 ) ( 1550 -1541610 )
+ LAYER met5 ( -3005330 -1798110 ) ( 1550 -1795010 )
+ FIXED ( 2961700 1759840 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -78930 -1769310 ) ( -75830 1769310 )
+ LAYER met4 ( -378930 -1769310 ) ( -375830 1769310 )
+ LAYER met4 ( -678930 -1769310 ) ( -675830 1769310 )
+ LAYER met4 ( -978930 -1769310 ) ( -975830 1769310 )
+ LAYER met4 ( -1278930 -1769310 ) ( -1275830 1769310 )
+ LAYER met4 ( -1578930 -1769310 ) ( -1575830 1769310 )
+ LAYER met4 ( -1878930 -488365 ) ( -1875830 1769310 )
+ LAYER met4 ( -2178930 -1769310 ) ( -2175830 1769310 )
+ LAYER met4 ( -2478930 -1769310 ) ( -2475830 1769310 )
+ LAYER met4 ( -2778930 -1769310 ) ( -2775830 1769310 )
+ LAYER met4 ( -2947730 -1769310 ) ( -2944630 1769310 )
+ LAYER met4 ( -1878930 -1769310 ) ( -1875830 -769840 )
+ LAYER met5 ( -2947730 1766210 ) ( 1550 1769310 )
+ LAYER met5 ( -2947730 1699490 ) ( 1550 1702590 )
+ LAYER met5 ( -2947730 1399490 ) ( 1550 1402590 )
+ LAYER met5 ( -2947730 1099490 ) ( 1550 1102590 )
+ LAYER met5 ( -2947730 799490 ) ( 1550 802590 )
+ LAYER met5 ( -2947730 499490 ) ( 1550 502590 )
+ LAYER met5 ( -2947730 199490 ) ( 1550 202590 )
+ LAYER met5 ( -2947730 -100510 ) ( 1550 -97410 )
+ LAYER met5 ( -2947730 -400510 ) ( 1550 -397410 )
+ LAYER met5 ( -2947730 -700510 ) ( 1550 -697410 )
+ LAYER met5 ( -2947730 -1000510 ) ( 1550 -997410 )
+ LAYER met5 ( -2947730 -1300510 ) ( 1550 -1297410 )
+ LAYER met5 ( -2947730 -1600510 ) ( 1550 -1597410 )
+ LAYER met5 ( -2947730 -1769310 ) ( 1550 -1766210 )
+ FIXED ( 2932900 1759840 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -69930 -1778910 ) ( -66830 1778910 )
+ LAYER met4 ( -369930 -1778910 ) ( -366830 1778910 )
+ LAYER met4 ( -669930 -1778910 ) ( -666830 1778910 )
+ LAYER met4 ( -969930 -1778910 ) ( -966830 1778910 )
+ LAYER met4 ( -1269930 -1778910 ) ( -1266830 1778910 )
+ LAYER met4 ( -1569930 -1778910 ) ( -1566830 1778910 )
+ LAYER met4 ( -1869930 -488365 ) ( -1866830 1778910 )
+ LAYER met4 ( -2169930 -1778910 ) ( -2166830 1778910 )
+ LAYER met4 ( -2469930 -1778910 ) ( -2466830 1778910 )
+ LAYER met4 ( -2769930 -1778910 ) ( -2766830 1778910 )
+ LAYER met4 ( -2966930 -1778910 ) ( -2963830 1778910 )
+ LAYER met4 ( -1869930 -1778910 ) ( -1866830 -769840 )
+ LAYER met5 ( -2966930 1775810 ) ( 1550 1778910 )
+ LAYER met5 ( -2966930 1718090 ) ( 1550 1721190 )
+ LAYER met5 ( -2966930 1418090 ) ( 1550 1421190 )
+ LAYER met5 ( -2966930 1118090 ) ( 1550 1121190 )
+ LAYER met5 ( -2966930 818090 ) ( 1550 821190 )
+ LAYER met5 ( -2966930 518090 ) ( 1550 521190 )
+ LAYER met5 ( -2966930 218090 ) ( 1550 221190 )
+ LAYER met5 ( -2966930 -81910 ) ( 1550 -78810 )
+ LAYER met5 ( -2966930 -381910 ) ( 1550 -378810 )
+ LAYER met5 ( -2966930 -681910 ) ( 1550 -678810 )
+ LAYER met5 ( -2966930 -981910 ) ( 1550 -978810 )
+ LAYER met5 ( -2966930 -1281910 ) ( 1550 -1278810 )
+ LAYER met5 ( -2966930 -1581910 ) ( 1550 -1578810 )
+ LAYER met5 ( -2966930 -1778910 ) ( 1550 -1775810 )
+ FIXED ( 2942500 1759840 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 239430 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 256910 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 274850 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 292330 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 310270 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 327750 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 345690 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 363170 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 381110 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 398590 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 61870 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 416530 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 434470 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 451950 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 469890 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 487370 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 505310 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 522790 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 540730 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 558210 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 576150 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 85330 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 594090 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 611570 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109250 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 132710 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 150650 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 168130 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186070 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 203550 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 221490 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 43930 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 244950 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 262890 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 280370 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 298310 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 316250 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 333730 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 351670 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 369150 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 387090 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 404570 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 67850 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 422510 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 439990 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 457930 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 475870 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 493350 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 511290 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 528770 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 546710 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 564190 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 582130 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91310 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 599610 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 617550 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115230 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 138690 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 156630 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 174110 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192050 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 209530 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 227470 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 49910 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 250930 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 268870 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 286350 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 304290 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 321770 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 339710 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 357650 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 375130 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 393070 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 410550 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 73830 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 428490 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 463910 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 481390 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 499330 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 516810 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 534750 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 552690 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 570170 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 588110 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97290 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 605590 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 623530 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121210 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 144670 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 162150 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 180090 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198030 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 215510 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 233450 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 55890 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 79810 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103270 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 126730 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
END PINS
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 1175440 1210880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1021840 1210880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2405520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2105520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1505520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1205520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 905520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 605520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 305520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 5520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 3310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2405520 3310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2105520 3310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 3310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1505520 3310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1205520 3310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 905520 3310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 605520 3310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 305520 3310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 5520 3310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3010880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 3010880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2405520 3010880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2105520 3010880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 3010880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1505520 3010880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1205520 3010880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 905520 3010880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 605520 3010880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 305520 3010880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 5520 3010880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3010880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2710880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 2710880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2405520 2710880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2105520 2710880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 2710880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1505520 2710880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1205520 2710880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 905520 2710880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 605520 2710880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 305520 2710880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 5520 2710880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2710880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2410880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 2410880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2405520 2410880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2105520 2410880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 2410880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1505520 2410880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1205520 2410880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 905520 2410880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 605520 2410880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 305520 2410880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 5520 2410880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2410880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2110880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 2110880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2405520 2110880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2105520 2110880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 2110880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1505520 2110880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1205520 2110880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 905520 2110880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 605520 2110880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 305520 2110880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 5520 2110880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2110880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1810880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 1810880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2405520 1810880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2105520 1810880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 1810880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1505520 1810880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1205520 1810880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 905520 1810880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 605520 1810880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 305520 1810880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 5520 1810880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1810880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1510880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 1510880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2405520 1510880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2105520 1510880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 1510880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1505520 1510880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1205520 1510880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 905520 1510880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 605520 1510880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 305520 1510880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 5520 1510880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1510880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1210880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 1210880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2405520 1210880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2105520 1210880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 1210880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1505520 1210880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 905520 1210880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 605520 1210880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 305520 1210880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 5520 1210880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1210880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 910880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 910880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2405520 910880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2105520 910880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 910880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1505520 910880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1205520 910880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 905520 910880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 605520 910880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 305520 910880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 5520 910880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 910880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 610880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 610880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2405520 610880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2105520 610880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 610880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1505520 610880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1205520 610880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 905520 610880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 605520 610880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 305520 610880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 5520 610880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 610880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2405520 310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2105520 310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1505520 310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1205520 310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 905520 310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 605520 310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 305520 310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 5520 310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 310880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 10880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 10880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2405520 10880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2105520 10880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 10880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1505520 10880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1205520 10880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 905520 10880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 605520 10880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 305520 10880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 5520 10880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 10880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2405520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2105520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1505520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1205520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 905520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 605520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 305520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 5520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -10030 3522800 ) ( 2929650 3522800 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3310880 ) ( 2934450 3310880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3010880 ) ( 2934450 3010880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2710880 ) ( 2934450 2710880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2410880 ) ( 2934450 2410880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2110880 ) ( 2934450 2110880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1810880 ) ( 2934450 1810880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1510880 ) ( 2934450 1510880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1210880 ) ( 2934450 1210880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 910880 ) ( 2934450 910880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 610880 ) ( 2934450 610880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 310880 ) ( 2934450 310880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 10880 ) ( 2934450 10880 )
NEW met5 3100 + SHAPE STRIPE ( -10030 -3120 ) ( 2929650 -3120 )
NEW met4 3100 + SHAPE STRIPE ( 2705520 -9470 ) ( 2705520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2405520 -9470 ) ( 2405520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2105520 -9470 ) ( 2105520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1805520 -9470 ) ( 1805520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1505520 -9470 ) ( 1505520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1205520 1271475 ) ( 1205520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 905520 -9470 ) ( 905520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 605520 -9470 ) ( 605520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 305520 -9470 ) ( 305520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 5520 -9470 ) ( 5520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2928100 -4670 ) ( 2928100 3524350 )
NEW met4 3100 + SHAPE STRIPE ( -8480 -4670 ) ( -8480 3524350 )
NEW met4 3100 + SHAPE STRIPE ( 1205520 -9470 ) ( 1205520 990000 ) ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2937700 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2724120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2424120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2124120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1824120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1524120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1224120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 924120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 624120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 324120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 24120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2724120 3329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2424120 3329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2124120 3329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1824120 3329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1524120 3329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1224120 3329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 924120 3329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 624120 3329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 324120 3329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 24120 3329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3029480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2724120 3029480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2424120 3029480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2124120 3029480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1824120 3029480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1524120 3029480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1224120 3029480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 924120 3029480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 624120 3029480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 324120 3029480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 24120 3029480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3029480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2729480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2724120 2729480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2424120 2729480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2124120 2729480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1824120 2729480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1524120 2729480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1224120 2729480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 924120 2729480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 624120 2729480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 324120 2729480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 24120 2729480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2729480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2429480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2724120 2429480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2424120 2429480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2124120 2429480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1824120 2429480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1524120 2429480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1224120 2429480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 924120 2429480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 624120 2429480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 324120 2429480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 24120 2429480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2429480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2129480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2724120 2129480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2424120 2129480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2124120 2129480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1824120 2129480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1524120 2129480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1224120 2129480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 924120 2129480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 624120 2129480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 324120 2129480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 24120 2129480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2129480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1829480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2724120 1829480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2424120 1829480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2124120 1829480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1824120 1829480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1524120 1829480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1224120 1829480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 924120 1829480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 624120 1829480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 324120 1829480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 24120 1829480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1829480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1529480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2724120 1529480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2424120 1529480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2124120 1529480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1824120 1529480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1524120 1529480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1224120 1529480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 924120 1529480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 624120 1529480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 324120 1529480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 24120 1529480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1529480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1229480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2724120 1229480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2424120 1229480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2124120 1229480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1824120 1229480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1524120 1229480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 924120 1229480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 624120 1229480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 324120 1229480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 24120 1229480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1229480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 929480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2724120 929480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2424120 929480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2124120 929480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1824120 929480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1524120 929480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1224120 929480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 924120 929480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 624120 929480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 324120 929480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 24120 929480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 929480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 629480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2724120 629480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2424120 629480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2124120 629480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1824120 629480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1524120 629480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1224120 629480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 924120 629480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 624120 629480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 324120 629480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 24120 629480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 629480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2724120 329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2424120 329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2124120 329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1824120 329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1524120 329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1224120 329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 924120 329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 624120 329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 324120 329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 24120 329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 329480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 29480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2724120 29480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2424120 29480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2124120 29480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1824120 29480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1524120 29480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1224120 29480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 924120 29480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 624120 29480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 324120 29480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 24120 29480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 29480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2724120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2424120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2124120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1824120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1524120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1224120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 924120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 624120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 324120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 24120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 -12720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -19630 3532400 ) ( 2939250 3532400 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3329480 ) ( 2944050 3329480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3029480 ) ( 2944050 3029480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2729480 ) ( 2944050 2729480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2429480 ) ( 2944050 2429480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2129480 ) ( 2944050 2129480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1829480 ) ( 2944050 1829480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1529480 ) ( 2944050 1529480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1229480 ) ( 2944050 1229480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 929480 ) ( 2944050 929480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 629480 ) ( 2944050 629480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 329480 ) ( 2944050 329480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 29480 ) ( 2944050 29480 )
NEW met5 3100 + SHAPE STRIPE ( -19630 -12720 ) ( 2939250 -12720 )
NEW met4 3100 + SHAPE STRIPE ( 2724120 -19070 ) ( 2724120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2424120 -19070 ) ( 2424120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2124120 -19070 ) ( 2124120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1824120 -19070 ) ( 1824120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1524120 -19070 ) ( 1524120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1224120 1271475 ) ( 1224120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 924120 -19070 ) ( 924120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 624120 -19070 ) ( 624120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 324120 -19070 ) ( 324120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 24120 -19070 ) ( 24120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2937700 -14270 ) ( 2937700 3533950 )
NEW met4 3100 + SHAPE STRIPE ( -18080 -14270 ) ( -18080 3533950 )
NEW met4 3100 + SHAPE STRIPE ( 1224120 -19070 ) ( 1224120 990000 ) ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2947300 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2442720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2142720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1842720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1542720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1242720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 942720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 642720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 342720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 42720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742720 3348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2442720 3348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2142720 3348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1842720 3348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1542720 3348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1242720 3348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 942720 3348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 642720 3348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 342720 3348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 42720 3348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3048080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742720 3048080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2442720 3048080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2142720 3048080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1842720 3048080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1542720 3048080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1242720 3048080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 942720 3048080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 642720 3048080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 342720 3048080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 42720 3048080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3048080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2748080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742720 2748080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2442720 2748080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2142720 2748080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1842720 2748080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1542720 2748080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1242720 2748080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 942720 2748080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 642720 2748080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 342720 2748080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 42720 2748080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2748080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2448080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742720 2448080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2442720 2448080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2142720 2448080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1842720 2448080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1542720 2448080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1242720 2448080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 942720 2448080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 642720 2448080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 342720 2448080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 42720 2448080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2448080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2148080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742720 2148080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2442720 2148080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2142720 2148080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1842720 2148080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1542720 2148080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1242720 2148080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 942720 2148080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 642720 2148080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 342720 2148080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 42720 2148080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2148080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1848080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742720 1848080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2442720 1848080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2142720 1848080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1842720 1848080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1542720 1848080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1242720 1848080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 942720 1848080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 642720 1848080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 342720 1848080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 42720 1848080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1848080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1548080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742720 1548080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2442720 1548080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2142720 1548080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1842720 1548080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1542720 1548080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1242720 1548080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 942720 1548080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 642720 1548080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 342720 1548080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 42720 1548080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1548080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1248080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742720 1248080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2442720 1248080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2142720 1248080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1842720 1248080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1542720 1248080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 942720 1248080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 642720 1248080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 342720 1248080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 42720 1248080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1248080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 948080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742720 948080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2442720 948080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2142720 948080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1842720 948080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1542720 948080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1242720 948080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 942720 948080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 642720 948080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 342720 948080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 42720 948080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 948080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 648080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742720 648080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2442720 648080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2142720 648080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1842720 648080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1542720 648080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1242720 648080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 942720 648080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 642720 648080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 342720 648080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 42720 648080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 648080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742720 348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2442720 348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2142720 348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1842720 348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1542720 348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1242720 348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 942720 348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 642720 348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 342720 348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 42720 348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 348080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 48080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742720 48080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2442720 48080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2142720 48080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1842720 48080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1542720 48080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1242720 48080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 942720 48080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 642720 48080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 342720 48080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 42720 48080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 48080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2442720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2142720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1842720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1542720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1242720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 942720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 642720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 342720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 42720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 -22320 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -29230 3542000 ) ( 2948850 3542000 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3348080 ) ( 2953650 3348080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3048080 ) ( 2953650 3048080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2748080 ) ( 2953650 2748080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2448080 ) ( 2953650 2448080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2148080 ) ( 2953650 2148080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1848080 ) ( 2953650 1848080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1548080 ) ( 2953650 1548080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1248080 ) ( 2953650 1248080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 948080 ) ( 2953650 948080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 648080 ) ( 2953650 648080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 348080 ) ( 2953650 348080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 48080 ) ( 2953650 48080 )
NEW met5 3100 + SHAPE STRIPE ( -29230 -22320 ) ( 2948850 -22320 )
NEW met4 3100 + SHAPE STRIPE ( 2742720 -28670 ) ( 2742720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2442720 -28670 ) ( 2442720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2142720 -28670 ) ( 2142720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1842720 -28670 ) ( 1842720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1542720 -28670 ) ( 1542720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1242720 1271475 ) ( 1242720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 942720 -28670 ) ( 942720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 642720 -28670 ) ( 642720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 342720 -28670 ) ( 342720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 42720 -28670 ) ( 42720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2947300 -23870 ) ( 2947300 3543550 )
NEW met4 3100 + SHAPE STRIPE ( -27680 -23870 ) ( -27680 3543550 )
NEW met4 3100 + SHAPE STRIPE ( 1242720 -28670 ) ( 1242720 990000 ) ;
- vdda2 ( PIN vdda2 ) ( * VPWR ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2956900 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2461320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2161320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1861320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1561320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1261320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 961320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 661320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 361320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 61320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761320 3366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2461320 3366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2161320 3366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1861320 3366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1561320 3366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1261320 3366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 961320 3366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 661320 3366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 361320 3366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 61320 3366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3066680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761320 3066680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2461320 3066680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2161320 3066680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1861320 3066680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1561320 3066680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1261320 3066680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 961320 3066680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 661320 3066680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 361320 3066680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 61320 3066680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3066680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2766680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761320 2766680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2461320 2766680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2161320 2766680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1861320 2766680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1561320 2766680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1261320 2766680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 961320 2766680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 661320 2766680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 361320 2766680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 61320 2766680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2766680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2466680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761320 2466680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2461320 2466680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2161320 2466680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1861320 2466680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1561320 2466680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1261320 2466680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 961320 2466680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 661320 2466680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 361320 2466680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 61320 2466680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2466680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2166680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761320 2166680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2461320 2166680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2161320 2166680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1861320 2166680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1561320 2166680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1261320 2166680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 961320 2166680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 661320 2166680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 361320 2166680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 61320 2166680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2166680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1866680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761320 1866680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2461320 1866680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2161320 1866680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1861320 1866680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1561320 1866680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1261320 1866680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 961320 1866680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 661320 1866680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 361320 1866680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 61320 1866680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1866680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1566680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761320 1566680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2461320 1566680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2161320 1566680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1861320 1566680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1561320 1566680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1261320 1566680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 961320 1566680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 661320 1566680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 361320 1566680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 61320 1566680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1566680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1266680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761320 1266680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2461320 1266680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2161320 1266680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1861320 1266680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1561320 1266680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 961320 1266680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 661320 1266680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 361320 1266680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 61320 1266680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1266680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 966680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761320 966680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2461320 966680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2161320 966680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1861320 966680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1561320 966680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1261320 966680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 961320 966680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 661320 966680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 361320 966680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 61320 966680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 966680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 666680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761320 666680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2461320 666680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2161320 666680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1861320 666680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1561320 666680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1261320 666680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 961320 666680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 661320 666680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 361320 666680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 61320 666680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 666680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761320 366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2461320 366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2161320 366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1861320 366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1561320 366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1261320 366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 961320 366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 661320 366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 361320 366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 61320 366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 366680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 66680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761320 66680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2461320 66680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2161320 66680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1861320 66680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1561320 66680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1261320 66680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 961320 66680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 661320 66680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 361320 66680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 61320 66680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 66680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2461320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2161320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1861320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1561320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1261320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 961320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 661320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 361320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 61320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 -31920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -38830 3551600 ) ( 2958450 3551600 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3366680 ) ( 2963250 3366680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3066680 ) ( 2963250 3066680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2766680 ) ( 2963250 2766680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2466680 ) ( 2963250 2466680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2166680 ) ( 2963250 2166680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1866680 ) ( 2963250 1866680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1566680 ) ( 2963250 1566680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1266680 ) ( 2963250 1266680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 966680 ) ( 2963250 966680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 666680 ) ( 2963250 666680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 366680 ) ( 2963250 366680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 66680 ) ( 2963250 66680 )
NEW met5 3100 + SHAPE STRIPE ( -38830 -31920 ) ( 2958450 -31920 )
NEW met4 3100 + SHAPE STRIPE ( 2761320 -38270 ) ( 2761320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2461320 -38270 ) ( 2461320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2161320 -38270 ) ( 2161320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1861320 -38270 ) ( 1861320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1561320 -38270 ) ( 1561320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1261320 1271475 ) ( 1261320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 961320 -38270 ) ( 961320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 661320 -38270 ) ( 661320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 361320 -38270 ) ( 361320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 61320 -38270 ) ( 61320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2956900 -33470 ) ( 2956900 3553150 )
NEW met4 3100 + SHAPE STRIPE ( -37280 -33470 ) ( -37280 3553150 )
NEW met4 3100 + SHAPE STRIPE ( 1261320 -38270 ) ( 1261320 990000 ) ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2952100 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2892720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2592720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2292720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1992720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1692720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1392720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1092720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 792720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 492720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 192720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2892720 3498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2592720 3498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2292720 3498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1992720 3498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1692720 3498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1392720 3498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1092720 3498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 792720 3498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 492720 3498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 192720 3498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2892720 3198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2592720 3198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2292720 3198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1992720 3198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1692720 3198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1392720 3198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1092720 3198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 792720 3198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 492720 3198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 192720 3198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2898080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2892720 2898080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2592720 2898080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2292720 2898080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1992720 2898080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1692720 2898080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1392720 2898080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1092720 2898080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 792720 2898080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 492720 2898080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 192720 2898080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2898080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2598080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2892720 2598080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2592720 2598080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2292720 2598080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1992720 2598080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1692720 2598080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1392720 2598080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1092720 2598080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 792720 2598080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 492720 2598080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 192720 2598080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2598080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2298080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2892720 2298080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2592720 2298080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2292720 2298080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1992720 2298080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1692720 2298080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1392720 2298080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1092720 2298080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 792720 2298080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 492720 2298080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 192720 2298080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2298080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1998080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2892720 1998080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2592720 1998080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2292720 1998080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1992720 1998080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1692720 1998080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1392720 1998080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1092720 1998080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 792720 1998080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 492720 1998080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 192720 1998080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1998080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1698080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2892720 1698080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2592720 1698080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2292720 1698080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1992720 1698080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1692720 1698080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1392720 1698080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1092720 1698080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 792720 1698080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 492720 1698080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 192720 1698080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1698080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1398080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2892720 1398080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2592720 1398080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2292720 1398080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1992720 1398080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1692720 1398080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1392720 1398080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1092720 1398080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 792720 1398080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 492720 1398080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 192720 1398080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1398080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1098080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2892720 1098080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2592720 1098080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2292720 1098080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1992720 1098080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1692720 1098080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1392720 1098080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 792720 1098080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 492720 1098080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 192720 1098080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1098080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 798080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2892720 798080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2592720 798080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2292720 798080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1992720 798080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1692720 798080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1392720 798080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1092720 798080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 792720 798080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 492720 798080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 192720 798080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 798080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2892720 498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2592720 498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2292720 498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1992720 498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1692720 498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1392720 498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1092720 498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 792720 498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 492720 498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 192720 498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 498080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2892720 198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2592720 198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2292720 198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1992720 198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1692720 198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1392720 198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1092720 198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 792720 198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 492720 198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 192720 198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 198080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2892720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2592720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2292720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1992720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1692720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1392720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1092720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 792720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 492720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 192720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 -27120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -34030 3546800 ) ( 2953650 3546800 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3498080 ) ( 2953650 3498080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3198080 ) ( 2953650 3198080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2898080 ) ( 2953650 2898080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2598080 ) ( 2953650 2598080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2298080 ) ( 2953650 2298080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1998080 ) ( 2953650 1998080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1698080 ) ( 2953650 1698080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1398080 ) ( 2953650 1398080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1098080 ) ( 2953650 1098080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 798080 ) ( 2953650 798080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 498080 ) ( 2953650 498080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 198080 ) ( 2953650 198080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 -27120 ) ( 2953650 -27120 )
NEW met4 3100 + SHAPE STRIPE ( 2952100 -28670 ) ( 2952100 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2892720 -28670 ) ( 2892720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2592720 -28670 ) ( 2592720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2292720 -28670 ) ( 2292720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1992720 -28670 ) ( 1992720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1692720 -28670 ) ( 1692720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1392720 -28670 ) ( 1392720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1092720 1271475 ) ( 1092720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 792720 -28670 ) ( 792720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 492720 -28670 ) ( 492720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 192720 -28670 ) ( 192720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( -32480 -28670 ) ( -32480 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1092720 -28670 ) ( 1092720 990000 ) ;
- vssa2 ( PIN vssa2 ) ( * VGND ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2961700 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2611320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2311320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2011320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1711320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1411320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1111320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 811320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 511320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 211320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2611320 3216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2311320 3216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2011320 3216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1711320 3216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1411320 3216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1111320 3216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 811320 3216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 511320 3216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 211320 3216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2916680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2611320 2916680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2311320 2916680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2011320 2916680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1711320 2916680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1411320 2916680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1111320 2916680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 811320 2916680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 511320 2916680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 211320 2916680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2916680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2616680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2611320 2616680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2311320 2616680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2011320 2616680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1711320 2616680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1411320 2616680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1111320 2616680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 811320 2616680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 511320 2616680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 211320 2616680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2616680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2316680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2611320 2316680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2311320 2316680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2011320 2316680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1711320 2316680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1411320 2316680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1111320 2316680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 811320 2316680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 511320 2316680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 211320 2316680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2316680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2016680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2611320 2016680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2311320 2016680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2011320 2016680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1711320 2016680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1411320 2016680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1111320 2016680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 811320 2016680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 511320 2016680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 211320 2016680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2016680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1716680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2611320 1716680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2311320 1716680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2011320 1716680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1711320 1716680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1411320 1716680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1111320 1716680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 811320 1716680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 511320 1716680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 211320 1716680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1716680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1416680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2611320 1416680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2311320 1416680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2011320 1416680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1711320 1416680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1411320 1416680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1111320 1416680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 811320 1416680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 511320 1416680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 211320 1416680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1416680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1116680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2611320 1116680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2311320 1116680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2011320 1116680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1711320 1116680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1411320 1116680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 811320 1116680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 511320 1116680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 211320 1116680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1116680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 816680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2611320 816680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2311320 816680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2011320 816680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1711320 816680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1411320 816680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1111320 816680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 811320 816680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 511320 816680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 211320 816680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 816680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 516680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2611320 516680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2311320 516680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2011320 516680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1711320 516680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1411320 516680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1111320 516680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 811320 516680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 511320 516680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 211320 516680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 516680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2611320 216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2311320 216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2011320 216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1711320 216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1411320 216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1111320 216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 811320 216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 511320 216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 211320 216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 216680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2611320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2311320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2011320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1711320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1411320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1111320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 811320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 511320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 211320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 -36720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -43630 3556400 ) ( 2963250 3556400 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3216680 ) ( 2963250 3216680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2916680 ) ( 2963250 2916680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2616680 ) ( 2963250 2616680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2316680 ) ( 2963250 2316680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2016680 ) ( 2963250 2016680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1716680 ) ( 2963250 1716680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1416680 ) ( 2963250 1416680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1116680 ) ( 2963250 1116680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 816680 ) ( 2963250 816680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 516680 ) ( 2963250 516680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 216680 ) ( 2963250 216680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 -36720 ) ( 2963250 -36720 )
NEW met4 3100 + SHAPE STRIPE ( 2961700 -38270 ) ( 2961700 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2611320 -38270 ) ( 2611320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2311320 -38270 ) ( 2311320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2011320 -38270 ) ( 2011320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1711320 -38270 ) ( 1711320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1411320 -38270 ) ( 1411320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1111320 1271475 ) ( 1111320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 811320 -38270 ) ( 811320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 511320 -38270 ) ( 511320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 211320 -38270 ) ( 211320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( -42080 -38270 ) ( -42080 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1111320 -38270 ) ( 1111320 990000 ) ;
- vssd1 ( PIN vssd1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 1098640 1060880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2855520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2555520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1955520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1655520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1055520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 755520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 455520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 155520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2855520 3460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2555520 3460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 3460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1955520 3460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1655520 3460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 3460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1055520 3460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 755520 3460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 455520 3460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 155520 3460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2855520 3160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2555520 3160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 3160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1955520 3160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1655520 3160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 3160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1055520 3160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 755520 3160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 455520 3160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 155520 3160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2860880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2855520 2860880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2555520 2860880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 2860880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1955520 2860880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1655520 2860880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 2860880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1055520 2860880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 755520 2860880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 455520 2860880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 155520 2860880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2860880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2560880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2855520 2560880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2555520 2560880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 2560880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1955520 2560880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1655520 2560880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 2560880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1055520 2560880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 755520 2560880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 455520 2560880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 155520 2560880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2560880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2260880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2855520 2260880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2555520 2260880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 2260880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1955520 2260880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1655520 2260880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 2260880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1055520 2260880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 755520 2260880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 455520 2260880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 155520 2260880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2260880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1960880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2855520 1960880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2555520 1960880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 1960880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1955520 1960880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1655520 1960880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 1960880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1055520 1960880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 755520 1960880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 455520 1960880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 155520 1960880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1960880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1660880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2855520 1660880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2555520 1660880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 1660880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1955520 1660880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1655520 1660880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 1660880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1055520 1660880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 755520 1660880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 455520 1660880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 155520 1660880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1660880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1360880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2855520 1360880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2555520 1360880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 1360880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1955520 1360880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1655520 1360880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 1360880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1055520 1360880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 755520 1360880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 455520 1360880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 155520 1360880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1360880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1060880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2855520 1060880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2555520 1060880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 1060880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1955520 1060880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1655520 1060880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 1060880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 755520 1060880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 455520 1060880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 155520 1060880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1060880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 760880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2855520 760880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2555520 760880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 760880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1955520 760880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1655520 760880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 760880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1055520 760880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 755520 760880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 455520 760880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 155520 760880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 760880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2855520 460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2555520 460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1955520 460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1655520 460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1055520 460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 755520 460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 455520 460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 155520 460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 460880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2855520 160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2555520 160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1955520 160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1655520 160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1055520 160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 755520 160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 455520 160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 155520 160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 160880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2855520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2555520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1955520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1655520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1055520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 755520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 455520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 155520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 -7920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -14830 3527600 ) ( 2934450 3527600 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3460880 ) ( 2934450 3460880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3160880 ) ( 2934450 3160880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2860880 ) ( 2934450 2860880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2560880 ) ( 2934450 2560880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2260880 ) ( 2934450 2260880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1960880 ) ( 2934450 1960880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1660880 ) ( 2934450 1660880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1360880 ) ( 2934450 1360880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1060880 ) ( 2934450 1060880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 760880 ) ( 2934450 760880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 460880 ) ( 2934450 460880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 160880 ) ( 2934450 160880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 -7920 ) ( 2934450 -7920 )
NEW met4 3100 + SHAPE STRIPE ( 2932900 -9470 ) ( 2932900 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2855520 -9470 ) ( 2855520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2555520 -9470 ) ( 2555520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2255520 -9470 ) ( 2255520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1955520 -9470 ) ( 1955520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1655520 -9470 ) ( 1655520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1355520 -9470 ) ( 1355520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1055520 1271475 ) ( 1055520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 755520 -9470 ) ( 755520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 455520 -9470 ) ( 455520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 155520 -9470 ) ( 155520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( -13280 -9470 ) ( -13280 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1055520 -9470 ) ( 1055520 990000 ) ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2942500 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2874120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2574120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2274120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1974120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1674120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1374120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1074120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 774120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 474120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 174120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2874120 3479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2574120 3479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2274120 3479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1974120 3479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1674120 3479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1374120 3479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1074120 3479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 774120 3479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 474120 3479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 174120 3479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2874120 3179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2574120 3179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2274120 3179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1974120 3179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1674120 3179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1374120 3179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1074120 3179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 774120 3179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 474120 3179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 174120 3179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2879480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2874120 2879480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2574120 2879480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2274120 2879480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1974120 2879480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1674120 2879480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1374120 2879480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1074120 2879480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 774120 2879480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 474120 2879480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 174120 2879480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2879480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2579480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2874120 2579480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2574120 2579480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2274120 2579480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1974120 2579480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1674120 2579480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1374120 2579480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1074120 2579480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 774120 2579480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 474120 2579480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 174120 2579480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2579480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2279480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2874120 2279480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2574120 2279480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2274120 2279480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1974120 2279480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1674120 2279480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1374120 2279480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1074120 2279480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 774120 2279480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 474120 2279480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 174120 2279480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2279480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1979480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2874120 1979480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2574120 1979480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2274120 1979480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1974120 1979480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1674120 1979480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1374120 1979480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1074120 1979480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 774120 1979480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 474120 1979480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 174120 1979480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1979480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1679480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2874120 1679480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2574120 1679480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2274120 1679480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1974120 1679480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1674120 1679480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1374120 1679480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1074120 1679480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 774120 1679480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 474120 1679480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 174120 1679480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1679480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1379480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2874120 1379480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2574120 1379480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2274120 1379480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1974120 1379480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1674120 1379480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1374120 1379480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1074120 1379480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 774120 1379480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 474120 1379480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 174120 1379480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1379480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1079480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2874120 1079480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2574120 1079480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2274120 1079480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1974120 1079480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1674120 1079480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1374120 1079480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 774120 1079480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 474120 1079480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 174120 1079480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1079480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 779480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2874120 779480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2574120 779480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2274120 779480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1974120 779480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1674120 779480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1374120 779480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1074120 779480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 774120 779480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 474120 779480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 174120 779480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 779480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2874120 479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2574120 479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2274120 479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1974120 479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1674120 479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1374120 479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1074120 479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 774120 479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 474120 479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 174120 479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 479480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2874120 179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2574120 179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2274120 179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1974120 179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1674120 179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1374120 179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1074120 179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 774120 179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 474120 179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 174120 179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 179480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2874120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2574120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2274120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1974120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1674120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1374120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1074120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 774120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 474120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 174120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 -17520 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -24430 3537200 ) ( 2944050 3537200 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3479480 ) ( 2944050 3479480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3179480 ) ( 2944050 3179480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2879480 ) ( 2944050 2879480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2579480 ) ( 2944050 2579480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2279480 ) ( 2944050 2279480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1979480 ) ( 2944050 1979480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1679480 ) ( 2944050 1679480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1379480 ) ( 2944050 1379480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1079480 ) ( 2944050 1079480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 779480 ) ( 2944050 779480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 479480 ) ( 2944050 479480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 179480 ) ( 2944050 179480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 -17520 ) ( 2944050 -17520 )
NEW met4 3100 + SHAPE STRIPE ( 2942500 -19070 ) ( 2942500 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2874120 -19070 ) ( 2874120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2574120 -19070 ) ( 2574120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2274120 -19070 ) ( 2274120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1974120 -19070 ) ( 1974120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1674120 -19070 ) ( 1674120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1374120 -19070 ) ( 1374120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1074120 1271475 ) ( 1074120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 774120 -19070 ) ( 774120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 474120 -19070 ) ( 474120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 174120 -19070 ) ( 174120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( -22880 -19070 ) ( -22880 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1074120 -19070 ) ( 1074120 990000 ) ;
END SPECIALNETS
NETS 637 ;
- analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
- io_in[0] ( PIN io_in[0] ) ( TMR_ALU DATA_IN ) + USE SIGNAL
+ ROUTED met3 ( 1052940 1258340 ) ( 1053630 * )
NEW met2 ( 1053630 1258340 ) ( 1055010 * 0 )
NEW met3 ( 2917780 28220 ) ( * 32300 )
NEW met3 ( 2916860 32300 ) ( 2917780 * )
NEW met3 ( 2916860 32300 ) ( * 32980 )
NEW met3 ( 2916860 32980 ) ( 2917780 * 0 )
NEW met4 ( 1052940 28220 ) ( * 1258340 )
NEW met3 ( 1052940 28220 ) ( 2917780 * )
NEW met3 ( 1052940 28220 ) M3M4_PR_M
NEW met3 ( 1052940 1258340 ) M3M4_PR_M
NEW met2 ( 1053630 1258340 ) M2M3_PR_M ;
- io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) + USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) ( TMR_ALU Ready ) + USE SIGNAL
+ ROUTED met3 ( 1250740 1111460 ) ( * 1112820 0 )
NEW met3 ( 1250740 1111460 ) ( 1259250 * )
NEW met2 ( 1259250 234430 ) ( * 1111460 )
NEW met2 ( 2900990 231540 ) ( * 234430 )
NEW met3 ( 2900990 231540 ) ( 2917780 * 0 )
NEW met1 ( 1259250 234430 ) ( 2900990 * )
NEW met1 ( 1259250 234430 ) M1M2_PR
NEW met2 ( 1259250 1111460 ) M2M3_PR_M
NEW met1 ( 2900990 234430 ) M1M2_PR
NEW met2 ( 2900990 231540 ) M2M3_PR_M ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- io_oeb[0] ( PIN io_oeb[0] ) + USE SIGNAL ;
- io_oeb[10] ( PIN io_oeb[10] ) + USE SIGNAL ;
- io_oeb[11] ( PIN io_oeb[11] ) + USE SIGNAL ;
- io_oeb[12] ( PIN io_oeb[12] ) + USE SIGNAL ;
- io_oeb[13] ( PIN io_oeb[13] ) + USE SIGNAL ;
- io_oeb[14] ( PIN io_oeb[14] ) + USE SIGNAL ;
- io_oeb[15] ( PIN io_oeb[15] ) + USE SIGNAL ;
- io_oeb[16] ( PIN io_oeb[16] ) + USE SIGNAL ;
- io_oeb[17] ( PIN io_oeb[17] ) + USE SIGNAL ;
- io_oeb[18] ( PIN io_oeb[18] ) + USE SIGNAL ;
- io_oeb[19] ( PIN io_oeb[19] ) + USE SIGNAL ;
- io_oeb[1] ( PIN io_oeb[1] ) + USE SIGNAL ;
- io_oeb[20] ( PIN io_oeb[20] ) + USE SIGNAL ;
- io_oeb[21] ( PIN io_oeb[21] ) + USE SIGNAL ;
- io_oeb[22] ( PIN io_oeb[22] ) + USE SIGNAL ;
- io_oeb[23] ( PIN io_oeb[23] ) + USE SIGNAL ;
- io_oeb[24] ( PIN io_oeb[24] ) + USE SIGNAL ;
- io_oeb[25] ( PIN io_oeb[25] ) + USE SIGNAL ;
- io_oeb[26] ( PIN io_oeb[26] ) + USE SIGNAL ;
- io_oeb[27] ( PIN io_oeb[27] ) + USE SIGNAL ;
- io_oeb[28] ( PIN io_oeb[28] ) + USE SIGNAL ;
- io_oeb[29] ( PIN io_oeb[29] ) + USE SIGNAL ;
- io_oeb[2] ( PIN io_oeb[2] ) + USE SIGNAL ;
- io_oeb[30] ( PIN io_oeb[30] ) + USE SIGNAL ;
- io_oeb[31] ( PIN io_oeb[31] ) + USE SIGNAL ;
- io_oeb[32] ( PIN io_oeb[32] ) + USE SIGNAL ;
- io_oeb[33] ( PIN io_oeb[33] ) + USE SIGNAL ;
- io_oeb[34] ( PIN io_oeb[34] ) + USE SIGNAL ;
- io_oeb[35] ( PIN io_oeb[35] ) + USE SIGNAL ;
- io_oeb[36] ( PIN io_oeb[36] ) + USE SIGNAL ;
- io_oeb[37] ( PIN io_oeb[37] ) + USE SIGNAL ;
- io_oeb[3] ( PIN io_oeb[3] ) + USE SIGNAL ;
- io_oeb[4] ( PIN io_oeb[4] ) + USE SIGNAL ;
- io_oeb[5] ( PIN io_oeb[5] ) + USE SIGNAL ;
- io_oeb[6] ( PIN io_oeb[6] ) + USE SIGNAL ;
- io_oeb[7] ( PIN io_oeb[7] ) + USE SIGNAL ;
- io_oeb[8] ( PIN io_oeb[8] ) + USE SIGNAL ;
- io_oeb[9] ( PIN io_oeb[9] ) + USE SIGNAL ;
- io_out[0] ( PIN io_out[0] ) + USE SIGNAL ;
- io_out[10] ( PIN io_out[10] ) ( TMR_ALU OUT[8] ) + USE SIGNAL
+ ROUTED met2 ( 1167710 1261060 0 ) ( * 1273810 )
NEW met1 ( 1167710 1273810 ) ( 1172770 * )
NEW met2 ( 1172770 1273810 ) ( * 2352970 )
NEW met2 ( 2900990 2352970 ) ( * 2357220 )
NEW met3 ( 2900990 2357220 ) ( 2917780 * 0 )
NEW met1 ( 1172770 2352970 ) ( 2900990 * )
NEW met1 ( 1167710 1273810 ) M1M2_PR
NEW met1 ( 1172770 1273810 ) M1M2_PR
NEW met1 ( 1172770 2352970 ) M1M2_PR
NEW met1 ( 2900990 2352970 ) M1M2_PR
NEW met2 ( 2900990 2357220 ) M2M3_PR_M ;
- io_out[11] ( PIN io_out[11] ) ( TMR_ALU OUT[9] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2622250 ) ( * 2622420 )
NEW met3 ( 2900990 2622420 ) ( 2917780 * 0 )
NEW met2 ( 991990 1174020 ) ( * 2622250 )
NEW met3 ( 991990 1174020 ) ( 1000500 * 0 )
NEW met1 ( 991990 2622250 ) ( 2900990 * )
NEW met2 ( 991990 1174020 ) M2M3_PR_M
NEW met1 ( 991990 2622250 ) M1M2_PR
NEW met1 ( 2900990 2622250 ) M1M2_PR
NEW met2 ( 2900990 2622420 ) M2M3_PR_M ;
- io_out[12] ( PIN io_out[12] ) ( TMR_ALU OUT[10] ) + USE SIGNAL
+ ROUTED met3 ( 2901450 2888300 ) ( 2917780 * 0 )
NEW met2 ( 1080770 993310 ) ( * 1000500 )
NEW met2 ( 1080770 1000500 ) ( * 1000620 0 )
NEW met2 ( 2901450 993310 ) ( * 2888300 )
NEW met1 ( 1080770 993310 ) ( 2901450 * )
NEW met1 ( 1080770 993310 ) M1M2_PR
NEW met1 ( 2901450 993310 ) M1M2_PR
NEW met2 ( 2901450 2888300 ) M2M3_PR_M ;
- io_out[13] ( PIN io_out[13] ) ( TMR_ALU OUT[11] ) + USE SIGNAL
+ ROUTED met2 ( 1193470 1261060 0 ) ( * 3153330 )
NEW met2 ( 2900990 3153330 ) ( * 3154180 )
NEW met3 ( 2900990 3154180 ) ( 2917780 * 0 )
NEW met1 ( 1193470 3153330 ) ( 2900990 * )
NEW met1 ( 1193470 3153330 ) M1M2_PR
NEW met1 ( 2900990 3153330 ) M1M2_PR
NEW met2 ( 2900990 3154180 ) M2M3_PR_M ;
- io_out[14] ( PIN io_out[14] ) ( TMR_ALU OUT[12] ) + USE SIGNAL
+ ROUTED met2 ( 992450 1085620 ) ( * 3415810 )
NEW met2 ( 2900990 3415810 ) ( * 3419380 )
NEW met3 ( 2900990 3419380 ) ( 2917780 * 0 )
NEW met3 ( 992450 1085620 ) ( 1000500 * 0 )
NEW met1 ( 992450 3415810 ) ( 2900990 * )
NEW met2 ( 992450 1085620 ) M2M3_PR_M
NEW met1 ( 992450 3415810 ) M1M2_PR
NEW met1 ( 2900990 3415810 ) M1M2_PR
NEW met2 ( 2900990 3419380 ) M2M3_PR_M ;
- io_out[15] ( PIN io_out[15] ) ( TMR_ALU OUT[13] ) + USE SIGNAL
+ ROUTED met2 ( 992910 1146820 ) ( * 3501490 )
NEW met2 ( 2717450 3501490 ) ( * 3517980 0 )
NEW met3 ( 992910 1146820 ) ( 1000500 * 0 )
NEW met1 ( 992910 3501490 ) ( 2717450 * )
NEW met2 ( 992910 1146820 ) M2M3_PR_M
NEW met1 ( 992910 3501490 ) M1M2_PR
NEW met1 ( 2717450 3501490 ) M1M2_PR ;
- io_out[16] ( PIN io_out[16] ) ( TMR_ALU OUT[14] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 1143420 0 ) ( * 1144100 )
NEW met3 ( 1249820 1144100 ) ( 1259250 * )
NEW met2 ( 1259250 1144100 ) ( * 3501830 )
NEW met1 ( 1259250 3501830 ) ( 2392690 * )
NEW met2 ( 2392690 3501830 ) ( * 3517980 0 )
NEW met1 ( 1259250 3501830 ) M1M2_PR
NEW met2 ( 1259250 1144100 ) M2M3_PR_M
NEW met1 ( 2392690 3501830 ) M1M2_PR ;
- io_out[17] ( PIN io_out[17] ) ( TMR_ALU OUT[15] ) + USE SIGNAL
+ ROUTED met2 ( 1248210 1261060 0 ) ( 1248670 * )
NEW met2 ( 1248670 1261060 ) ( * 3502170 )
NEW met1 ( 1248670 3502170 ) ( 2068390 * )
NEW met2 ( 2068390 3502170 ) ( * 3517980 0 )
NEW met1 ( 1248670 3502170 ) M1M2_PR
NEW met1 ( 2068390 3502170 ) M1M2_PR ;
- io_out[18] ( PIN io_out[18] ) ( TMR_ALU OUT_2[0] ) + USE SIGNAL
+ ROUTED met2 ( 1744090 3502510 ) ( * 3517980 0 )
NEW met2 ( 1029250 1261060 0 ) ( * 1273810 )
NEW met1 ( 1029250 1273810 ) ( 1034770 * )
NEW met1 ( 1034770 3502510 ) ( 1744090 * )
NEW met2 ( 1034770 1273810 ) ( * 3502510 )
NEW met1 ( 1744090 3502510 ) M1M2_PR
NEW met1 ( 1029250 1273810 ) M1M2_PR
NEW met1 ( 1034770 1273810 ) M1M2_PR
NEW met1 ( 1034770 3502510 ) M1M2_PR ;
- io_out[19] ( PIN io_out[19] ) ( TMR_ALU OUT_2[1] ) + USE SIGNAL
+ ROUTED met2 ( 993370 1058420 ) ( * 3502850 )
NEW met3 ( 993370 1058420 ) ( 1000500 * 0 )
NEW met1 ( 993370 3502850 ) ( 1419330 * )
NEW met2 ( 1419330 3502850 ) ( * 3517980 0 )
NEW met2 ( 993370 1058420 ) M2M3_PR_M
NEW met1 ( 993370 3502850 ) M1M2_PR
NEW met1 ( 1419330 3502850 ) M1M2_PR ;
- io_out[1] ( PIN io_out[1] ) + USE SIGNAL ;
- io_out[20] ( PIN io_out[20] ) ( TMR_ALU OUT_2[2] ) + USE SIGNAL
+ ROUTED met3 ( 1095030 3498940 ) ( 1096180 * )
NEW met4 ( 1247980 1088340 ) ( * 1089700 )
NEW met3 ( 1247980 1085620 0 ) ( * 1088340 )
NEW met4 ( 1091580 1089700 ) ( * 1193700 )
NEW met4 ( 1091580 1193700 ) ( 1096180 * )
NEW met4 ( 1096180 1193700 ) ( * 3498940 )
NEW met2 ( 1095030 3498940 ) ( * 3517980 0 )
NEW met5 ( 1091580 1089700 ) ( 1247980 * )
NEW met4 ( 1091580 1089700 ) M4M5_PR_C
NEW met2 ( 1095030 3498940 ) M2M3_PR_M
NEW met3 ( 1096180 3498940 ) M3M4_PR_M
NEW met4 ( 1247980 1089700 ) M4M5_PR_C
NEW met3 ( 1247980 1088340 ) M3M4_PR_M ;
- io_out[21] ( PIN io_out[21] ) ( TMR_ALU OUT_2[3] ) + USE SIGNAL
+ ROUTED met2 ( 771650 3517980 ) ( 772570 * )
NEW met2 ( 771650 3517300 ) ( * 3517980 )
NEW met2 ( 770730 3517300 ) ( 771650 * )
NEW met2 ( 770730 3517300 ) ( * 3517980 0 )
NEW met2 ( 772570 991950 ) ( * 3517980 )
NEW met1 ( 772570 991950 ) ( 1109750 * )
NEW met2 ( 1109750 991950 ) ( * 1000500 )
NEW met2 ( 1109750 1000500 ) ( * 1000620 0 )
NEW met1 ( 772570 991950 ) M1M2_PR
NEW met1 ( 1109750 991950 ) M1M2_PR ;
- io_out[22] ( PIN io_out[22] ) ( TMR_ALU OUT_2[4] ) + USE SIGNAL
+ ROUTED met2 ( 989690 1204620 ) ( * 1207170 )
NEW met2 ( 446890 3517980 ) ( 448270 * )
NEW met2 ( 446890 3517300 ) ( * 3517980 )
NEW met2 ( 445970 3517300 ) ( 446890 * )
NEW met2 ( 445970 3517300 ) ( * 3517980 0 )
NEW met2 ( 448270 1207170 ) ( * 3517980 )
NEW met1 ( 448270 1207170 ) ( 989690 * )
NEW met3 ( 989690 1204620 ) ( 1000500 * 0 )
NEW met2 ( 989690 1204620 ) M2M3_PR_M
NEW met1 ( 989690 1207170 ) M1M2_PR
NEW met1 ( 448270 1207170 ) M1M2_PR ;
- io_out[23] ( PIN io_out[23] ) ( TMR_ALU OUT_2[5] ) + USE SIGNAL
+ ROUTED met3 ( 121670 3498940 ) ( 123740 * )
NEW met4 ( 123740 1235900 ) ( * 3498940 )
NEW met2 ( 121670 3498940 ) ( * 3517980 0 )
NEW met4 ( 1247980 1234540 ) ( * 1235900 )
NEW met3 ( 1247980 1231820 0 ) ( * 1234540 )
NEW met5 ( 123740 1235900 ) ( 1247980 * )
NEW met2 ( 121670 3498940 ) M2M3_PR_M
NEW met3 ( 123740 3498940 ) M3M4_PR_M
NEW met4 ( 123740 1235900 ) M4M5_PR_C
NEW met4 ( 1247980 1235900 ) M4M5_PR_C
NEW met3 ( 1247980 1234540 ) M3M4_PR_M ;
- io_out[24] ( PIN io_out[24] ) ( TMR_ALU OUT_2[6] ) + USE SIGNAL
+ ROUTED met2 ( 1083990 1261060 0 ) ( * 1273470 )
NEW met3 ( 2300 3356140 0 ) ( 17250 * )
NEW met2 ( 17250 1273470 ) ( * 3356140 )
NEW met1 ( 17250 1273470 ) ( 1083990 * )
NEW met1 ( 17250 1273470 ) M1M2_PR
NEW met1 ( 1083990 1273470 ) M1M2_PR
NEW met2 ( 17250 3356140 ) M2M3_PR_M ;
- io_out[25] ( PIN io_out[25] ) ( TMR_ALU OUT_2[7] ) + USE SIGNAL
+ ROUTED met3 ( 2300 3095700 0 ) ( 18170 * )
NEW met2 ( 18170 1273130 ) ( * 3095700 )
NEW met2 ( 1109750 1261060 0 ) ( * 1273130 )
NEW met1 ( 18170 1273130 ) ( 1109750 * )
NEW met1 ( 18170 1273130 ) M1M2_PR
NEW met2 ( 18170 3095700 ) M2M3_PR_M
NEW met1 ( 1109750 1273130 ) M1M2_PR ;
- io_out[26] ( PIN io_out[26] ) ( TMR_ALU OUT_2[8] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2834580 0 ) ( 17710 * )
NEW met2 ( 17710 992630 ) ( * 2834580 )
NEW met2 ( 1164490 992630 ) ( * 1000500 )
NEW met2 ( 1164490 1000500 ) ( * 1000620 0 )
NEW met1 ( 17710 992630 ) ( 1164490 * )
NEW met1 ( 17710 992630 ) M1M2_PR
NEW met2 ( 17710 2834580 ) M2M3_PR_M
NEW met1 ( 1164490 992630 ) M1M2_PR ;
- io_out[27] ( PIN io_out[27] ) ( TMR_ALU OUT_2[9] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2574140 0 ) ( 18630 * )
NEW met2 ( 18630 1117750 ) ( * 2574140 )
NEW met2 ( 986930 1116220 ) ( * 1117750 )
NEW met1 ( 18630 1117750 ) ( 986930 * )
NEW met3 ( 986930 1116220 ) ( 1000500 * 0 )
NEW met1 ( 18630 1117750 ) M1M2_PR
NEW met2 ( 18630 2574140 ) M2M3_PR_M
NEW met2 ( 986930 1116220 ) M2M3_PR_M
NEW met1 ( 986930 1117750 ) M1M2_PR ;
- io_out[28] ( PIN io_out[28] ) ( TMR_ALU OUT_2[10] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2313020 0 ) ( 19090 * )
NEW met2 ( 19090 992970 ) ( * 2313020 )
NEW met2 ( 1193470 992970 ) ( * 1000500 )
NEW met2 ( 1193470 1000500 ) ( * 1000620 0 )
NEW met1 ( 19090 992970 ) ( 1193470 * )
NEW met1 ( 19090 992970 ) M1M2_PR
NEW met2 ( 19090 2313020 ) M2M3_PR_M
NEW met1 ( 1193470 992970 ) M1M2_PR ;
- io_out[29] ( PIN io_out[29] ) ( TMR_ALU OUT_2[11] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2052580 0 ) ( 19550 * )
NEW met2 ( 19550 1272790 ) ( * 2052580 )
NEW met2 ( 1222450 1261060 0 ) ( * 1272790 )
NEW met1 ( 19550 1272790 ) ( 1222450 * )
NEW met1 ( 19550 1272790 ) M1M2_PR
NEW met2 ( 19550 2052580 ) M2M3_PR_M
NEW met1 ( 1222450 1272790 ) M1M2_PR ;
- io_out[2] ( PIN io_out[2] ) ( TMR_ALU OUT[0] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 497420 ) ( * 503370 )
NEW met3 ( 2900990 497420 ) ( 2917780 * 0 )
NEW met1 ( 1138730 989570 ) ( 1145170 * )
NEW met1 ( 1145170 503370 ) ( 2900990 * )
NEW met2 ( 1145170 503370 ) ( * 989570 )
NEW met2 ( 1138730 989570 ) ( * 1000500 )
NEW met2 ( 1138730 1000500 ) ( * 1000620 0 )
NEW met1 ( 2900990 503370 ) M1M2_PR
NEW met2 ( 2900990 497420 ) M2M3_PR_M
NEW met1 ( 1145170 503370 ) M1M2_PR
NEW met1 ( 1138730 989570 ) M1M2_PR
NEW met1 ( 1145170 989570 ) M1M2_PR ;
- io_out[30] ( PIN io_out[30] ) ( TMR_ALU OUT_2[12] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1792140 0 ) ( 17020 * )
NEW met4 ( 17020 1021700 ) ( * 1792140 )
NEW met4 ( 1247980 1021700 ) ( * 1025100 )
NEW met3 ( 1247980 1025100 ) ( * 1027820 0 )
NEW met5 ( 17020 1021700 ) ( 1247980 * )
NEW met4 ( 17020 1021700 ) M4M5_PR_C
NEW met3 ( 17020 1792140 ) M3M4_PR_M
NEW met4 ( 1247980 1021700 ) M4M5_PR_C
NEW met3 ( 1247980 1025100 ) M3M4_PR_M ;
- io_out[31] ( PIN io_out[31] ) ( TMR_ALU OUT_2[13] ) + USE SIGNAL
+ ROUTED met2 ( 19550 1048800 ) ( 20010 * )
NEW met2 ( 19550 992290 ) ( * 1048800 )
NEW met3 ( 2300 1531020 0 ) ( 20010 * )
NEW met2 ( 20010 1048800 ) ( * 1531020 )
NEW met1 ( 19550 992290 ) ( 1026030 * )
NEW met2 ( 1026030 992290 ) ( * 1000500 )
NEW met2 ( 1026030 1000500 ) ( * 1000620 0 )
NEW met1 ( 19550 992290 ) M1M2_PR
NEW met2 ( 20010 1531020 ) M2M3_PR_M
NEW met1 ( 1026030 992290 ) M1M2_PR ;
- io_out[32] ( PIN io_out[32] ) ( TMR_ALU OUT_2[14] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1270580 0 ) ( 20470 * )
NEW met2 ( 20010 993310 ) ( * 1000500 )
NEW met2 ( 20010 1000500 ) ( 20470 * )
NEW met2 ( 20470 1000500 ) ( * 1270580 )
NEW met2 ( 1055010 993310 ) ( * 1000500 )
NEW met2 ( 1055010 1000500 ) ( * 1000620 0 )
NEW met1 ( 20010 993310 ) ( 1055010 * )
NEW met1 ( 20010 993310 ) M1M2_PR
NEW met2 ( 20470 1270580 ) M2M3_PR_M
NEW met1 ( 1055010 993310 ) M1M2_PR ;
- io_out[33] ( PIN io_out[33] ) ( TMR_ALU COUT ) + USE SIGNAL
+ ROUTED met3 ( 2300 1009460 0 ) ( 15870 * )
NEW met2 ( 15870 1009460 ) ( * 1010310 )
NEW met3 ( 1249820 1201220 0 ) ( * 1201900 )
NEW met3 ( 1249820 1201900 ) ( 1256030 * )
NEW met2 ( 1256030 1010310 ) ( * 1201900 )
NEW met1 ( 15870 1010310 ) ( 1256030 * )
NEW met2 ( 15870 1009460 ) M2M3_PR_M
NEW met1 ( 15870 1010310 ) M1M2_PR
NEW met1 ( 1256030 1010310 ) M1M2_PR
NEW met2 ( 1256030 1201900 ) M2M3_PR_M ;
- io_out[34] ( PIN io_out[34] ) + USE SIGNAL ;
- io_out[35] ( PIN io_out[35] ) + USE SIGNAL ;
- io_out[36] ( PIN io_out[36] ) + USE SIGNAL ;
- io_out[37] ( PIN io_out[37] ) + USE SIGNAL ;
- io_out[3] ( PIN io_out[3] ) ( TMR_ALU OUT[1] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 696660 ) ( * 696830 )
NEW met3 ( 2900990 696660 ) ( 2917780 * 0 )
NEW met2 ( 1252350 696830 ) ( * 1269730 )
NEW met2 ( 1138730 1261060 0 ) ( * 1269730 )
NEW met1 ( 1138730 1269730 ) ( 1252350 * )
NEW met1 ( 1252350 696830 ) ( 2900990 * )
NEW met1 ( 1252350 696830 ) M1M2_PR
NEW met1 ( 1252350 1269730 ) M1M2_PR
NEW met1 ( 2900990 696830 ) M1M2_PR
NEW met2 ( 2900990 696660 ) M2M3_PR_M
NEW met1 ( 1138730 1269730 ) M1M2_PR ;
- io_out[4] ( PIN io_out[4] ) ( TMR_ALU OUT[2] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 895900 ) ( * 896750 )
NEW met3 ( 2900990 895900 ) ( 2917780 * 0 )
NEW met2 ( 991530 896750 ) ( * 1231820 )
NEW met1 ( 991530 896750 ) ( 2900990 * )
NEW met3 ( 991530 1231820 ) ( 1000500 * 0 )
NEW met1 ( 991530 896750 ) M1M2_PR
NEW met1 ( 2900990 896750 ) M1M2_PR
NEW met2 ( 2900990 895900 ) M2M3_PR_M
NEW met2 ( 991530 1231820 ) M2M3_PR_M ;
- io_out[5] ( PIN io_out[5] ) ( TMR_ALU OUT[3] ) + USE SIGNAL
+ ROUTED met3 ( 2901910 1095140 ) ( 2917780 * 0 )
NEW met2 ( 1248210 992970 ) ( * 1000500 )
NEW met2 ( 1248210 1000500 ) ( * 1000620 0 )
NEW met2 ( 2901910 992970 ) ( * 1095140 )
NEW met1 ( 1248210 992970 ) ( 2901910 * )
NEW met1 ( 1248210 992970 ) M1M2_PR
NEW met1 ( 2901910 992970 ) M1M2_PR
NEW met2 ( 2901910 1095140 ) M2M3_PR_M ;
- io_out[6] ( PIN io_out[6] ) ( TMR_ALU OUT[4] ) + USE SIGNAL
+ ROUTED met2 ( 991070 1027820 ) ( * 1290470 )
NEW met2 ( 2900990 1290470 ) ( * 1294380 )
NEW met3 ( 2900990 1294380 ) ( 2917780 * 0 )
NEW met3 ( 991070 1027820 ) ( 1000500 * 0 )
NEW met1 ( 991070 1290470 ) ( 2900990 * )
NEW met2 ( 991070 1027820 ) M2M3_PR_M
NEW met1 ( 991070 1290470 ) M1M2_PR
NEW met1 ( 2900990 1290470 ) M1M2_PR
NEW met2 ( 2900990 1294380 ) M2M3_PR_M ;
- io_out[7] ( PIN io_out[7] ) ( TMR_ALU OUT[5] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 1174020 0 ) ( * 1176740 )
NEW met3 ( 1249820 1176740 ) ( 1260170 * )
NEW met2 ( 2900070 1559410 ) ( * 1560260 )
NEW met3 ( 2900070 1560260 ) ( 2917780 * 0 )
NEW met2 ( 1260170 1176740 ) ( * 1559410 )
NEW met1 ( 1260170 1559410 ) ( 2900070 * )
NEW met2 ( 1260170 1176740 ) M2M3_PR_M
NEW met1 ( 1260170 1559410 ) M1M2_PR
NEW met1 ( 2900070 1559410 ) M1M2_PR
NEW met2 ( 2900070 1560260 ) M2M3_PR_M ;
- io_out[8] ( PIN io_out[8] ) ( TMR_ALU OUT[6] ) + USE SIGNAL
+ ROUTED met2 ( 2898230 1821890 ) ( * 1825460 )
NEW met3 ( 2898230 1825460 ) ( 2917780 * 0 )
NEW met2 ( 997970 1003340 ) ( 1000270 * 0 )
NEW met2 ( 997970 1003340 ) ( * 1048800 )
NEW met2 ( 994290 1048800 ) ( 997970 * )
NEW met2 ( 994290 1048800 ) ( * 1821890 )
NEW met1 ( 994290 1821890 ) ( 2898230 * )
NEW met1 ( 994290 1821890 ) M1M2_PR
NEW met1 ( 2898230 1821890 ) M1M2_PR
NEW met2 ( 2898230 1825460 ) M2M3_PR_M ;
- io_out[9] ( PIN io_out[9] ) ( TMR_ALU OUT[7] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 1055020 0 ) ( * 1055700 )
NEW met3 ( 1249820 1055700 ) ( 1259710 * )
NEW met2 ( 1259710 1055700 ) ( * 2090830 )
NEW met2 ( 2900990 2090830 ) ( * 2091340 )
NEW met3 ( 2900990 2091340 ) ( 2917780 * 0 )
NEW met1 ( 1259710 2090830 ) ( 2900990 * )
NEW met2 ( 1259710 1055700 ) M2M3_PR_M
NEW met1 ( 1259710 2090830 ) M1M2_PR
NEW met1 ( 2900990 2090830 ) M1M2_PR
NEW met2 ( 2900990 2091340 ) M2M3_PR_M ;
- la_data_in[0] ( PIN la_data_in[0] ) + USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) + USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) + USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) + USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) + USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) + USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) + USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) + USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) + USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) + USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) + USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) + USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) + USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) + USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) + USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) + USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) + USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) + USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) + USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) + USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) + USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) + USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) + USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) + USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) + USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) + USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) + USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) + USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) + USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) + USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) + USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) + USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) + USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) + USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) + USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) + USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) + USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) + USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) + USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) + USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) + USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) + USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) + USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) + USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) + USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) + USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) + USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) + USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) + USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) + USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] ) + USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) + USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) + USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) + USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) + USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) + USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) + USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) + USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) + USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) + USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) + USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) + USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) + USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) + USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) + USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) + USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) + USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) + USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) + USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) + USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) + USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) + USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) + USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) + USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) + USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) + USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) + USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) + USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) + USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) + USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) + USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) + USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) + USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) + USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) + USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) + USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) + USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) + USE SIGNAL ;
- la_data_out[0] ( PIN la_data_out[0] ) + USE SIGNAL ;
- la_data_out[100] ( PIN la_data_out[100] ) + USE SIGNAL ;
- la_data_out[101] ( PIN la_data_out[101] ) + USE SIGNAL ;
- la_data_out[102] ( PIN la_data_out[102] ) + USE SIGNAL ;
- la_data_out[103] ( PIN la_data_out[103] ) + USE SIGNAL ;
- la_data_out[104] ( PIN la_data_out[104] ) + USE SIGNAL ;
- la_data_out[105] ( PIN la_data_out[105] ) + USE SIGNAL ;
- la_data_out[106] ( PIN la_data_out[106] ) + USE SIGNAL ;
- la_data_out[107] ( PIN la_data_out[107] ) + USE SIGNAL ;
- la_data_out[108] ( PIN la_data_out[108] ) + USE SIGNAL ;
- la_data_out[109] ( PIN la_data_out[109] ) + USE SIGNAL ;
- la_data_out[10] ( PIN la_data_out[10] ) + USE SIGNAL ;
- la_data_out[110] ( PIN la_data_out[110] ) + USE SIGNAL ;
- la_data_out[111] ( PIN la_data_out[111] ) + USE SIGNAL ;
- la_data_out[112] ( PIN la_data_out[112] ) + USE SIGNAL ;
- la_data_out[113] ( PIN la_data_out[113] ) + USE SIGNAL ;
- la_data_out[114] ( PIN la_data_out[114] ) + USE SIGNAL ;
- la_data_out[115] ( PIN la_data_out[115] ) + USE SIGNAL ;
- la_data_out[116] ( PIN la_data_out[116] ) + USE SIGNAL ;
- la_data_out[117] ( PIN la_data_out[117] ) + USE SIGNAL ;
- la_data_out[118] ( PIN la_data_out[118] ) + USE SIGNAL ;
- la_data_out[119] ( PIN la_data_out[119] ) + USE SIGNAL ;
- la_data_out[11] ( PIN la_data_out[11] ) + USE SIGNAL ;
- la_data_out[120] ( PIN la_data_out[120] ) + USE SIGNAL ;
- la_data_out[121] ( PIN la_data_out[121] ) + USE SIGNAL ;
- la_data_out[122] ( PIN la_data_out[122] ) + USE SIGNAL ;
- la_data_out[123] ( PIN la_data_out[123] ) + USE SIGNAL ;
- la_data_out[124] ( PIN la_data_out[124] ) + USE SIGNAL ;
- la_data_out[125] ( PIN la_data_out[125] ) + USE SIGNAL ;
- la_data_out[126] ( PIN la_data_out[126] ) + USE SIGNAL ;
- la_data_out[127] ( PIN la_data_out[127] ) + USE SIGNAL ;
- la_data_out[12] ( PIN la_data_out[12] ) + USE SIGNAL ;
- la_data_out[13] ( PIN la_data_out[13] ) + USE SIGNAL ;
- la_data_out[14] ( PIN la_data_out[14] ) + USE SIGNAL ;
- la_data_out[15] ( PIN la_data_out[15] ) + USE SIGNAL ;
- la_data_out[16] ( PIN la_data_out[16] ) + USE SIGNAL ;
- la_data_out[17] ( PIN la_data_out[17] ) + USE SIGNAL ;
- la_data_out[18] ( PIN la_data_out[18] ) + USE SIGNAL ;
- la_data_out[19] ( PIN la_data_out[19] ) + USE SIGNAL ;
- la_data_out[1] ( PIN la_data_out[1] ) + USE SIGNAL ;
- la_data_out[20] ( PIN la_data_out[20] ) + USE SIGNAL ;
- la_data_out[21] ( PIN la_data_out[21] ) + USE SIGNAL ;
- la_data_out[22] ( PIN la_data_out[22] ) + USE SIGNAL ;
- la_data_out[23] ( PIN la_data_out[23] ) + USE SIGNAL ;
- la_data_out[24] ( PIN la_data_out[24] ) + USE SIGNAL ;
- la_data_out[25] ( PIN la_data_out[25] ) + USE SIGNAL ;
- la_data_out[26] ( PIN la_data_out[26] ) + USE SIGNAL ;
- la_data_out[27] ( PIN la_data_out[27] ) + USE SIGNAL ;
- la_data_out[28] ( PIN la_data_out[28] ) + USE SIGNAL ;
- la_data_out[29] ( PIN la_data_out[29] ) + USE SIGNAL ;
- la_data_out[2] ( PIN la_data_out[2] ) + USE SIGNAL ;
- la_data_out[30] ( PIN la_data_out[30] ) + USE SIGNAL ;
- la_data_out[31] ( PIN la_data_out[31] ) + USE SIGNAL ;
- la_data_out[32] ( PIN la_data_out[32] ) + USE SIGNAL ;
- la_data_out[33] ( PIN la_data_out[33] ) + USE SIGNAL ;
- la_data_out[34] ( PIN la_data_out[34] ) + USE SIGNAL ;
- la_data_out[35] ( PIN la_data_out[35] ) + USE SIGNAL ;
- la_data_out[36] ( PIN la_data_out[36] ) + USE SIGNAL ;
- la_data_out[37] ( PIN la_data_out[37] ) + USE SIGNAL ;
- la_data_out[38] ( PIN la_data_out[38] ) + USE SIGNAL ;
- la_data_out[39] ( PIN la_data_out[39] ) + USE SIGNAL ;
- la_data_out[3] ( PIN la_data_out[3] ) + USE SIGNAL ;
- la_data_out[40] ( PIN la_data_out[40] ) + USE SIGNAL ;
- la_data_out[41] ( PIN la_data_out[41] ) + USE SIGNAL ;
- la_data_out[42] ( PIN la_data_out[42] ) + USE SIGNAL ;
- la_data_out[43] ( PIN la_data_out[43] ) + USE SIGNAL ;
- la_data_out[44] ( PIN la_data_out[44] ) + USE SIGNAL ;
- la_data_out[45] ( PIN la_data_out[45] ) + USE SIGNAL ;
- la_data_out[46] ( PIN la_data_out[46] ) + USE SIGNAL ;
- la_data_out[47] ( PIN la_data_out[47] ) + USE SIGNAL ;
- la_data_out[48] ( PIN la_data_out[48] ) + USE SIGNAL ;
- la_data_out[49] ( PIN la_data_out[49] ) + USE SIGNAL ;
- la_data_out[4] ( PIN la_data_out[4] ) + USE SIGNAL ;
- la_data_out[50] ( PIN la_data_out[50] ) + USE SIGNAL ;
- la_data_out[51] ( PIN la_data_out[51] ) + USE SIGNAL ;
- la_data_out[52] ( PIN la_data_out[52] ) + USE SIGNAL ;
- la_data_out[53] ( PIN la_data_out[53] ) + USE SIGNAL ;
- la_data_out[54] ( PIN la_data_out[54] ) + USE SIGNAL ;
- la_data_out[55] ( PIN la_data_out[55] ) + USE SIGNAL ;
- la_data_out[56] ( PIN la_data_out[56] ) + USE SIGNAL ;
- la_data_out[57] ( PIN la_data_out[57] ) + USE SIGNAL ;
- la_data_out[58] ( PIN la_data_out[58] ) + USE SIGNAL ;
- la_data_out[59] ( PIN la_data_out[59] ) + USE SIGNAL ;
- la_data_out[5] ( PIN la_data_out[5] ) + USE SIGNAL ;
- la_data_out[60] ( PIN la_data_out[60] ) + USE SIGNAL ;
- la_data_out[61] ( PIN la_data_out[61] ) + USE SIGNAL ;
- la_data_out[62] ( PIN la_data_out[62] ) + USE SIGNAL ;
- la_data_out[63] ( PIN la_data_out[63] ) + USE SIGNAL ;
- la_data_out[64] ( PIN la_data_out[64] ) + USE SIGNAL ;
- la_data_out[65] ( PIN la_data_out[65] ) + USE SIGNAL ;
- la_data_out[66] ( PIN la_data_out[66] ) + USE SIGNAL ;
- la_data_out[67] ( PIN la_data_out[67] ) + USE SIGNAL ;
- la_data_out[68] ( PIN la_data_out[68] ) + USE SIGNAL ;
- la_data_out[69] ( PIN la_data_out[69] ) + USE SIGNAL ;
- la_data_out[6] ( PIN la_data_out[6] ) + USE SIGNAL ;
- la_data_out[70] ( PIN la_data_out[70] ) + USE SIGNAL ;
- la_data_out[71] ( PIN la_data_out[71] ) + USE SIGNAL ;
- la_data_out[72] ( PIN la_data_out[72] ) + USE SIGNAL ;
- la_data_out[73] ( PIN la_data_out[73] ) + USE SIGNAL ;
- la_data_out[74] ( PIN la_data_out[74] ) + USE SIGNAL ;
- la_data_out[75] ( PIN la_data_out[75] ) + USE SIGNAL ;
- la_data_out[76] ( PIN la_data_out[76] ) + USE SIGNAL ;
- la_data_out[77] ( PIN la_data_out[77] ) + USE SIGNAL ;
- la_data_out[78] ( PIN la_data_out[78] ) + USE SIGNAL ;
- la_data_out[79] ( PIN la_data_out[79] ) + USE SIGNAL ;
- la_data_out[7] ( PIN la_data_out[7] ) + USE SIGNAL ;
- la_data_out[80] ( PIN la_data_out[80] ) + USE SIGNAL ;
- la_data_out[81] ( PIN la_data_out[81] ) + USE SIGNAL ;
- la_data_out[82] ( PIN la_data_out[82] ) + USE SIGNAL ;
- la_data_out[83] ( PIN la_data_out[83] ) + USE SIGNAL ;
- la_data_out[84] ( PIN la_data_out[84] ) + USE SIGNAL ;
- la_data_out[85] ( PIN la_data_out[85] ) + USE SIGNAL ;
- la_data_out[86] ( PIN la_data_out[86] ) + USE SIGNAL ;
- la_data_out[87] ( PIN la_data_out[87] ) + USE SIGNAL ;
- la_data_out[88] ( PIN la_data_out[88] ) + USE SIGNAL ;
- la_data_out[89] ( PIN la_data_out[89] ) + USE SIGNAL ;
- la_data_out[8] ( PIN la_data_out[8] ) + USE SIGNAL ;
- la_data_out[90] ( PIN la_data_out[90] ) + USE SIGNAL ;
- la_data_out[91] ( PIN la_data_out[91] ) + USE SIGNAL ;
- la_data_out[92] ( PIN la_data_out[92] ) + USE SIGNAL ;
- la_data_out[93] ( PIN la_data_out[93] ) + USE SIGNAL ;
- la_data_out[94] ( PIN la_data_out[94] ) + USE SIGNAL ;
- la_data_out[95] ( PIN la_data_out[95] ) + USE SIGNAL ;
- la_data_out[96] ( PIN la_data_out[96] ) + USE SIGNAL ;
- la_data_out[97] ( PIN la_data_out[97] ) + USE SIGNAL ;
- la_data_out[98] ( PIN la_data_out[98] ) + USE SIGNAL ;
- la_data_out[99] ( PIN la_data_out[99] ) + USE SIGNAL ;
- la_data_out[9] ( PIN la_data_out[9] ) + USE SIGNAL ;
- la_oenb[0] ( PIN la_oenb[0] ) + USE SIGNAL ;
- la_oenb[100] ( PIN la_oenb[100] ) + USE SIGNAL ;
- la_oenb[101] ( PIN la_oenb[101] ) + USE SIGNAL ;
- la_oenb[102] ( PIN la_oenb[102] ) + USE SIGNAL ;
- la_oenb[103] ( PIN la_oenb[103] ) + USE SIGNAL ;
- la_oenb[104] ( PIN la_oenb[104] ) + USE SIGNAL ;
- la_oenb[105] ( PIN la_oenb[105] ) + USE SIGNAL ;
- la_oenb[106] ( PIN la_oenb[106] ) + USE SIGNAL ;
- la_oenb[107] ( PIN la_oenb[107] ) + USE SIGNAL ;
- la_oenb[108] ( PIN la_oenb[108] ) + USE SIGNAL ;
- la_oenb[109] ( PIN la_oenb[109] ) + USE SIGNAL ;
- la_oenb[10] ( PIN la_oenb[10] ) + USE SIGNAL ;
- la_oenb[110] ( PIN la_oenb[110] ) + USE SIGNAL ;
- la_oenb[111] ( PIN la_oenb[111] ) + USE SIGNAL ;
- la_oenb[112] ( PIN la_oenb[112] ) + USE SIGNAL ;
- la_oenb[113] ( PIN la_oenb[113] ) + USE SIGNAL ;
- la_oenb[114] ( PIN la_oenb[114] ) + USE SIGNAL ;
- la_oenb[115] ( PIN la_oenb[115] ) + USE SIGNAL ;
- la_oenb[116] ( PIN la_oenb[116] ) + USE SIGNAL ;
- la_oenb[117] ( PIN la_oenb[117] ) + USE SIGNAL ;
- la_oenb[118] ( PIN la_oenb[118] ) + USE SIGNAL ;
- la_oenb[119] ( PIN la_oenb[119] ) + USE SIGNAL ;
- la_oenb[11] ( PIN la_oenb[11] ) + USE SIGNAL ;
- la_oenb[120] ( PIN la_oenb[120] ) + USE SIGNAL ;
- la_oenb[121] ( PIN la_oenb[121] ) + USE SIGNAL ;
- la_oenb[122] ( PIN la_oenb[122] ) + USE SIGNAL ;
- la_oenb[123] ( PIN la_oenb[123] ) + USE SIGNAL ;
- la_oenb[124] ( PIN la_oenb[124] ) + USE SIGNAL ;
- la_oenb[125] ( PIN la_oenb[125] ) + USE SIGNAL ;
- la_oenb[126] ( PIN la_oenb[126] ) + USE SIGNAL ;
- la_oenb[127] ( PIN la_oenb[127] ) + USE SIGNAL ;
- la_oenb[12] ( PIN la_oenb[12] ) + USE SIGNAL ;
- la_oenb[13] ( PIN la_oenb[13] ) + USE SIGNAL ;
- la_oenb[14] ( PIN la_oenb[14] ) + USE SIGNAL ;
- la_oenb[15] ( PIN la_oenb[15] ) + USE SIGNAL ;
- la_oenb[16] ( PIN la_oenb[16] ) + USE SIGNAL ;
- la_oenb[17] ( PIN la_oenb[17] ) + USE SIGNAL ;
- la_oenb[18] ( PIN la_oenb[18] ) + USE SIGNAL ;
- la_oenb[19] ( PIN la_oenb[19] ) + USE SIGNAL ;
- la_oenb[1] ( PIN la_oenb[1] ) + USE SIGNAL ;
- la_oenb[20] ( PIN la_oenb[20] ) + USE SIGNAL ;
- la_oenb[21] ( PIN la_oenb[21] ) + USE SIGNAL ;
- la_oenb[22] ( PIN la_oenb[22] ) + USE SIGNAL ;
- la_oenb[23] ( PIN la_oenb[23] ) + USE SIGNAL ;
- la_oenb[24] ( PIN la_oenb[24] ) + USE SIGNAL ;
- la_oenb[25] ( PIN la_oenb[25] ) + USE SIGNAL ;
- la_oenb[26] ( PIN la_oenb[26] ) + USE SIGNAL ;
- la_oenb[27] ( PIN la_oenb[27] ) + USE SIGNAL ;
- la_oenb[28] ( PIN la_oenb[28] ) + USE SIGNAL ;
- la_oenb[29] ( PIN la_oenb[29] ) + USE SIGNAL ;
- la_oenb[2] ( PIN la_oenb[2] ) + USE SIGNAL ;
- la_oenb[30] ( PIN la_oenb[30] ) + USE SIGNAL ;
- la_oenb[31] ( PIN la_oenb[31] ) + USE SIGNAL ;
- la_oenb[32] ( PIN la_oenb[32] ) + USE SIGNAL ;
- la_oenb[33] ( PIN la_oenb[33] ) + USE SIGNAL ;
- la_oenb[34] ( PIN la_oenb[34] ) + USE SIGNAL ;
- la_oenb[35] ( PIN la_oenb[35] ) + USE SIGNAL ;
- la_oenb[36] ( PIN la_oenb[36] ) + USE SIGNAL ;
- la_oenb[37] ( PIN la_oenb[37] ) + USE SIGNAL ;
- la_oenb[38] ( PIN la_oenb[38] ) + USE SIGNAL ;
- la_oenb[39] ( PIN la_oenb[39] ) + USE SIGNAL ;
- la_oenb[3] ( PIN la_oenb[3] ) + USE SIGNAL ;
- la_oenb[40] ( PIN la_oenb[40] ) + USE SIGNAL ;
- la_oenb[41] ( PIN la_oenb[41] ) + USE SIGNAL ;
- la_oenb[42] ( PIN la_oenb[42] ) + USE SIGNAL ;
- la_oenb[43] ( PIN la_oenb[43] ) + USE SIGNAL ;
- la_oenb[44] ( PIN la_oenb[44] ) + USE SIGNAL ;
- la_oenb[45] ( PIN la_oenb[45] ) + USE SIGNAL ;
- la_oenb[46] ( PIN la_oenb[46] ) + USE SIGNAL ;
- la_oenb[47] ( PIN la_oenb[47] ) + USE SIGNAL ;
- la_oenb[48] ( PIN la_oenb[48] ) + USE SIGNAL ;
- la_oenb[49] ( PIN la_oenb[49] ) + USE SIGNAL ;
- la_oenb[4] ( PIN la_oenb[4] ) + USE SIGNAL ;
- la_oenb[50] ( PIN la_oenb[50] ) + USE SIGNAL ;
- la_oenb[51] ( PIN la_oenb[51] ) + USE SIGNAL ;
- la_oenb[52] ( PIN la_oenb[52] ) + USE SIGNAL ;
- la_oenb[53] ( PIN la_oenb[53] ) + USE SIGNAL ;
- la_oenb[54] ( PIN la_oenb[54] ) + USE SIGNAL ;
- la_oenb[55] ( PIN la_oenb[55] ) + USE SIGNAL ;
- la_oenb[56] ( PIN la_oenb[56] ) + USE SIGNAL ;
- la_oenb[57] ( PIN la_oenb[57] ) + USE SIGNAL ;
- la_oenb[58] ( PIN la_oenb[58] ) + USE SIGNAL ;
- la_oenb[59] ( PIN la_oenb[59] ) + USE SIGNAL ;
- la_oenb[5] ( PIN la_oenb[5] ) + USE SIGNAL ;
- la_oenb[60] ( PIN la_oenb[60] ) + USE SIGNAL ;
- la_oenb[61] ( PIN la_oenb[61] ) + USE SIGNAL ;
- la_oenb[62] ( PIN la_oenb[62] ) + USE SIGNAL ;
- la_oenb[63] ( PIN la_oenb[63] ) + USE SIGNAL ;
- la_oenb[64] ( PIN la_oenb[64] ) + USE SIGNAL ;
- la_oenb[65] ( PIN la_oenb[65] ) + USE SIGNAL ;
- la_oenb[66] ( PIN la_oenb[66] ) + USE SIGNAL ;
- la_oenb[67] ( PIN la_oenb[67] ) + USE SIGNAL ;
- la_oenb[68] ( PIN la_oenb[68] ) + USE SIGNAL ;
- la_oenb[69] ( PIN la_oenb[69] ) + USE SIGNAL ;
- la_oenb[6] ( PIN la_oenb[6] ) + USE SIGNAL ;
- la_oenb[70] ( PIN la_oenb[70] ) + USE SIGNAL ;
- la_oenb[71] ( PIN la_oenb[71] ) + USE SIGNAL ;
- la_oenb[72] ( PIN la_oenb[72] ) + USE SIGNAL ;
- la_oenb[73] ( PIN la_oenb[73] ) + USE SIGNAL ;
- la_oenb[74] ( PIN la_oenb[74] ) + USE SIGNAL ;
- la_oenb[75] ( PIN la_oenb[75] ) + USE SIGNAL ;
- la_oenb[76] ( PIN la_oenb[76] ) + USE SIGNAL ;
- la_oenb[77] ( PIN la_oenb[77] ) + USE SIGNAL ;
- la_oenb[78] ( PIN la_oenb[78] ) + USE SIGNAL ;
- la_oenb[79] ( PIN la_oenb[79] ) + USE SIGNAL ;
- la_oenb[7] ( PIN la_oenb[7] ) + USE SIGNAL ;
- la_oenb[80] ( PIN la_oenb[80] ) + USE SIGNAL ;
- la_oenb[81] ( PIN la_oenb[81] ) + USE SIGNAL ;
- la_oenb[82] ( PIN la_oenb[82] ) + USE SIGNAL ;
- la_oenb[83] ( PIN la_oenb[83] ) + USE SIGNAL ;
- la_oenb[84] ( PIN la_oenb[84] ) + USE SIGNAL ;
- la_oenb[85] ( PIN la_oenb[85] ) + USE SIGNAL ;
- la_oenb[86] ( PIN la_oenb[86] ) + USE SIGNAL ;
- la_oenb[87] ( PIN la_oenb[87] ) + USE SIGNAL ;
- la_oenb[88] ( PIN la_oenb[88] ) + USE SIGNAL ;
- la_oenb[89] ( PIN la_oenb[89] ) + USE SIGNAL ;
- la_oenb[8] ( PIN la_oenb[8] ) + USE SIGNAL ;
- la_oenb[90] ( PIN la_oenb[90] ) + USE SIGNAL ;
- la_oenb[91] ( PIN la_oenb[91] ) + USE SIGNAL ;
- la_oenb[92] ( PIN la_oenb[92] ) + USE SIGNAL ;
- la_oenb[93] ( PIN la_oenb[93] ) + USE SIGNAL ;
- la_oenb[94] ( PIN la_oenb[94] ) + USE SIGNAL ;
- la_oenb[95] ( PIN la_oenb[95] ) + USE SIGNAL ;
- la_oenb[96] ( PIN la_oenb[96] ) + USE SIGNAL ;
- la_oenb[97] ( PIN la_oenb[97] ) + USE SIGNAL ;
- la_oenb[98] ( PIN la_oenb[98] ) + USE SIGNAL ;
- la_oenb[99] ( PIN la_oenb[99] ) + USE SIGNAL ;
- la_oenb[9] ( PIN la_oenb[9] ) + USE SIGNAL ;
- user_clock2 ( PIN user_clock2 ) ( TMR_ALU CLK ) + USE CLOCK
+ ROUTED met2 ( 2899150 2380 0 ) ( * 17170 )
NEW met1 ( 1221070 17170 ) ( 2899150 * )
NEW met2 ( 1219230 1000620 0 ) ( 1221070 * )
NEW met2 ( 1221070 17170 ) ( * 1000620 )
NEW met1 ( 2899150 17170 ) M1M2_PR
NEW met1 ( 1221070 17170 ) M1M2_PR ;
- user_irq[0] ( PIN user_irq[0] ) + USE SIGNAL ;
- user_irq[1] ( PIN user_irq[1] ) + USE SIGNAL ;
- user_irq[2] ( PIN user_irq[2] ) + USE SIGNAL ;
- wb_clk_i ( PIN wb_clk_i ) + USE SIGNAL ;
- wb_rst_i ( PIN wb_rst_i ) ( TMR_ALU RST ) + USE SIGNAL
+ ROUTED met2 ( 8510 2380 0 ) ( * 17510 )
NEW met1 ( 8510 17510 ) ( 13570 * )
NEW met2 ( 1000270 1261060 0 ) ( * 1269730 )
NEW met2 ( 13570 17510 ) ( * 1269730 )
NEW met1 ( 13570 1269730 ) ( 1000270 * )
NEW met1 ( 8510 17510 ) M1M2_PR
NEW met1 ( 13570 17510 ) M1M2_PR
NEW met1 ( 13570 1269730 ) M1M2_PR
NEW met1 ( 1000270 1269730 ) M1M2_PR ;
- wbs_ack_o ( PIN wbs_ack_o ) + USE SIGNAL ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) + USE SIGNAL ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) + USE SIGNAL ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) + USE SIGNAL ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) + USE SIGNAL ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) + USE SIGNAL ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) + USE SIGNAL ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) + USE SIGNAL ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) + USE SIGNAL ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) + USE SIGNAL ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) + USE SIGNAL ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) + USE SIGNAL ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) + USE SIGNAL ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) + USE SIGNAL ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) + USE SIGNAL ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) + USE SIGNAL ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) + USE SIGNAL ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) + USE SIGNAL ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) + USE SIGNAL ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) + USE SIGNAL ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) + USE SIGNAL ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) + USE SIGNAL ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) + USE SIGNAL ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) + USE SIGNAL ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) + USE SIGNAL ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) + USE SIGNAL ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) + USE SIGNAL ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) + USE SIGNAL ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) + USE SIGNAL ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) + USE SIGNAL ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) + USE SIGNAL ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) + USE SIGNAL ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) + USE SIGNAL ;
- wbs_cyc_i ( PIN wbs_cyc_i ) + USE SIGNAL ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) + USE SIGNAL ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) + USE SIGNAL ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) + USE SIGNAL ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) + USE SIGNAL ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) + USE SIGNAL ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) + USE SIGNAL ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) + USE SIGNAL ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) + USE SIGNAL ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) + USE SIGNAL ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) + USE SIGNAL ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) + USE SIGNAL ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) + USE SIGNAL ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) + USE SIGNAL ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) + USE SIGNAL ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) + USE SIGNAL ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) + USE SIGNAL ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) + USE SIGNAL ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) + USE SIGNAL ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) + USE SIGNAL ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) + USE SIGNAL ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) + USE SIGNAL ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) + USE SIGNAL ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) + USE SIGNAL ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) + USE SIGNAL ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) + USE SIGNAL ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) + USE SIGNAL ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) + USE SIGNAL ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) + USE SIGNAL ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) + USE SIGNAL ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) + USE SIGNAL ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) + USE SIGNAL ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) + USE SIGNAL ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) + USE SIGNAL ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) + USE SIGNAL ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) + USE SIGNAL ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) + USE SIGNAL ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) + USE SIGNAL ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) + USE SIGNAL ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) + USE SIGNAL ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) + USE SIGNAL ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) + USE SIGNAL ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) + USE SIGNAL ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) + USE SIGNAL ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) + USE SIGNAL ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) + USE SIGNAL ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) + USE SIGNAL ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) + USE SIGNAL ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) + USE SIGNAL ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) + USE SIGNAL ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) + USE SIGNAL ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) + USE SIGNAL ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) + USE SIGNAL ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) + USE SIGNAL ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) + USE SIGNAL ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) + USE SIGNAL ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) + USE SIGNAL ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) + USE SIGNAL ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) + USE SIGNAL ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) + USE SIGNAL ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) + USE SIGNAL ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) + USE SIGNAL ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) + USE SIGNAL ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) + USE SIGNAL ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) + USE SIGNAL ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) + USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) + USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) + USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) + USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i ) + USE SIGNAL ;
- wbs_we_i ( PIN wbs_we_i ) + USE SIGNAL ;
END NETS
END DESIGN