Added synthesis and implementation results
diff --git a/README.md b/README.md
index 0e5c780..6849f69 100644
--- a/README.md
+++ b/README.md
@@ -29,6 +29,13 @@
 -Pre Encoder unit identifies initial state of the encoder.
 -Encoder Core produces outputs according to the state and the inputs.
 
+#### Synthesis Report
+![alt text](docs/synt_encoder.png)
+
+#### Implementation Report
+![alt text](docs/imp_encoder.png)
+
+
 #### Throughput
 ![equation](http://www.sciweavers.org/tex2img.php?eq=%5Cfrac%7B2%2AN%7D%7B3%2AN%2B10%7D%20%2A%20f&bc=White&fc=Black&im=jpg&fs=12&ff=arev&edit=0)
 
diff --git a/docs/imp_encoder.png b/docs/imp_encoder.png
new file mode 100644
index 0000000..2bf5bb9
--- /dev/null
+++ b/docs/imp_encoder.png
Binary files differ
diff --git a/docs/synt_encoder.png b/docs/synt_encoder.png
new file mode 100644
index 0000000..1a96099
--- /dev/null
+++ b/docs/synt_encoder.png
Binary files differ