updated more references
diff --git a/xschem/sar/comparator/trim.sch b/xschem/sar/comparator/trim.sch
index e6d4baa..e6ea0e9 100644
--- a/xschem/sar/comparator/trim.sch
+++ b/xschem/sar/comparator/trim.sch
@@ -4,50 +4,47 @@
 V {}
 S {}
 E {}
-N 440 -630 440 -590 { lab=n4}
-N 440 -560 470 -560 { lab=vss}
-N 440 -710 440 -690 { lab=drain}
-N 360 -560 400 -560 { lab=d[4]}
-N 440 -530 440 -500 { lab=vss}
-N 630 -630 630 -590 { lab=n3}
-N 630 -560 660 -560 { lab=vss}
-N 630 -710 630 -690 { lab=drain}
-N 550 -560 590 -560 { lab=d[3]}
-N 630 -530 630 -500 { lab=vss}
-N 820 -500 1010 -500 { lab=vss}
-N 820 -630 820 -590 { lab=n2}
-N 820 -560 850 -560 { lab=vss}
-N 820 -710 820 -690 { lab=drain}
-N 740 -560 780 -560 { lab=d[2]}
-N 820 -530 820 -500 { lab=vss}
-N 630 -500 820 -500 { lab=vss}
-N 440 -500 630 -500 { lab=vss}
-N 1010 -500 1200 -500 { lab=vss}
-N 1010 -630 1010 -590 { lab=n1}
-N 1010 -710 1010 -690 { lab=drain}
-N 930 -560 970 -560 { lab=d[1]}
-N 1010 -530 1010 -500 { lab=vss}
-N 1200 -630 1200 -590 { lab=n0}
-N 1200 -560 1230 -560 { lab=vss}
-N 1200 -710 1200 -690 { lab=drain}
-N 1120 -560 1160 -560 { lab=d[0]}
-N 1200 -530 1200 -500 { lab=vss}
-N 1230 -560 1230 -500 { lab=vss}
-N 1200 -500 1230 -500 { lab=vss}
-N 1040 -560 1040 -500 { lab=vss}
-N 850 -560 850 -500 { lab=vss}
-N 660 -560 660 -500 { lab=vss}
-N 470 -560 470 -500 { lab=vss}
-N 440 -710 1310 -710 { lab=drain}
-N 1010 -560 1040 -560 { lab=vss}
-N 310 -500 440 -500 { lab=vss}
-C {devices/lab_wire.sym} 400 -560 0 0 {name=l34 sig_type=std_logic lab=d[4]
-}
+N 440 -630 440 -590 {lab=n4}
+N 440 -560 470 -560 {lab=vss}
+N 440 -710 440 -690 {lab=drain}
+N 360 -560 400 -560 {lab=d[4]}
+N 440 -530 440 -500 {lab=vss}
+N 630 -630 630 -590 {lab=n3}
+N 630 -560 660 -560 {lab=vss}
+N 630 -710 630 -690 {lab=drain}
+N 550 -560 590 -560 {lab=d[3]}
+N 630 -530 630 -500 {lab=vss}
+N 820 -500 1010 -500 {lab=vss}
+N 820 -630 820 -590 {lab=n2}
+N 820 -560 850 -560 {lab=vss}
+N 820 -710 820 -690 {lab=drain}
+N 740 -560 780 -560 {lab=d[2]}
+N 820 -530 820 -500 {lab=vss}
+N 630 -500 820 -500 {lab=vss}
+N 440 -500 630 -500 {lab=vss}
+N 1010 -500 1200 -500 {lab=vss}
+N 1010 -630 1010 -590 {lab=n1}
+N 1010 -710 1010 -690 {lab=drain}
+N 930 -560 970 -560 {lab=d[1]}
+N 1010 -530 1010 -500 {lab=vss}
+N 1200 -630 1200 -590 {lab=n0}
+N 1200 -560 1230 -560 {lab=vss}
+N 1200 -710 1200 -690 {lab=drain}
+N 1120 -560 1160 -560 {lab=d[0]}
+N 1200 -530 1200 -500 {lab=vss}
+N 1230 -560 1230 -500 {lab=vss}
+N 1200 -500 1230 -500 {lab=vss}
+N 1040 -560 1040 -500 {lab=vss}
+N 850 -560 850 -500 {lab=vss}
+N 660 -560 660 -500 {lab=vss}
+N 470 -560 470 -500 {lab=vss}
+N 440 -710 1310 -710 {lab=drain}
+N 1010 -560 1040 -560 {lab=vss}
+N 310 -500 440 -500 {lab=vss}
+C {devices/lab_wire.sym} 400 -560 0 0 {name=l34 sig_type=std_logic lab=d[4]}
 C {devices/iopin.sym} 310 -500 2 0 {name=p5 lab=vss}
-C {devices/ipin.sym} 310 -560 0 0 {name=p8 lab=d[4:0]
-}
-C {xschem_library/devices/opin.sym} 1310 -710 0 0 {name=p1 lab=drain
-}
+C {devices/ipin.sym} 310 -560 0 0 {name=p8 lab=d[4:0]}
+C {devices/opin.sym} 1310 -710 0 0 {name=p1 lab=drain}
 C {sky130_primitives/nfet_01v8_lvt.sym} 420 -560 0 0 {name=M4[7:0]
 L=0.3
 W=1
@@ -60,10 +57,8 @@
 nrd="'0.29 / W'" nrs="'0.29 / W'"
 sa=0 sb=0 sd=0
 model=nfet_01v8_lvt
-spiceprefix=X
-}
-C {devices/lab_wire.sym} 590 -560 0 0 {name=l1 sig_type=std_logic lab=d[3]
-}
+spiceprefix=X}
+C {devices/lab_wire.sym} 590 -560 0 0 {name=l1 sig_type=std_logic lab=d[3]}
 C {sky130_primitives/nfet_01v8_lvt.sym} 610 -560 0 0 {name=M3[3:0]
 L=0.3
 W=1
@@ -76,10 +71,8 @@
 nrd="'0.29 / W'" nrs="'0.29 / W'"
 sa=0 sb=0 sd=0
 model=nfet_01v8_lvt
-spiceprefix=X
-}
-C {devices/lab_wire.sym} 780 -560 0 0 {name=l2 sig_type=std_logic lab=d[2]
-}
+spiceprefix=X}
+C {devices/lab_wire.sym} 780 -560 0 0 {name=l2 sig_type=std_logic lab=d[2]}
 C {sky130_primitives/nfet_01v8_lvt.sym} 800 -560 0 0 {name=M2[1:0]
 L=0.3
 W=1
@@ -92,10 +85,8 @@
 nrd="'0.29 / W'" nrs="'0.29 / W'"
 sa=0 sb=0 sd=0
 model=nfet_01v8_lvt
-spiceprefix=X
-}
-C {devices/lab_wire.sym} 970 -560 0 0 {name=l3 sig_type=std_logic lab=d[1]
-}
+spiceprefix=X}
+C {devices/lab_wire.sym} 970 -560 0 0 {name=l3 sig_type=std_logic lab=d[1]}
 C {sky130_primitives/nfet_01v8_lvt.sym} 990 -560 0 0 {name=M1
 L=0.3
 W=1
@@ -108,10 +99,8 @@
 nrd="'0.29 / W'" nrs="'0.29 / W'"
 sa=0 sb=0 sd=0
 model=nfet_01v8_lvt
-spiceprefix=X
-}
-C {devices/lab_wire.sym} 1160 -560 0 0 {name=l4 sig_type=std_logic lab=d[0]
-}
+spiceprefix=X}
+C {devices/lab_wire.sym} 1160 -560 0 0 {name=l4 sig_type=std_logic lab=d[0]}
 C {sky130_primitives/nfet_01v8_lvt.sym} 1180 -560 0 0 {name=M0
 L=0.3
 W=1
@@ -124,25 +113,14 @@
 nrd="'0.29 / W'" nrs="'0.29 / W'"
 sa=0 sb=0 sd=0
 model=nfet_01v8_lvt
-spiceprefix=X
-}
-C {devices/lab_wire.sym} 440 -600 0 0 {name=l5 sig_type=std_logic lab=n4
-}
-C {devices/lab_wire.sym} 630 -600 0 0 {name=l6 sig_type=std_logic lab=n3
-}
-C {devices/lab_wire.sym} 820 -600 0 0 {name=l7 sig_type=std_logic lab=n2
-}
-C {devices/lab_wire.sym} 1010 -600 0 0 {name=l8 sig_type=std_logic lab=n1
-}
-C {devices/lab_wire.sym} 1200 -600 0 0 {name=l9 sig_type=std_logic lab=n0
-}
-C {sar/comparator/trimcap.sym} 420 -620 0 0 {name=x4[7:0]
-}
-C {sar/comparator/trimcap.sym} 610 -620 0 0 {name=x3[3:0]
-}
-C {sar/comparator/trimcap.sym} 800 -620 0 0 {name=x2[1:0]
-}
-C {sar/comparator/trimcap.sym} 990 -620 0 0 {name=x1
-}
-C {sar/comparator/trimcap.sym} 1180 -620 0 0 {name=x0
-}
+spiceprefix=X}
+C {devices/lab_wire.sym} 440 -600 0 0 {name=l5 sig_type=std_logic lab=n4}
+C {devices/lab_wire.sym} 630 -600 0 0 {name=l6 sig_type=std_logic lab=n3}
+C {devices/lab_wire.sym} 820 -600 0 0 {name=l7 sig_type=std_logic lab=n2}
+C {devices/lab_wire.sym} 1010 -600 0 0 {name=l8 sig_type=std_logic lab=n1}
+C {devices/lab_wire.sym} 1200 -600 0 0 {name=l9 sig_type=std_logic lab=n0}
+C {sar/comparator/trimcap.sym} 420 -620 0 0 {name=x4[7:0]}
+C {sar/comparator/trimcap.sym} 610 -620 0 0 {name=x3[3:0]}
+C {sar/comparator/trimcap.sym} 800 -620 0 0 {name=x2[1:0]}
+C {sar/comparator/trimcap.sym} 990 -620 0 0 {name=x1}
+C {sar/comparator/trimcap.sym} 1180 -620 0 0 {name=x0}
diff --git a/xschem/sar/dac/carray.sch b/xschem/sar/dac/carray.sch
index 64c2f4d..d33da48 100644
--- a/xschem/sar/dac/carray.sch
+++ b/xschem/sar/dac/carray.sch
@@ -4,67 +4,51 @@
 V {}
 S {}
 E {}
-N 1400 -240 1400 -190 { lab=n3}
-N 1620 -240 1620 -190 { lab=n2}
-N 1870 -240 1870 -190 { lab=n1}
-N 2110 -240 2110 -190 { lab=n0}
-N 1400 -340 1400 -300 { lab=top}
-N 1620 -340 1620 -300 { lab=top}
-N 1870 -340 1870 -300 { lab=top}
-N 2110 -340 2110 -300 { lab=top}
-N 2360 -240 2360 -190 { lab=ndum}
-N 2360 -340 2360 -300 { lab=top}
-N 1180 -240 1180 -190 { lab=n4}
-N 1180 -340 1180 -300 { lab=top}
-N 960 -240 960 -190 { lab=n5}
-N 960 -340 960 -300 { lab=top}
-N 740 -240 740 -190 { lab=n6}
-N 740 -340 740 -300 { lab=top}
-N 520 -240 520 -190 { lab=n7}
-N 520 -340 520 -300 { lab=top}
-N 520 -340 2360 -340 { lab=top}
-N 2360 -340 2420 -340 { lab=top}
-N 2620 -320 2620 -290 { lab=top}
-N 2580 -320 2620 -320 { lab=top}
-N 2620 -230 2620 -180 { lab=dum_bot[83:0]}
-N 2620 -180 2620 -150 { lab=dum_bot[83:0]}
-N 2600 -150 2620 -150 { lab=dum_bot[83:0]}
+N 1400 -240 1400 -190 {lab=n3}
+N 1620 -240 1620 -190 {lab=n2}
+N 1870 -240 1870 -190 {lab=n1}
+N 2110 -240 2110 -190 {lab=n0}
+N 1400 -340 1400 -300 {lab=top}
+N 1620 -340 1620 -300 {lab=top}
+N 1870 -340 1870 -300 {lab=top}
+N 2110 -340 2110 -300 {lab=top}
+N 2360 -240 2360 -190 {lab=ndum}
+N 2360 -340 2360 -300 {lab=top}
+N 1180 -240 1180 -190 {lab=n4}
+N 1180 -340 1180 -300 {lab=top}
+N 960 -240 960 -190 {lab=n5}
+N 960 -340 960 -300 {lab=top}
+N 740 -240 740 -190 {lab=n6}
+N 740 -340 740 -300 {lab=top}
+N 520 -240 520 -190 {lab=n7}
+N 520 -340 520 -300 {lab=top}
+N 520 -340 2360 -340 {lab=top}
+N 2360 -340 2420 -340 {lab=top}
+N 2620 -320 2620 -290 {lab=top}
+N 2580 -320 2620 -320 {lab=top}
+N 2620 -230 2620 -180 {lab=dum_bot[83:0]}
+N 2620 -180 2620 -150 {lab=dum_bot[83:0]}
+N 2600 -150 2620 -150 {lab=dum_bot[83:0]}
 C {sar/unitcap/unitcap.sym} 2340 -230 0 0 {name=xcdum}
 C {sar/unitcap/unitcap.sym} 2090 -230 0 0 {name=xc0}
 C {sar/unitcap/unitcap.sym} 1850 -230 0 0 {name=xc1[1:0]}
 C {sar/unitcap/unitcap.sym} 1600 -230 0 0 {name=xc2[3:0]}
-C {sar/unitcap/unitcap.sym} 1380 -230 0 0 {name=xc3[7:0]
-}
+C {sar/unitcap/unitcap.sym} 1380 -230 0 0 {name=xc3[7:0]}
 C {sar/unitcap/unitcap.sym} 1160 -230 0 0 {name=xc4[15:0]}
 C {sar/unitcap/unitcap.sym} 940 -230 0 0 {name=xc5[31:0]}
 C {sar/unitcap/unitcap.sym} 720 -230 0 0 {name=xc6[63:0]}
 C {sar/unitcap/unitcap.sym} 500 -230 0 0 {name=xc7[127:0]}
-C {xschem_library/devices/iopin.sym} 2420 -340 0 0 {name=p1 lab=top
-}
-C {xschem_library/devices/iopin.sym} 520 -190 1 0 {name=p2 lab=n7
-}
-C {xschem_library/devices/iopin.sym} 740 -190 1 0 {name=p4 lab=n6
-}
-C {xschem_library/devices/iopin.sym} 960 -190 1 0 {name=p5 lab=n5
-}
-C {xschem_library/devices/iopin.sym} 1180 -190 1 0 {name=p6 lab=n4
-}
-C {xschem_library/devices/iopin.sym} 1620 -190 1 0 {name=p9 lab=n2
-}
-C {xschem_library/devices/iopin.sym} 2110 -190 1 0 {name=p11 lab=n0
-}
-C {xschem_library/devices/iopin.sym} 2360 -190 1 0 {name=p12 lab=ndum
-}
-C {xschem_library/devices/iopin.sym} 1400 -190 1 0 {name=p7 lab=n3
-}
-C {xschem_library/devices/iopin.sym} 1870 -190 1 0 {name=p8 lab=n1
-}
-C {sar/unitcap/unitcap.sym} 2600 -220 0 0 {name=xdummy[83:0]
-spice_ignore="tcleval($dummy_ignore)"
-}
-C {lab_wire.sym} 2610 -320 0 0 {name=l1 sig_type=std_logic lab=top
-}
-C {lab_wire.sym} 2620 -190 0 0 {name=l2 sig_type=std_logic lab=dum_bot[83:0]
-}
-C {xschem_library/devices/noconn.sym} 2600 -150 0 0 {name=l3[83:0]
-}
+C {devices/iopin.sym} 2420 -340 0 0 {name=p1 lab=top}
+C {devices/iopin.sym} 520 -190 1 0 {name=p2 lab=n7}
+C {devices/iopin.sym} 740 -190 1 0 {name=p4 lab=n6}
+C {devices/iopin.sym} 960 -190 1 0 {name=p5 lab=n5}
+C {devices/iopin.sym} 1180 -190 1 0 {name=p6 lab=n4}
+C {devices/iopin.sym} 1620 -190 1 0 {name=p9 lab=n2}
+C {devices/iopin.sym} 2110 -190 1 0 {name=p11 lab=n0}
+C {devices/iopin.sym} 2360 -190 1 0 {name=p12 lab=ndum}
+C {devices/iopin.sym} 1400 -190 1 0 {name=p7 lab=n3}
+C {devices/iopin.sym} 1870 -190 1 0 {name=p8 lab=n1}
+C {sar/unitcap/unitcap.sym} 2600 -220 0 0 {name=xdummy[83:0] spice_ignore="tcleval($dummy_ignore)"}
+C {devices/lab_wire.sym} 2610 -320 0 0 {name=l1 sig_type=std_logic lab=top}
+C {devices/lab_wire.sym} 2620 -190 0 0 {name=l2 sig_type=std_logic lab=dum_bot[83:0]}
+C {devices/noconn.sym} 2600 -150 0 0 {name=l3[83:0]}
diff --git a/xschem/sar/dac/dac.sch b/xschem/sar/dac/dac.sch
index da65176..9a2df1f 100644
--- a/xschem/sar/dac/dac.sch
+++ b/xschem/sar/dac/dac.sch
@@ -32,8 +32,7 @@
 C {devices/ipin.sym} 260 -505 0 0 {name=p5 lab=sample}
 C {devices/lab_wire.sym} 210 -710 0 0 {name=l24 sig_type=std_logic lab=sample}
 C {devices/opin.sym} 370 -720 0 0 {name=p18 lab=out}
-C {devices/ipin.sym} 260 -470 0 0 {name=p10 lab=ctl[7:0]
-}
+C {devices/ipin.sym} 260 -470 0 0 {name=p10 lab=ctl[7:0]}
 C {devices/lab_wire.sym} 2350 -540 3 0 {name=l60 sig_type=std_logic lab=ndum}
 C {devices/lab_wire.sym} 2130 -540 3 0 {name=l61 sig_type=std_logic lab=n0}
 C {devices/lab_wire.sym} 1910 -540 3 0 {name=l62 sig_type=std_logic lab=n1}
@@ -43,53 +42,29 @@
 C {devices/lab_wire.sym} 1030 -540 3 0 {name=l66 sig_type=std_logic lab=n5}
 C {devices/lab_wire.sym} 810 -540 3 0 {name=l67 sig_type=std_logic lab=n6}
 C {devices/lab_wire.sym} 590 -540 3 0 {name=l68 sig_type=std_logic lab=n7}
-C {sar/dac/carray.sym} 500 -540 0 0 {name=xca
-}
-C {sar/sw/sw_top.sym} 160 -620 0 0 {name=xswt[3:0]
-}
+C {sar/dac/carray.sym} 500 -540 0 0 {name=xca}
 C {devices/lab_wire.sym} 200 -670 2 1 {name=l50 sig_type=std_logic lab=vdd}
 C {devices/lab_wire.sym} 200 -630 0 0 {name=l51 sig_type=std_logic lab=vss}
-C {devices/ipin.sym} 260 -440 0 0 {name=p1 lab=dum
-}
-C {xschem_library/devices/iopin.sym} 260 -240 2 0 {name=p6 lab=vdd
-}
-C {xschem_library/devices/iopin.sym} 260 -210 2 0 {name=p8 lab=vss
-}
-C {devices/lab_wire.sym} 590 -410 3 0 {name=l1 sig_type=std_logic lab=ctl[7]
-}
-C {xschem_sky130/sky130_stdcells/inv_2.sym} 810 -450 3 0 {name=xi6 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
-}
-C {devices/lab_wire.sym} 810 -410 3 0 {name=l2 sig_type=std_logic lab=ctl[6]
-}
-C {xschem_sky130/sky130_stdcells/inv_2.sym} 1030 -450 3 0 {name=xi5 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
-}
-C {devices/lab_wire.sym} 1030 -410 3 0 {name=l3 sig_type=std_logic lab=ctl[5]
-}
-C {xschem_sky130/sky130_stdcells/inv_2.sym} 1250 -450 3 0 {name=xi4 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
-}
-C {devices/lab_wire.sym} 1250 -410 3 0 {name=l4 sig_type=std_logic lab=ctl[4]
-}
-C {xschem_sky130/sky130_stdcells/inv_2.sym} 1470 -450 3 0 {name=xi3 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
-}
-C {devices/lab_wire.sym} 1470 -410 3 0 {name=l5 sig_type=std_logic lab=ctl[3]
-}
-C {xschem_sky130/sky130_stdcells/inv_2.sym} 1690 -450 3 0 {name=xi2 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
-}
-C {devices/lab_wire.sym} 1690 -410 3 0 {name=l6 sig_type=std_logic lab=ctl[2]
-}
-C {xschem_sky130/sky130_stdcells/inv_2.sym} 1910 -450 3 0 {name=xi1 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
-}
-C {devices/lab_wire.sym} 1910 -410 3 0 {name=l7 sig_type=std_logic lab=ctl[1]
-}
-C {xschem_sky130/sky130_stdcells/inv_2.sym} 2130 -450 3 0 {name=xi0 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
-}
-C {devices/lab_wire.sym} 2130 -410 3 0 {name=l8 sig_type=std_logic lab=ctl[0]
-}
-C {xschem_sky130/sky130_stdcells/inv_2.sym} 2350 -450 3 0 {name=xidum VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
-}
-C {devices/lab_wire.sym} 2350 -410 3 0 {name=l9 sig_type=std_logic lab=dum
-}
-C {xschem_sky130/sky130_stdcells/inv_2.sym} 590 -450 3 0 {name=xi7 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
-}
-C {xschem_sky130/sky130_stdcells/tap_2.sym} 370 -250 0 0 {name=x2[2:0] VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
-}
+C {devices/ipin.sym} 260 -440 0 0 {name=p1 lab=dum}
+C {devices/iopin.sym} 260 -240 2 0 {name=p6 lab=vdd}
+C {devices/iopin.sym} 260 -210 2 0 {name=p8 lab=vss}
+C {devices/lab_wire.sym} 590 -410 3 0 {name=l1 sig_type=std_logic lab=ctl[7]}
+C {sky130_stdcells/inv_2.sym} 810 -450 3 0 {name=xi6 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {devices/lab_wire.sym} 810 -410 3 0 {name=l2 sig_type=std_logic lab=ctl[6]}
+C {sky130_stdcells/inv_2.sym} 1030 -450 3 0 {name=xi5 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {devices/lab_wire.sym} 1030 -410 3 0 {name=l3 sig_type=std_logic lab=ctl[5]}
+C {sky130_stdcells/inv_2.sym} 1250 -450 3 0 {name=xi4 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {devices/lab_wire.sym} 1250 -410 3 0 {name=l4 sig_type=std_logic lab=ctl[4]}
+C {sky130_stdcells/inv_2.sym} 1470 -450 3 0 {name=xi3 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {devices/lab_wire.sym} 1470 -410 3 0 {name=l5 sig_type=std_logic lab=ctl[3]}
+C {sky130_stdcells/inv_2.sym} 1690 -450 3 0 {name=xi2 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {devices/lab_wire.sym} 1690 -410 3 0 {name=l6 sig_type=std_logic lab=ctl[2]}
+C {sky130_stdcells/inv_2.sym} 1910 -450 3 0 {name=xi1 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {devices/lab_wire.sym} 1910 -410 3 0 {name=l7 sig_type=std_logic lab=ctl[1]}
+C {sky130_stdcells/inv_2.sym} 2130 -450 3 0 {name=xi0 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {devices/lab_wire.sym} 2130 -410 3 0 {name=l8 sig_type=std_logic lab=ctl[0]}
+C {sky130_stdcells/inv_2.sym} 2350 -450 3 0 {name=xidum VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {devices/lab_wire.sym} 2350 -410 3 0 {name=l9 sig_type=std_logic lab=dum}
+C {sky130_stdcells/inv_2.sym} 590 -450 3 0 {name=xi7 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {sky130_stdcells/tap_2.sym} 370 -250 0 0 {name=x2[2:0] VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {sar/sw/sw_top.sym} 160 -620 0 0 {name=xswt}
diff --git a/xschem/sar/latch/latch.sch b/xschem/sar/latch/latch.sch
index 1d5c182..f18d420 100644
--- a/xschem/sar/latch/latch.sch
+++ b/xschem/sar/latch/latch.sch
@@ -4,36 +4,36 @@
 V {}
 S {}
 E {}
-N 120 -760 150 -760 { lab=S}
-N 230 -760 270 -760 { lab=#net1}
-N 310 -730 310 -700 { lab=vss}
-N 280 -700 310 -700 { lab=vss}
-N 310 -760 330 -760 { lab=vss}
-N 330 -760 330 -700 { lab=vss}
-N 310 -700 330 -700 { lab=vss}
-N 470 -730 470 -700 { lab=vss}
-N 470 -700 500 -700 { lab=vss}
-N 450 -760 470 -760 { lab=vss}
-N 450 -760 450 -700 { lab=vss}
-N 450 -700 470 -700 { lab=vss}
-N 510 -760 550 -760 { lab=#net2}
-N 310 -930 350 -930 { lab=Qn}
-N 310 -830 350 -830 { lab=Qn}
-N 430 -930 470 -930 { lab=Q}
-N 430 -830 470 -830 { lab=Q}
-N 630 -760 670 -760 { lab=R}
-N 470 -930 485 -930 { lab=Q}
-N 285 -930 310 -930 { lab=Qn}
-N 310 -930 310 -790 { lab=Qn}
-N 470 -930 470 -790 { lab=Q}
-N 390 -910 390 -890 { lab=vss}
-N 390 -970 390 -950 { lab=vdd}
-N 390 -810 390 -790 { lab=vss}
-N 390 -870 390 -850 { lab=vdd}
-N 590 -740 590 -720 { lab=vss}
-N 590 -800 590 -780 { lab=vdd}
-N 190 -740 190 -720 { lab=vss}
-N 190 -800 190 -780 { lab=vdd}
+N 120 -760 150 -760 {lab=S}
+N 230 -760 270 -760 {lab=#net1}
+N 310 -730 310 -700 {lab=vss}
+N 280 -700 310 -700 {lab=vss}
+N 310 -760 330 -760 {lab=vss}
+N 330 -760 330 -700 {lab=vss}
+N 310 -700 330 -700 {lab=vss}
+N 470 -730 470 -700 {lab=vss}
+N 470 -700 500 -700 {lab=vss}
+N 450 -760 470 -760 {lab=vss}
+N 450 -760 450 -700 {lab=vss}
+N 450 -700 470 -700 {lab=vss}
+N 510 -760 550 -760 {lab=#net2}
+N 310 -930 350 -930 {lab=Qn}
+N 310 -830 350 -830 {lab=Qn}
+N 430 -930 470 -930 {lab=Q}
+N 430 -830 470 -830 {lab=Q}
+N 630 -760 670 -760 {lab=R}
+N 470 -930 485 -930 {lab=Q}
+N 285 -930 310 -930 {lab=Qn}
+N 310 -930 310 -790 {lab=Qn}
+N 470 -930 470 -790 {lab=Q}
+N 390 -910 390 -890 {lab=vss}
+N 390 -970 390 -950 {lab=vdd}
+N 390 -810 390 -790 {lab=vss}
+N 390 -870 390 -850 {lab=vdd}
+N 590 -740 590 -720 {lab=vss}
+N 590 -800 590 -780 {lab=vdd}
+N 190 -740 190 -720 {lab=vss}
+N 190 -800 190 -780 {lab=vdd}
 C {devices/lab_wire.sym} 150 -760 0 0 {name=l39 sig_type=std_logic lab=S}
 C {devices/lab_wire.sym} 670 -760 0 0 {name=l41 sig_type=std_logic lab=R}
 C {devices/lab_wire.sym} 310 -700 0 0 {name=l45 sig_type=std_logic lab=vss}
@@ -45,26 +45,19 @@
 C {devices/opin.sym} 485 -930 0 0 {name=p5 lab=Q}
 C {devices/opin.sym} 285 -930 2 0 {name=p8 lab=Qn}
 C {logic/inv_lvt.sym} 500 -910 0 0 {name=x1}
-C {lab_wire.sym} 390 -910 3 0 {name=l2 sig_type=std_logic lab=vss
-}
-C {lab_wire.sym} 390 -950 3 1 {name=l1 sig_type=std_logic lab=vdd
-}
+C {lab_wire.sym} 390 -910 3 0 {name=l2 sig_type=std_logic lab=vss}
+C {lab_wire.sym} 390 -950 3 1 {name=l1 sig_type=std_logic lab=vdd}
 C {logic/inv_lvt.sym} 280 -810 0 1 {name=x2}
-C {lab_wire.sym} 390 -810 1 1 {name=l3 sig_type=std_logic lab=vss
-}
-C {lab_wire.sym} 390 -850 1 0 {name=l4 sig_type=std_logic lab=vdd
-}
+C {lab_wire.sym} 390 -810 1 1 {name=l3 sig_type=std_logic lab=vss}
+C {lab_wire.sym} 390 -850 1 0 {name=l4 sig_type=std_logic lab=vdd}
 C {logic/inv_lvt.sym} 480 -740 0 1 {name=x3}
-C {lab_wire.sym} 590 -740 1 1 {name=l5 sig_type=std_logic lab=vss
-}
-C {lab_wire.sym} 590 -780 1 0 {name=l6 sig_type=std_logic lab=vdd
-}
+C {lab_wire.sym} 590 -740 1 1 {name=l5 sig_type=std_logic lab=vss}
+C {lab_wire.sym} 590 -780 1 0 {name=l6 sig_type=std_logic lab=vdd}
 C {logic/inv_lvt.sym} 300 -740 0 0 {name=x4}
-C {lab_wire.sym} 190 -740 3 0 {name=l7 sig_type=std_logic lab=vss
-}
-C {lab_wire.sym} 190 -780 3 1 {name=l8 sig_type=std_logic lab=vdd
-}
-C {xschem_sky130/sky130_fd_pr/nfet_01v8_lvt.sym} 290 -760 0 0 {name=M3
+C {lab_wire.sym} 190 -740 3 0 {name=l7 sig_type=std_logic lab=vss}
+C {lab_wire.sym} 190 -780 3 1 {name=l8 sig_type=std_logic lab=vdd}
+C {sky130_primitives/nfet_01v8_lvt.sym} 290 -760 0 0 {name=M3
+
 L=0.4
 W=1
 nf=1
@@ -76,9 +69,8 @@
 nrd="'0.29 / W'" nrs="'0.29 / W'"
 sa=0 sb=0 sd=0
 model=nfet_01v8_lvt
-spiceprefix=X
-}
-C {xschem_sky130/sky130_fd_pr/nfet_01v8_lvt.sym} 490 -760 0 1 {name=M1
+spiceprefix=X}
+C {sky130_primitives/nfet_01v8_lvt.sym} 490 -760 0 1 {name=M1
 L=0.4
 W=1
 nf=1
@@ -90,5 +82,4 @@
 nrd="'0.29 / W'" nrs="'0.29 / W'"
 sa=0 sb=0 sd=0
 model=nfet_01v8_lvt
-spiceprefix=X
-}
+spiceprefix=X}
diff --git a/xschem/sar/sw/sw_top.sch b/xschem/sar/sw/sw_top.sch
index d2358e1..50eb17c 100644
--- a/xschem/sar/sw/sw_top.sch
+++ b/xschem/sar/sw/sw_top.sch
@@ -4,23 +4,23 @@
 V {}
 S {}
 E {}
-N 230 -360 260 -360 { lab=out}
-N 260 -360 260 -280 { lab=out}
-N 230 -210 260 -210 { lab=out}
-N 260 -280 260 -210 { lab=out}
-N 140 -210 170 -210 { lab=in}
-N 140 -360 140 -210 { lab=in}
-N 140 -360 170 -360 { lab=in}
-N 200 -360 200 -330 { lab=vdd}
-N 200 -330 200 -320 { lab=vdd}
-N 200 -240 200 -210 { lab=vss}
-N 80 -290 140 -290 { lab=in}
-N 350 -40 400 -40 { lab=en}
-N 260 -290 320 -290 { lab=out}
-N 480 -40 510 -40 { lab=enb}
-N 590 -40 640 -40 { lab=en_buf}
-N 200 -440 200 -400 { lab=enb}
-N 200 -170 200 -120 { lab=en_buf}
+N 230 -360 260 -360 {lab=out}
+N 260 -360 260 -280 {lab=out}
+N 230 -210 260 -210 {lab=out}
+N 260 -280 260 -210 {lab=out}
+N 140 -210 170 -210 {lab=in}
+N 140 -360 140 -210 {lab=in}
+N 140 -360 170 -360 {lab=in}
+N 200 -360 200 -330 {lab=vdd}
+N 200 -330 200 -320 {lab=vdd}
+N 200 -240 200 -210 {lab=vss}
+N 80 -290 140 -290 {lab=in}
+N 350 -40 400 -40 {lab=en}
+N 260 -290 320 -290 {lab=out}
+N 480 -40 510 -40 {lab=enb}
+N 590 -40 640 -40 {lab=en_buf}
+N 200 -440 200 -400 {lab=enb}
+N 200 -170 200 -120 {lab=en_buf}
 C {devices/iopin.sym} 320 -290 0 0 {name=p1 lab=out}
 C {devices/ipin.sym} 350 -40 0 0 {name=p2 lab=en}
 C {devices/iopin.sym} 20 -20 0 0 {name=p3 lab=vss}
@@ -28,10 +28,8 @@
 C {devices/iopin.sym} 80 -290 2 0 {name=p5 lab=in}
 C {devices/lab_wire.sym} 200 -350 3 0 {name=l21 sig_type=std_logic lab=vdd}
 C {devices/lab_wire.sym} 200 -240 3 0 {name=l22 sig_type=std_logic lab=vss}
-C {devices/lab_wire.sym} 200 -170 3 0 {name=l23 sig_type=std_logic lab=en_buf
-}
-C {devices/lab_wire.sym} 380 -40 0 0 {name=l24 sig_type=std_logic lab=en
-}
+C {devices/lab_wire.sym} 200 -170 3 0 {name=l23 sig_type=std_logic lab=en_buf}
+C {devices/lab_wire.sym} 380 -40 0 0 {name=l24 sig_type=std_logic lab=en}
 C {sky130_primitives/pfet_01v8.sym} 200 -380 1 0 {name=M3
 L=0.3
 W=1
@@ -44,8 +42,7 @@
 nrd="'0.29 / W'" nrs="'0.29 / W'"
 sa=0 sb=0 sd=0
 model=pfet_01v8
-spiceprefix=X
-}
+spiceprefix=X}
 C {sky130_primitives/nfet_01v8.sym} 200 -190 3 0 {name=M4
 L=0.3
 W=1
@@ -58,19 +55,11 @@
 nrd="'0.29 / W'" nrs="'0.29 / W'"
 sa=0 sb=0 sd=0
 model=nfet_01v8
-spiceprefix=X
-}
-C {xschem_sky130/sky130_stdcells/decap_8.sym} 160 -20 0 0 {name=x2 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
-}
-C {xschem_sky130/sky130_stdcells/inv_4.sym} 440 -40 0 0 {name=x3 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
-}
-C {devices/lab_wire.sym} 510 -40 0 0 {name=l1 sig_type=std_logic lab=enb
-}
-C {xschem_sky130/sky130_stdcells/inv_4.sym} 550 -40 0 0 {name=x4 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
-}
-C {devices/lab_wire.sym} 640 -40 0 0 {name=l2 sig_type=std_logic lab=en_buf
-}
-C {devices/lab_wire.sym} 200 -410 1 0 {name=l3 sig_type=std_logic lab=enb
-}
-C {xschem_sky130/sky130_stdcells/decap_3.sym} 160 -50 0 0 {name=x5 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
-}
+spiceprefix=X}
+C {sky130_stdcells/decap_8.sym} 160 -20 0 0 {name=x2 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {sky130_stdcells/sky130_stdcells/inv_4.sym} 440 -40 0 0 {name=x3 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {devices/lab_wire.sym} 510 -40 0 0 {name=l1 sig_type=std_logic lab=enb}
+C {sky130_stdcells/inv_4.sym} 550 -40 0 0 {name=x4 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__ 
+C {devices/lab_wire.sym} 640 -40 0 0 {name=l2 sig_type=std_logic lab=en_buf}
+C {devices/lab_wire.sym} 200 -410 1 0 {name=l3 sig_type=std_logic lab=enb}
+C {sky130_stdcells/decap_3.sym} 160 -50 0 0 {name=x5 VGND=vss VNB=vss VPB=vdd VPWR=vdd prefix=sky130_fd_sc_hd__