Redid the layout for the example analog project based on the updated
wrapper layout.  Generated GDS and extracted netlist.
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
index 7756d31..7bb6358 100644
--- a/gds/user_analog_project_wrapper.gds
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git a/mag/example_por.mag b/mag/example_por.mag
index f67b61d..d915b42 100644
--- a/mag/example_por.mag
+++ b/mag/example_por.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1619633287
+timestamp 1620310959
 << nwell >>
 rect 70 7344 6652 7795
 rect 7401 6799 10893 7301
@@ -509,70 +509,70 @@
 rect 10851 6615 10879 7755
 rect 11146 6615 11171 7755
 rect 10851 6242 11171 6615
-use sky130_fd_pr__nfet_g5v0d10v5_TGFUGS  sky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0
-timestamp 1606063140
-transform 1 0 1515 0 1 6769
-box -962 -458 962 458
-use sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC  sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1
-timestamp 1605994897
-transform -1 0 371 0 1 6769
-box -308 -458 308 458
-use sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ  sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0
-timestamp 1606063140
-transform 1 0 1657 0 1 7841
-box -1101 -497 1101 497
 use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_3
 timestamp 1606063140
 transform 1 0 408 0 1 7841
 box -338 -497 338 497
-use sky130_fd_pr__nfet_g5v0d10v5_PKVMTM  sky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0
+use sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ  sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0
 timestamp 1606063140
-transform 1 0 2660 0 1 6770
+transform 1 0 1657 0 1 7841
+box -1101 -497 1101 497
+use sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC  sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1
+timestamp 1605994897
+transform -1 0 371 0 1 6769
 box -308 -458 308 458
-use sky130_fd_pr__pfet_g5v0d10v5_YUHPBG  sky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0
+use sky130_fd_pr__nfet_g5v0d10v5_TGFUGS  sky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0
 timestamp 1606063140
-transform 1 0 2906 0 1 7841
+transform 1 0 1515 0 1 6769
+box -962 -458 962 458
+use sky130_fd_pr__pfet_g5v0d10v5_YEUEBV  sky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0
+timestamp 1606063140
+transform 1 0 5018 0 1 7841
+box -992 -497 992 497
+use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1
+timestamp 1606063140
+transform 1 0 3878 0 1 7841
 box -338 -497 338 497
 use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_0
 timestamp 1606063140
 transform 1 0 3392 0 1 7841
 box -338 -497 338 497
-use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1
+use sky130_fd_pr__pfet_g5v0d10v5_YUHPBG  sky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0
 timestamp 1606063140
-transform 1 0 3878 0 1 7841
+transform 1 0 2906 0 1 7841
 box -338 -497 338 497
-use sky130_fd_pr__pfet_g5v0d10v5_YEUEBV  sky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0
+use sky130_fd_pr__nfet_g5v0d10v5_PKVMTM  sky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0
 timestamp 1606063140
-transform 1 0 5018 0 1 7841
-box -992 -497 992 497
-use sky130_fd_pr__pfet_g5v0d10v5_YUHPXE  sky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0
-timestamp 1606063140
-transform 1 0 6158 0 1 7841
-box -338 -497 338 497
+transform 1 0 2660 0 1 6770
+box -308 -458 308 458
 use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_2
 timestamp 1606063140
 transform 1 0 6644 0 1 7841
 box -338 -497 338 497
-use sky130_fd_sc_hvl__schmittbuf_1  sky130_fd_sc_hvl__schmittbuf_1_0 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1619552553
-transform 1 0 7467 0 1 6404
-box -66 -43 1122 897
+use sky130_fd_pr__pfet_g5v0d10v5_YUHPXE  sky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0
+timestamp 1606063140
+transform 1 0 6158 0 1 7841
+box -338 -497 338 497
 use sky130_fd_sc_hvl__buf_8  sky130_fd_sc_hvl__buf_8_0 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1619552553
+timestamp 1619722500
 transform 1 0 8523 0 1 6404
 box -66 -43 1986 897
 use sky130_fd_sc_hvl__buf_8  sky130_fd_sc_hvl__buf_8_1
-timestamp 1619552553
+timestamp 1619722500
 transform 1 0 7477 0 1 7438
 box -66 -43 1986 897
-use sky130_fd_sc_hvl__fill_4  sky130_fd_sc_hvl__fill_4_0 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1619552553
-transform 1 0 10443 0 1 6404
-box -66 -43 450 897
+use sky130_fd_sc_hvl__schmittbuf_1  sky130_fd_sc_hvl__schmittbuf_1_0 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
+timestamp 1619722500
+transform 1 0 7467 0 1 6404
+box -66 -43 1122 897
 use sky130_fd_sc_hvl__inv_8  sky130_fd_sc_hvl__inv_8_0 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1619552553
+timestamp 1619722500
 transform 1 0 9397 0 1 7438
 box -66 -43 1506 897
+use sky130_fd_sc_hvl__fill_4  sky130_fd_sc_hvl__fill_4_0 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
+timestamp 1619722500
+transform 1 0 10443 0 1 6404
+box -66 -43 450 897
 use sky130_fd_pr__res_xhigh_po_0p69_S5N9F3  sky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0
 timestamp 1606074388
 transform 1 0 5446 0 1 3098
diff --git a/mag/user_analog_proj_example.mag b/mag/user_analog_proj_example.mag
index c414d60..79ee4ab 100644
--- a/mag/user_analog_proj_example.mag
+++ b/mag/user_analog_proj_example.mag
@@ -1,18 +1,13 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1619730755
-<< error_p >>
-rect 6208 7870 6223 7898
-rect 6236 7676 6251 7870
-rect 20366 7862 20381 7890
-rect 20394 7668 20409 7862
-use example_por  example_por_0
-timestamp 1619730755
-transform 1 0 -26 0 1 -14
-box 0 0 11344 8338
+timestamp 1620310959
 use example_por  example_por_1
-timestamp 1619730755
+timestamp 1620310959
 transform 1 0 14132 0 1 -22
 box 0 0 11344 8338
+use example_por  example_por_0
+timestamp 1620310959
+transform -1 0 11285 0 1 -14
+box 0 0 11344 8338
 << end >>
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index 3523d9f..d5844dd 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,2729 +1,2303 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1619730755
-<< error_s >>
-rect 347036 623411 347056 623429
-rect 361194 623403 361214 623421
-rect 346970 623345 346990 623363
-rect 361128 623337 361148 623355
+timestamp 1620311099
+<< mvpsubdiff >>
+rect 338844 634421 338868 635198
+rect 364182 634421 364206 635198
+<< mvpsubdiffcont >>
+rect 338868 634421 364182 635198
+<< locali >>
+rect 338852 634421 338868 635198
+rect 364182 634421 364198 635198
+<< viali >>
+rect 350697 634466 352402 635166
+<< metal1 >>
+rect 350574 635565 352546 635623
+rect 350574 634223 350642 635565
+rect 352492 634223 352546 635565
+rect 350574 634156 352546 634223
+<< via1 >>
+rect 350642 635166 352492 635565
+rect 350642 634466 350697 635166
+rect 350697 634466 352402 635166
+rect 352402 634466 352492 635166
+rect 350642 634223 352492 634466
 << metal2 >>
-rect 19384 703508 19496 704948
-rect 58300 703508 58412 704948
-rect 97216 703508 97328 704948
-rect 136132 703508 136244 704948
-rect 175048 703508 175160 704948
-rect 214056 703508 214168 704948
-rect 252972 703508 253084 704948
-rect 291888 703578 292000 704948
-rect 330804 703508 330916 704948
-rect 369720 704106 369832 704948
-rect 365888 703508 366000 703578
-rect 351354 628628 351968 628750
-rect 351354 626932 351424 628628
-rect 351850 626932 351968 628628
-rect 351354 626848 351968 626932
-rect 351503 623888 351733 626848
-rect 349528 623658 351733 623888
-rect 369514 623880 369970 704106
-rect 408728 703508 408840 704948
-rect 447644 703508 447756 704948
-rect 486560 703508 486672 704948
-rect 525476 703508 525588 704948
-rect 564392 703508 564504 704948
-rect 371198 644874 373412 644898
-rect 371198 644684 371240 644874
-rect 372084 644868 373412 644874
-rect 372084 644690 372762 644868
-rect 373386 644690 373412 644868
-rect 372084 644684 373412 644690
-rect 371198 644650 373412 644684
-rect 370616 641666 373926 641696
-rect 370616 641480 370660 641666
-rect 371192 641664 373926 641666
-rect 371192 641496 373272 641664
-rect 373888 641496 373926 641664
-rect 371192 641480 373926 641496
-rect 370616 641454 373926 641480
-rect 370984 637098 373694 637128
-rect 370984 636912 371028 637098
-rect 371560 637096 373694 637098
-rect 371560 636928 373040 637096
-rect 373656 636928 373694 637096
-rect 371560 636912 373694 636928
-rect 370984 636886 373694 636912
-rect 371518 625304 371728 625327
-rect 371518 624430 371548 625304
-rect 371696 624430 371728 625304
-rect 372758 624652 372974 624670
-rect 371518 624398 371728 624430
-rect 371976 624650 372148 624652
-rect 371976 624632 372192 624650
-rect 363664 623650 369970 623880
-rect 371544 622902 371716 624398
-rect 371976 624060 372000 624632
-rect 372174 624324 372192 624632
-rect 372758 624324 372782 624652
-rect 372174 624080 372782 624324
-rect 372956 624080 372974 624652
-rect 372174 624076 372974 624080
-rect 372174 624060 372192 624076
-rect 372758 624060 372974 624076
-rect 371976 624040 372192 624060
-rect 372764 624054 372974 624060
-rect 371982 624034 372192 624040
-rect 371544 622884 371760 622902
-rect 371544 622312 371568 622884
-rect 371742 622312 371760 622884
-rect 371544 622292 371760 622312
-rect 371550 622286 371760 622292
-rect 524 -972 636 468
-rect 1628 -972 1740 468
-rect 2824 -972 2936 468
-rect 4020 -972 4132 468
-rect 5216 -972 5328 468
-rect 6412 -972 6524 468
-rect 7608 -972 7720 468
-rect 8712 -972 8824 468
-rect 9908 -972 10020 468
-rect 11104 -972 11216 468
-rect 12300 -972 12412 468
-rect 13496 -972 13608 468
-rect 14692 -972 14804 468
-rect 15888 -972 16000 468
-rect 16992 -972 17104 468
-rect 18188 -972 18300 468
-rect 19384 -972 19496 468
-rect 20580 -972 20692 468
-rect 21776 -972 21888 468
-rect 22972 -972 23084 468
-rect 24168 -972 24280 468
-rect 25272 -972 25384 468
-rect 26468 -972 26580 468
-rect 27664 -972 27776 468
-rect 28860 -972 28972 468
-rect 30056 -972 30168 468
-rect 31252 -972 31364 468
-rect 32356 -972 32468 468
-rect 33552 -972 33664 468
-rect 34748 -972 34860 468
-rect 35944 -972 36056 468
-rect 37140 -972 37252 468
-rect 38336 -972 38448 468
-rect 39532 -972 39644 468
-rect 40636 -972 40748 468
-rect 41832 -972 41944 468
-rect 43028 -972 43140 468
-rect 44224 -972 44336 468
-rect 45420 -972 45532 468
-rect 46616 -972 46728 468
-rect 47812 -972 47924 468
-rect 48916 -972 49028 468
-rect 50112 -972 50224 468
-rect 51308 -972 51420 468
-rect 52504 -972 52616 468
-rect 53700 -972 53812 468
-rect 54896 -972 55008 468
-rect 56000 -972 56112 468
-rect 57196 -972 57308 468
-rect 58392 -972 58504 468
-rect 59588 -972 59700 468
-rect 60784 -972 60896 468
-rect 61980 -972 62092 468
-rect 63176 -972 63288 468
-rect 64280 -972 64392 468
-rect 65476 -972 65588 468
-rect 66672 -972 66784 468
-rect 67868 -972 67980 468
-rect 69064 -972 69176 468
-rect 70260 -972 70372 468
-rect 71456 -972 71568 468
-rect 72560 -972 72672 468
-rect 73756 -972 73868 468
-rect 74952 -972 75064 468
-rect 76148 -972 76260 468
-rect 77344 -972 77456 468
-rect 78540 -972 78652 468
-rect 79644 -972 79756 468
-rect 80840 -972 80952 468
-rect 82036 -972 82148 468
-rect 83232 -972 83344 468
-rect 84428 -972 84540 468
-rect 85624 -972 85736 468
-rect 86820 -972 86932 468
-rect 87924 -972 88036 468
-rect 89120 -972 89232 468
-rect 90316 -972 90428 468
-rect 91512 -972 91624 468
-rect 92708 -972 92820 468
-rect 93904 -972 94016 468
-rect 95100 -972 95212 468
-rect 96204 -972 96316 468
-rect 97400 -972 97512 468
-rect 98596 -972 98708 468
-rect 99792 -972 99904 468
-rect 100988 -972 101100 468
-rect 102184 -972 102296 468
-rect 103288 -972 103400 468
-rect 104484 -972 104596 468
-rect 105680 -972 105792 468
-rect 106876 -972 106988 468
-rect 108072 -972 108184 468
-rect 109268 -972 109380 468
-rect 110464 -972 110576 468
-rect 111568 -972 111680 468
-rect 112764 -972 112876 468
-rect 113960 -972 114072 468
-rect 115156 -972 115268 468
-rect 116352 -972 116464 468
-rect 117548 -972 117660 468
-rect 118744 -972 118856 468
-rect 119848 -972 119960 468
-rect 121044 -972 121156 468
-rect 122240 -972 122352 468
-rect 123436 -972 123548 468
-rect 124632 -972 124744 468
-rect 125828 -972 125940 468
-rect 126932 -972 127044 468
-rect 128128 -972 128240 468
-rect 129324 -972 129436 468
-rect 130520 -972 130632 468
-rect 131716 -972 131828 468
-rect 132912 -972 133024 468
-rect 134108 -972 134220 468
-rect 135212 -972 135324 468
-rect 136408 -972 136520 468
-rect 137604 -972 137716 468
-rect 138800 -972 138912 468
-rect 139996 -972 140108 468
-rect 141192 -972 141304 468
-rect 142388 -972 142500 468
-rect 143492 -972 143604 468
-rect 144688 -972 144800 468
-rect 145884 -972 145996 468
-rect 147080 -972 147192 468
-rect 148276 -972 148388 468
-rect 149472 -972 149584 468
-rect 150576 -972 150688 468
-rect 151772 -972 151884 468
-rect 152968 -972 153080 468
-rect 154164 -972 154276 468
-rect 155360 -972 155472 468
-rect 156556 -972 156668 468
-rect 157752 -972 157864 468
-rect 158856 -972 158968 468
-rect 160052 -972 160164 468
-rect 161248 -972 161360 468
-rect 162444 -972 162556 468
-rect 163640 -972 163752 468
-rect 164836 -972 164948 468
-rect 166032 -972 166144 468
-rect 167136 -972 167248 468
-rect 168332 -972 168444 468
-rect 169528 -972 169640 468
-rect 170724 -972 170836 468
-rect 171920 -972 172032 468
-rect 173116 -972 173228 468
-rect 174220 -972 174332 468
-rect 175416 -972 175528 468
-rect 176612 -972 176724 468
-rect 177808 -972 177920 468
-rect 179004 -972 179116 468
-rect 180200 -972 180312 468
-rect 181396 -972 181508 468
-rect 182500 -972 182612 468
-rect 183696 -972 183808 468
-rect 184892 -972 185004 468
-rect 186088 -972 186200 468
-rect 187284 -972 187396 468
-rect 188480 -972 188592 468
-rect 189676 -972 189788 468
-rect 190780 -972 190892 468
-rect 191976 -972 192088 468
-rect 193172 -972 193284 468
-rect 194368 -972 194480 468
-rect 195564 -972 195676 468
-rect 196760 -972 196872 468
-rect 197864 -972 197976 468
-rect 199060 -972 199172 468
-rect 200256 -972 200368 468
-rect 201452 -972 201564 468
-rect 202648 -972 202760 468
-rect 203844 -972 203956 468
-rect 205040 -972 205152 468
-rect 206144 -972 206256 468
-rect 207340 -972 207452 468
-rect 208536 -972 208648 468
-rect 209732 -972 209844 468
-rect 210928 -972 211040 468
-rect 212124 -972 212236 468
-rect 213320 -972 213432 468
-rect 214424 -972 214536 468
-rect 215620 -972 215732 468
-rect 216816 -972 216928 468
-rect 218012 -972 218124 468
-rect 219208 -972 219320 468
-rect 220404 -972 220516 468
-rect 221508 -972 221620 468
-rect 222704 -972 222816 468
-rect 223900 -972 224012 468
-rect 225096 -972 225208 468
-rect 226292 -972 226404 468
-rect 227488 -972 227600 468
-rect 228684 -972 228796 468
-rect 229788 -972 229900 468
-rect 230984 -972 231096 468
-rect 232180 -972 232292 468
-rect 233376 -972 233488 468
-rect 234572 -972 234684 468
-rect 235768 -972 235880 468
-rect 236964 -972 237076 468
-rect 238068 -972 238180 468
-rect 239264 -972 239376 468
-rect 240460 -972 240572 468
-rect 241656 -972 241768 468
-rect 242852 -972 242964 468
-rect 244048 -972 244160 468
-rect 245152 -972 245264 468
-rect 246348 -972 246460 468
-rect 247544 -972 247656 468
-rect 248740 -972 248852 468
-rect 249936 -972 250048 468
-rect 251132 -972 251244 468
-rect 252328 -972 252440 468
-rect 253432 -972 253544 468
-rect 254628 -972 254740 468
-rect 255824 -972 255936 468
-rect 257020 -972 257132 468
-rect 258216 -972 258328 468
-rect 259412 -972 259524 468
-rect 260608 -972 260720 468
-rect 261712 -972 261824 468
-rect 262908 -972 263020 468
-rect 264104 -972 264216 468
-rect 265300 -972 265412 468
-rect 266496 -972 266608 468
-rect 267692 -972 267804 468
-rect 268796 -972 268908 468
-rect 269992 -972 270104 468
-rect 271188 -972 271300 468
-rect 272384 -972 272496 468
-rect 273580 -972 273692 468
-rect 274776 -972 274888 468
-rect 275972 -972 276084 468
-rect 277076 -972 277188 468
-rect 278272 -972 278384 468
-rect 279468 -972 279580 468
-rect 280664 -972 280776 468
-rect 281860 -972 281972 468
-rect 283056 -972 283168 468
-rect 284252 -972 284364 468
-rect 285356 -972 285468 468
-rect 286552 -972 286664 468
-rect 287748 -972 287860 468
-rect 288944 -972 289056 468
-rect 290140 -972 290252 468
-rect 291336 -972 291448 468
-rect 292532 -972 292644 468
-rect 293636 -972 293748 468
-rect 294832 -972 294944 468
-rect 296028 -972 296140 468
-rect 297224 -972 297336 468
-rect 298420 -972 298532 468
-rect 299616 -972 299728 468
-rect 300720 -972 300832 468
-rect 301916 -972 302028 468
-rect 303112 -972 303224 468
-rect 304308 -972 304420 468
-rect 305504 -972 305616 468
-rect 306700 -972 306812 468
-rect 307896 -972 308008 468
-rect 309000 -972 309112 468
-rect 310196 -972 310308 468
-rect 311392 -972 311504 468
-rect 312588 -972 312700 468
-rect 313784 -972 313896 468
-rect 314980 -972 315092 468
-rect 316176 -972 316288 468
-rect 317280 -972 317392 468
-rect 318476 -972 318588 468
-rect 319672 -972 319784 468
-rect 320868 -972 320980 468
-rect 322064 -972 322176 468
-rect 323260 -972 323372 468
-rect 324364 -972 324476 468
-rect 325560 -972 325672 468
-rect 326756 -972 326868 468
-rect 327952 -972 328064 468
-rect 329148 -972 329260 468
-rect 330344 -972 330456 468
-rect 331540 -972 331652 468
-rect 332644 -972 332756 468
-rect 333840 -972 333952 468
-rect 335036 -972 335148 468
-rect 336232 -972 336344 468
-rect 337428 -972 337540 468
-rect 338624 -972 338736 468
-rect 339820 -972 339932 468
-rect 340924 -972 341036 468
-rect 342120 -972 342232 468
-rect 343316 -972 343428 468
-rect 344512 -972 344624 468
-rect 345708 -972 345820 468
-rect 346904 -972 347016 468
-rect 348008 -972 348120 468
-rect 349204 -972 349316 468
-rect 350400 -972 350512 468
-rect 351596 -972 351708 468
-rect 352792 -972 352904 468
-rect 353988 -972 354100 468
-rect 355184 -972 355296 468
-rect 356288 -972 356400 468
-rect 357484 -972 357596 468
-rect 358680 -972 358792 468
-rect 359876 -972 359988 468
-rect 361072 -972 361184 468
-rect 362268 -972 362380 468
-rect 363464 -972 363576 468
-rect 364568 -972 364680 468
-rect 365764 -972 365876 468
-rect 366960 -972 367072 468
-rect 368156 -972 368268 468
-rect 369352 -972 369464 468
-rect 370548 -972 370660 468
-rect 371652 -972 371764 468
-rect 372848 -972 372960 468
-rect 374044 -972 374156 468
-rect 375240 -972 375352 468
-rect 376436 -972 376548 468
-rect 377632 -972 377744 468
-rect 378828 -972 378940 468
-rect 379932 -972 380044 468
-rect 381128 -972 381240 468
-rect 382324 -972 382436 468
-rect 383520 -972 383632 468
-rect 384716 -972 384828 468
-rect 385912 -972 386024 468
-rect 387108 -972 387220 468
-rect 388212 -972 388324 468
-rect 389408 -972 389520 468
-rect 390604 -972 390716 468
-rect 391800 -972 391912 468
-rect 392996 -972 393108 468
-rect 394192 -972 394304 468
-rect 395296 -972 395408 468
-rect 396492 -972 396604 468
-rect 397688 -972 397800 468
-rect 398884 -972 398996 468
-rect 400080 -972 400192 468
-rect 401276 -972 401388 468
-rect 402472 -972 402584 468
-rect 403576 -972 403688 468
-rect 404772 -972 404884 468
-rect 405968 -972 406080 468
-rect 407164 -972 407276 468
-rect 408360 -972 408472 468
-rect 409556 -972 409668 468
-rect 410752 -972 410864 468
-rect 411856 -972 411968 468
-rect 413052 -972 413164 468
-rect 414248 -972 414360 468
-rect 415444 -972 415556 468
-rect 416640 -972 416752 468
-rect 417836 -972 417948 468
-rect 418940 -972 419052 468
-rect 420136 -972 420248 468
-rect 421332 -972 421444 468
-rect 422528 -972 422640 468
-rect 423724 -972 423836 468
-rect 424920 -972 425032 468
-rect 426116 -972 426228 468
-rect 427220 -972 427332 468
-rect 428416 -972 428528 468
-rect 429612 -972 429724 468
-rect 430808 -972 430920 468
-rect 432004 -972 432116 468
-rect 433200 -972 433312 468
-rect 434396 -972 434508 468
-rect 435500 -972 435612 468
-rect 436696 -972 436808 468
-rect 437892 -972 438004 468
-rect 439088 -972 439200 468
-rect 440284 -972 440396 468
-rect 441480 -972 441592 468
-rect 442584 -972 442696 468
-rect 443780 -972 443892 468
-rect 444976 -972 445088 468
-rect 446172 -972 446284 468
-rect 447368 -972 447480 468
-rect 448564 -972 448676 468
-rect 449760 -972 449872 468
-rect 450864 -972 450976 468
-rect 452060 -972 452172 468
-rect 453256 -972 453368 468
-rect 454452 -972 454564 468
-rect 455648 -972 455760 468
-rect 456844 -972 456956 468
-rect 458040 -972 458152 468
-rect 459144 -972 459256 468
-rect 460340 -972 460452 468
-rect 461536 -972 461648 468
-rect 462732 -972 462844 468
-rect 463928 -972 464040 468
-rect 465124 -972 465236 468
-rect 466228 -972 466340 468
-rect 467424 -972 467536 468
-rect 468620 -972 468732 468
-rect 469816 -972 469928 468
-rect 471012 -972 471124 468
-rect 472208 -972 472320 468
-rect 473404 -972 473516 468
-rect 474508 -972 474620 468
-rect 475704 -972 475816 468
-rect 476900 -972 477012 468
-rect 478096 -972 478208 468
-rect 479292 -972 479404 468
-rect 480488 -972 480600 468
-rect 481684 -972 481796 468
-rect 482788 -972 482900 468
-rect 483984 -972 484096 468
-rect 485180 -972 485292 468
-rect 486376 -972 486488 468
-rect 487572 -972 487684 468
-rect 488768 -972 488880 468
-rect 489872 -972 489984 468
-rect 491068 -972 491180 468
-rect 492264 -972 492376 468
-rect 493460 -972 493572 468
-rect 494656 -972 494768 468
-rect 495852 -972 495964 468
-rect 497048 -972 497160 468
-rect 498152 -972 498264 468
-rect 499348 -972 499460 468
-rect 500544 -972 500656 468
-rect 501740 -972 501852 468
-rect 502936 -972 503048 468
-rect 504132 -972 504244 468
-rect 505328 -972 505440 468
-rect 506432 -972 506544 468
-rect 507628 -972 507740 468
-rect 508824 -972 508936 468
-rect 510020 -972 510132 468
-rect 511216 -972 511328 468
-rect 512412 -972 512524 468
-rect 513516 -972 513628 468
-rect 514712 -972 514824 468
-rect 515908 -972 516020 468
-rect 517104 -972 517216 468
-rect 518300 -972 518412 468
-rect 519496 -972 519608 468
-rect 520692 -972 520804 468
-rect 521796 -972 521908 468
-rect 522992 -972 523104 468
-rect 524188 -972 524300 468
-rect 525384 -972 525496 468
-rect 526580 -972 526692 468
-rect 527776 -972 527888 468
-rect 528972 -972 529084 468
-rect 530076 -972 530188 468
-rect 531272 -972 531384 468
-rect 532468 -972 532580 468
-rect 533664 -972 533776 468
-rect 534860 -972 534972 468
-rect 536056 -972 536168 468
-rect 537160 -972 537272 468
-rect 538356 -972 538468 468
-rect 539552 -972 539664 468
-rect 540748 -972 540860 468
-rect 541944 -972 542056 468
-rect 543140 -972 543252 468
-rect 544336 -972 544448 468
-rect 545440 -972 545552 468
-rect 546636 -972 546748 468
-rect 547832 -972 547944 468
-rect 549028 -972 549140 468
-rect 550224 -972 550336 468
-rect 551420 -972 551532 468
-rect 552616 -972 552728 468
-rect 553720 -972 553832 468
-rect 554916 -972 555028 468
-rect 556112 -972 556224 468
-rect 557308 -972 557420 468
-rect 558504 -972 558616 468
-rect 559700 -972 559812 468
-rect 560804 -972 560916 468
-rect 562000 -972 562112 468
-rect 563196 -972 563308 468
-rect 564392 -972 564504 468
-rect 565588 -972 565700 468
-rect 566784 -972 566896 468
-rect 567980 -972 568092 468
-rect 569084 -972 569196 468
-rect 570280 -972 570392 468
-rect 571476 -972 571588 468
-rect 572672 -972 572784 468
-rect 573868 -972 573980 468
-rect 575064 -972 575176 468
-rect 576260 -972 576372 468
-rect 577364 -972 577476 468
-rect 578560 -972 578672 468
-rect 579756 -972 579868 468
-rect 580952 -972 581064 468
-rect 582148 -972 582260 468
-rect 583344 -972 583456 468
+rect 350574 635565 352546 635623
+rect 350574 634223 350642 635565
+rect 352492 634223 352546 635565
+rect 350574 634156 352546 634223
+rect -6372 5366 -6260 6646
+rect -5190 5366 -5078 6646
+rect -4008 5366 -3896 6646
+rect -2826 5366 -2714 6646
+rect -1644 5366 -1532 6646
+rect -462 5366 -350 6646
+rect 720 5366 832 6646
+rect 1902 5366 2014 6646
+rect 3084 5366 3196 6646
+rect 4266 5366 4378 6646
+rect 5448 5366 5560 6646
+rect 6630 5366 6742 6646
+rect 7812 5366 7924 6646
+rect 8994 5366 9106 6646
+rect 10176 5366 10288 6646
+rect 11358 5366 11470 6646
+rect 12540 5366 12652 6646
+rect 13722 5366 13834 6646
+rect 14904 5366 15016 6646
+rect 16086 5366 16198 6646
+rect 17268 5366 17380 6646
+rect 18450 5366 18562 6646
+rect 19632 5366 19744 6646
+rect 20814 5366 20926 6646
+rect 21996 5366 22108 6646
+rect 23178 5366 23290 6646
+rect 24360 5366 24472 6646
+rect 25542 5366 25654 6646
+rect 26724 5366 26836 6646
+rect 27906 5366 28018 6646
+rect 29088 5366 29200 6646
+rect 30270 5366 30382 6646
+rect 31452 5366 31564 6646
+rect 32634 5366 32746 6646
+rect 33816 5366 33928 6646
+rect 34998 5366 35110 6646
+rect 36180 5366 36292 6646
+rect 37362 5366 37474 6646
+rect 38544 5366 38656 6646
+rect 39726 5366 39838 6646
+rect 40908 5366 41020 6646
+rect 42090 5366 42202 6646
+rect 43272 5366 43384 6646
+rect 44454 5366 44566 6646
+rect 45636 5366 45748 6646
+rect 46818 5366 46930 6646
+rect 48000 5366 48112 6646
+rect 49182 5366 49294 6646
+rect 50364 5366 50476 6646
+rect 51546 5366 51658 6646
+rect 52728 5366 52840 6646
+rect 53910 5366 54022 6646
+rect 55092 5366 55204 6646
+rect 56274 5366 56386 6646
+rect 57456 5366 57568 6646
+rect 58638 5366 58750 6646
+rect 59820 5366 59932 6646
+rect 61002 5366 61114 6646
+rect 62184 5366 62296 6646
+rect 63366 5366 63478 6646
+rect 64548 5366 64660 6646
+rect 65730 5366 65842 6646
+rect 66912 5366 67024 6646
+rect 68094 5366 68206 6646
+rect 69276 5366 69388 6646
+rect 70458 5366 70570 6646
+rect 71640 5366 71752 6646
+rect 72822 5366 72934 6646
+rect 74004 5366 74116 6646
+rect 75186 5366 75298 6646
+rect 76368 5366 76480 6646
+rect 77550 5366 77662 6646
+rect 78732 5366 78844 6646
+rect 79914 5366 80026 6646
+rect 81096 5366 81208 6646
+rect 82278 5366 82390 6646
+rect 83460 5366 83572 6646
+rect 84642 5366 84754 6646
+rect 85824 5366 85936 6646
+rect 87006 5366 87118 6646
+rect 88188 5366 88300 6646
+rect 89370 5366 89482 6646
+rect 90552 5366 90664 6646
+rect 91734 5366 91846 6646
+rect 92916 5366 93028 6646
+rect 94098 5366 94210 6646
+rect 95280 5366 95392 6646
+rect 96462 5366 96574 6646
+rect 97644 5366 97756 6646
+rect 98826 5366 98938 6646
+rect 100008 5366 100120 6646
+rect 101190 5366 101302 6646
+rect 102372 5366 102484 6646
+rect 103554 5366 103666 6646
+rect 104736 5366 104848 6646
+rect 105918 5366 106030 6646
+rect 107100 5366 107212 6646
+rect 108282 5366 108394 6646
+rect 109464 5366 109576 6646
+rect 110646 5366 110758 6646
+rect 111828 5366 111940 6646
+rect 113010 5366 113122 6646
+rect 114192 5366 114304 6646
+rect 115374 5366 115486 6646
+rect 116556 5366 116668 6646
+rect 117738 5366 117850 6646
+rect 118920 5366 119032 6646
+rect 120102 5366 120214 6646
+rect 121284 5366 121396 6646
+rect 122466 5366 122578 6646
+rect 123648 5366 123760 6646
+rect 124830 5366 124942 6646
+rect 126012 5366 126124 6646
+rect 127194 5366 127306 6646
+rect 128376 5366 128488 6646
+rect 129558 5366 129670 6646
+rect 130740 5366 130852 6646
+rect 131922 5366 132034 6646
+rect 133104 5366 133216 6646
+rect 134286 5366 134398 6646
+rect 135468 5366 135580 6646
+rect 136650 5366 136762 6646
+rect 137832 5366 137944 6646
+rect 139014 5366 139126 6646
+rect 140196 5366 140308 6646
+rect 141378 5366 141490 6646
+rect 142560 5366 142672 6646
+rect 143742 5366 143854 6646
+rect 144924 5366 145036 6646
+rect 146106 5366 146218 6646
+rect 147288 5366 147400 6646
+rect 148470 5366 148582 6646
+rect 149652 5366 149764 6646
+rect 150834 5366 150946 6646
+rect 152016 5366 152128 6646
+rect 153198 5366 153310 6646
+rect 154380 5366 154492 6646
+rect 155562 5366 155674 6646
+rect 156744 5366 156856 6646
+rect 157926 5366 158038 6646
+rect 159108 5366 159220 6646
+rect 160290 5366 160402 6646
+rect 161472 5366 161584 6646
+rect 162654 5366 162766 6646
+rect 163836 5366 163948 6646
+rect 165018 5366 165130 6646
+rect 166200 5366 166312 6646
+rect 167382 5366 167494 6646
+rect 168564 5366 168676 6646
+rect 169746 5366 169858 6646
+rect 170928 5366 171040 6646
+rect 172110 5366 172222 6646
+rect 173292 5366 173404 6646
+rect 174474 5366 174586 6646
+rect 175656 5366 175768 6646
+rect 176838 5366 176950 6646
+rect 178020 5366 178132 6646
+rect 179202 5366 179314 6646
+rect 180384 5366 180496 6646
+rect 181566 5366 181678 6646
+rect 182748 5366 182860 6646
+rect 183930 5366 184042 6646
+rect 185112 5366 185224 6646
+rect 186294 5366 186406 6646
+rect 187476 5366 187588 6646
+rect 188658 5366 188770 6646
+rect 189840 5366 189952 6646
+rect 191022 5366 191134 6646
+rect 192204 5366 192316 6646
+rect 193386 5366 193498 6646
+rect 194568 5366 194680 6646
+rect 195750 5366 195862 6646
+rect 196932 5366 197044 6646
+rect 198114 5366 198226 6646
+rect 199296 5366 199408 6646
+rect 200478 5366 200590 6646
+rect 201660 5366 201772 6646
+rect 202842 5366 202954 6646
+rect 204024 5366 204136 6646
+rect 205206 5366 205318 6646
+rect 206388 5366 206500 6646
+rect 207570 5366 207682 6646
+rect 208752 5366 208864 6646
+rect 209934 5366 210046 6646
+rect 211116 5366 211228 6646
+rect 212298 5366 212410 6646
+rect 213480 5366 213592 6646
+rect 214662 5366 214774 6646
+rect 215844 5366 215956 6646
+rect 217026 5366 217138 6646
+rect 218208 5366 218320 6646
+rect 219390 5366 219502 6646
+rect 220572 5366 220684 6646
+rect 221754 5366 221866 6646
+rect 222936 5366 223048 6646
+rect 224118 5366 224230 6646
+rect 225300 5366 225412 6646
+rect 226482 5366 226594 6646
+rect 227664 5366 227776 6646
+rect 228846 5366 228958 6646
+rect 230028 5366 230140 6646
+rect 231210 5366 231322 6646
+rect 232392 5366 232504 6646
+rect 233574 5366 233686 6646
+rect 234756 5366 234868 6646
+rect 235938 5366 236050 6646
+rect 237120 5366 237232 6646
+rect 238302 5366 238414 6646
+rect 239484 5366 239596 6646
+rect 240666 5366 240778 6646
+rect 241848 5366 241960 6646
+rect 243030 5366 243142 6646
+rect 244212 5366 244324 6646
+rect 245394 5366 245506 6646
+rect 246576 5366 246688 6646
+rect 247758 5366 247870 6646
+rect 248940 5366 249052 6646
+rect 250122 5366 250234 6646
+rect 251304 5366 251416 6646
+rect 252486 5366 252598 6646
+rect 253668 5366 253780 6646
+rect 254850 5366 254962 6646
+rect 256032 5366 256144 6646
+rect 257214 5366 257326 6646
+rect 258396 5366 258508 6646
+rect 259578 5366 259690 6646
+rect 260760 5366 260872 6646
+rect 261942 5366 262054 6646
+rect 263124 5366 263236 6646
+rect 264306 5366 264418 6646
+rect 265488 5366 265600 6646
+rect 266670 5366 266782 6646
+rect 267852 5366 267964 6646
+rect 269034 5366 269146 6646
+rect 270216 5366 270328 6646
+rect 271398 5366 271510 6646
+rect 272580 5366 272692 6646
+rect 273762 5366 273874 6646
+rect 274944 5366 275056 6646
+rect 276126 5366 276238 6646
+rect 277308 5366 277420 6646
+rect 278490 5366 278602 6646
+rect 279672 5366 279784 6646
+rect 280854 5366 280966 6646
+rect 282036 5366 282148 6646
+rect 283218 5366 283330 6646
+rect 284400 5366 284512 6646
+rect 285582 5366 285694 6646
+rect 286764 5366 286876 6646
+rect 287946 5366 288058 6646
+rect 289128 5366 289240 6646
+rect 290310 5366 290422 6646
+rect 291492 5366 291604 6646
+rect 292674 5366 292786 6646
+rect 293856 5366 293968 6646
+rect 295038 5366 295150 6646
+rect 296220 5366 296332 6646
+rect 297402 5366 297514 6646
+rect 298584 5366 298696 6646
+rect 299766 5366 299878 6646
+rect 300948 5366 301060 6646
+rect 302130 5366 302242 6646
+rect 303312 5366 303424 6646
+rect 304494 5366 304606 6646
+rect 305676 5366 305788 6646
+rect 306858 5366 306970 6646
+rect 308040 5366 308152 6646
+rect 309222 5366 309334 6646
+rect 310404 5366 310516 6646
+rect 311586 5366 311698 6646
+rect 312768 5366 312880 6646
+rect 313950 5366 314062 6646
+rect 315132 5366 315244 6646
+rect 316314 5366 316426 6646
+rect 317496 5366 317608 6646
+rect 318678 5366 318790 6646
+rect 319860 5366 319972 6646
+rect 321042 5366 321154 6646
+rect 322224 5366 322336 6646
+rect 323406 5366 323518 6646
+rect 324588 5366 324700 6646
+rect 325770 5366 325882 6646
+rect 326952 5366 327064 6646
+rect 328134 5366 328246 6646
+rect 329316 5366 329428 6646
+rect 330498 5366 330610 6646
+rect 331680 5366 331792 6646
+rect 332862 5366 332974 6646
+rect 334044 5366 334156 6646
+rect 335226 5366 335338 6646
+rect 336408 5366 336520 6646
+rect 337590 5366 337702 6646
+rect 338772 5366 338884 6646
+rect 339954 5366 340066 6646
+rect 341136 5366 341248 6646
+rect 342318 5366 342430 6646
+rect 343500 5366 343612 6646
+rect 344682 5366 344794 6646
+rect 345864 5366 345976 6646
+rect 347046 5366 347158 6646
+rect 348228 5366 348340 6646
+rect 349410 5366 349522 6646
+rect 350592 5366 350704 6646
+rect 351774 5366 351886 6646
+rect 352956 5366 353068 6646
+rect 354138 5366 354250 6646
+rect 355320 5366 355432 6646
+rect 356502 5366 356614 6646
+rect 357684 5366 357796 6646
+rect 358866 5366 358978 6646
+rect 360048 5366 360160 6646
+rect 361230 5366 361342 6646
+rect 362412 5366 362524 6646
+rect 363594 5366 363706 6646
+rect 364776 5366 364888 6646
+rect 365958 5366 366070 6646
+rect 367140 5366 367252 6646
+rect 368322 5366 368434 6646
+rect 369504 5366 369616 6646
+rect 370686 5366 370798 6646
+rect 371868 5366 371980 6646
+rect 373050 5366 373162 6646
+rect 374232 5366 374344 6646
+rect 375414 5366 375526 6646
+rect 376596 5366 376708 6646
+rect 377778 5366 377890 6646
+rect 378960 5366 379072 6646
+rect 380142 5366 380254 6646
+rect 381324 5366 381436 6646
+rect 382506 5366 382618 6646
+rect 383688 5366 383800 6646
+rect 384870 5366 384982 6646
+rect 386052 5366 386164 6646
+rect 387234 5366 387346 6646
+rect 388416 5366 388528 6646
+rect 389598 5366 389710 6646
+rect 390780 5366 390892 6646
+rect 391962 5366 392074 6646
+rect 393144 5366 393256 6646
+rect 394326 5366 394438 6646
+rect 395508 5366 395620 6646
+rect 396690 5366 396802 6646
+rect 397872 5366 397984 6646
+rect 399054 5366 399166 6646
+rect 400236 5366 400348 6646
+rect 401418 5366 401530 6646
+rect 402600 5366 402712 6646
+rect 403782 5366 403894 6646
+rect 404964 5366 405076 6646
+rect 406146 5366 406258 6646
+rect 407328 5366 407440 6646
+rect 408510 5366 408622 6646
+rect 409692 5366 409804 6646
+rect 410874 5366 410986 6646
+rect 412056 5366 412168 6646
+rect 413238 5366 413350 6646
+rect 414420 5366 414532 6646
+rect 415602 5366 415714 6646
+rect 416784 5366 416896 6646
+rect 417966 5366 418078 6646
+rect 419148 5366 419260 6646
+rect 420330 5366 420442 6646
+rect 421512 5366 421624 6646
+rect 422694 5366 422806 6646
+rect 423876 5366 423988 6646
+rect 425058 5366 425170 6646
+rect 426240 5366 426352 6646
+rect 427422 5366 427534 6646
+rect 428604 5366 428716 6646
+rect 429786 5366 429898 6646
+rect 430968 5366 431080 6646
+rect 432150 5366 432262 6646
+rect 433332 5366 433444 6646
+rect 434514 5366 434626 6646
+rect 435696 5366 435808 6646
+rect 436878 5366 436990 6646
+rect 438060 5366 438172 6646
+rect 439242 5366 439354 6646
+rect 440424 5366 440536 6646
+rect 441606 5366 441718 6646
+rect 442788 5366 442900 6646
+rect 443970 5366 444082 6646
+rect 445152 5366 445264 6646
+rect 446334 5366 446446 6646
+rect 447516 5366 447628 6646
+rect 448698 5366 448810 6646
+rect 449880 5366 449992 6646
+rect 451062 5366 451174 6646
+rect 452244 5366 452356 6646
+rect 453426 5366 453538 6646
+rect 454608 5366 454720 6646
+rect 455790 5366 455902 6646
+rect 456972 5366 457084 6646
+rect 458154 5366 458266 6646
+rect 459336 5366 459448 6646
+rect 460518 5366 460630 6646
+rect 461700 5366 461812 6646
+rect 462882 5366 462994 6646
+rect 464064 5366 464176 6646
+rect 465246 5366 465358 6646
+rect 466428 5366 466540 6646
+rect 467610 5366 467722 6646
+rect 468792 5366 468904 6646
+rect 469974 5366 470086 6646
+rect 471156 5366 471268 6646
+rect 472338 5366 472450 6646
+rect 473520 5366 473632 6646
+rect 474702 5366 474814 6646
+rect 475884 5366 475996 6646
+rect 477066 5366 477178 6646
+rect 478248 5366 478360 6646
+rect 479430 5366 479542 6646
+rect 480612 5366 480724 6646
+rect 481794 5366 481906 6646
+rect 482976 5366 483088 6646
+rect 484158 5366 484270 6646
+rect 485340 5366 485452 6646
+rect 486522 5366 486634 6646
+rect 487704 5366 487816 6646
+rect 488886 5366 488998 6646
+rect 490068 5366 490180 6646
+rect 491250 5366 491362 6646
+rect 492432 5366 492544 6646
+rect 493614 5366 493726 6646
+rect 494796 5366 494908 6646
+rect 495978 5366 496090 6646
+rect 497160 5366 497272 6646
+rect 498342 5366 498454 6646
+rect 499524 5366 499636 6646
+rect 500706 5366 500818 6646
+rect 501888 5366 502000 6646
+rect 503070 5366 503182 6646
+rect 504252 5366 504364 6646
+rect 505434 5366 505546 6646
+rect 506616 5366 506728 6646
+rect 507798 5366 507910 6646
+rect 508980 5366 509092 6646
+rect 510162 5366 510274 6646
+rect 511344 5366 511456 6646
+rect 512526 5366 512638 6646
+rect 513708 5366 513820 6646
+rect 514890 5366 515002 6646
+rect 516072 5366 516184 6646
+rect 517254 5366 517366 6646
+rect 518436 5366 518548 6646
+rect 519618 5366 519730 6646
+rect 520800 5366 520912 6646
+rect 521982 5366 522094 6646
+rect 523164 5366 523276 6646
+rect 524346 5366 524458 6646
+rect 525528 5366 525640 6646
+rect 526710 5366 526822 6646
+rect 527892 5366 528004 6646
+rect 529074 5366 529186 6646
+rect 530256 5366 530368 6646
+rect 531438 5366 531550 6646
+rect 532620 5366 532732 6646
+rect 533802 5366 533914 6646
+rect 534984 5366 535096 6646
+rect 536166 5366 536278 6646
+rect 537348 5366 537460 6646
+rect 538530 5366 538642 6646
+rect 539712 5366 539824 6646
+rect 540894 5366 541006 6646
+rect 542076 5366 542188 6646
+rect 543258 5366 543370 6646
+rect 544440 5366 544552 6646
+rect 545622 5366 545734 6646
+rect 546804 5366 546916 6646
+rect 547986 5366 548098 6646
+rect 549168 5366 549280 6646
+rect 550350 5366 550462 6646
+rect 551532 5366 551644 6646
+rect 552714 5366 552826 6646
+rect 553896 5366 554008 6646
+rect 555078 5366 555190 6646
+rect 556260 5366 556372 6646
+rect 557442 5366 557554 6646
+rect 558624 5366 558736 6646
+rect 559806 5366 559918 6646
+rect 560988 5366 561100 6646
+rect 562170 5366 562282 6646
+rect 563352 5366 563464 6646
+rect 564534 5366 564646 6646
+rect 565716 5366 565828 6646
+rect 566898 5366 567010 6646
+rect 568080 5366 568192 6646
+rect 569262 5366 569374 6646
+rect 570444 5366 570556 6646
+rect 571626 5366 571738 6646
+rect 572808 5366 572920 6646
+rect 573990 5366 574102 6646
+rect 575172 5366 575284 6646
+rect 576354 5366 576466 6646
 << via2 >>
-rect 351424 626932 351850 628628
-rect 371240 644684 372084 644874
-rect 372762 644690 373386 644868
-rect 370660 641480 371192 641666
-rect 373272 641496 373888 641664
-rect 371028 636912 371560 637098
-rect 373040 636928 373656 637096
-rect 371548 624430 371696 625304
-rect 372000 624060 372174 624632
-rect 372782 624080 372956 624652
-rect 371568 622312 371742 622884
+rect 350642 634223 352492 635565
 << metal3 >>
-rect -978 698976 462 699216
-rect 583502 698840 584942 699080
-rect -978 689592 372570 689832
-rect -978 680208 462 680448
-rect -978 670824 462 671064
-rect -978 661440 462 661680
-rect -978 652056 462 652296
-rect 371198 644874 372122 644900
-rect 371198 644684 371240 644874
-rect 372084 644870 372122 644874
-rect 372084 644684 372126 644870
-rect 371198 644650 372126 644684
-rect 371200 644648 372126 644650
-rect -978 642672 462 642912
-rect 370616 641666 371248 641698
-rect 370616 641574 370660 641666
-rect 370522 641566 370660 641574
-rect 351100 641506 370660 641566
-rect -978 633288 462 633528
-rect 351100 624480 351160 641506
-rect 370488 641505 370660 641506
-rect 370616 641480 370660 641505
-rect 371192 641480 371248 641666
-rect 370616 641454 371248 641480
-rect 371940 640150 372000 644648
-rect 352265 640090 372000 640150
-rect 351354 628628 351968 628750
-rect 351354 626932 351424 628628
-rect 351850 626932 351968 628628
-rect 351354 626848 351968 626932
-rect 350044 624420 351160 624480
-rect -978 623904 462 624144
-rect 352265 624115 352325 640090
-rect 370984 637098 371616 637130
-rect 370984 637006 371028 637098
-rect 350044 624055 352325 624115
-rect 352582 636937 371028 637006
-rect 352582 623398 352651 636937
-rect 370984 636912 371028 636937
-rect 371560 636912 371616 637098
-rect 370984 636886 371616 636912
-rect 371484 625304 371740 625348
-rect 371484 624472 371548 625304
-rect 364168 624430 371548 624472
-rect 371696 624430 371740 625304
-rect 364168 624412 371740 624430
-rect 371484 624378 371740 624412
-rect 371972 624632 372212 624672
-rect 371972 624107 372000 624632
-rect 364168 624060 372000 624107
-rect 372174 624060 372212 624632
-rect 364168 624047 372212 624060
-rect 371972 624002 372212 624047
-rect 350044 623329 352651 623398
-rect 372330 623390 372570 689592
-rect 583502 688912 584942 689152
-rect 583502 678984 584942 679224
-rect 583502 669056 584942 669296
-rect 583502 659128 584942 659368
-rect 583502 649200 584942 649440
-rect 372714 644868 400212 644894
-rect 372714 644690 372762 644868
-rect 373386 644690 400212 644868
-rect 372714 644654 400212 644690
-rect 373226 641664 396442 641718
-rect 373226 641496 373272 641664
-rect 373888 641496 396442 641664
-rect 373226 641478 396442 641496
-rect 373226 641452 374210 641478
-rect 372986 637096 389758 637124
-rect 372986 636928 373040 637096
-rect 373656 636928 389758 637096
-rect 372986 636884 389758 636928
-rect 372754 624652 372994 624692
-rect 372754 624080 372782 624652
-rect 372956 624184 372994 624652
-rect 372956 624080 377900 624184
-rect 372754 624052 377900 624080
-rect 372754 624022 372994 624052
-rect 364168 623322 372570 623390
-rect 364168 623321 372558 623322
-rect 371540 622884 371780 622924
-rect 371540 622312 371568 622884
-rect 371742 622312 371780 622884
-rect -978 614520 462 614760
-rect -978 605136 462 605376
-rect -978 595752 462 595992
-rect -978 586368 462 586608
-rect 371540 577224 371780 622312
-rect -978 576984 371780 577224
-rect -978 567600 462 567840
-rect -978 558216 462 558456
-rect -978 548832 462 549072
-rect -978 539448 462 539688
-rect -978 530064 462 530304
-rect 377660 520920 377900 624052
-rect -978 520680 377900 520920
-rect -978 511296 462 511536
-rect -978 501912 462 502152
-rect -978 492528 462 492768
-rect -978 483144 462 483384
-rect -978 473760 462 474000
-rect -978 464376 462 464616
-rect 389518 461080 389758 636884
-rect 396202 560224 396442 641478
-rect 399972 619656 400212 644654
-rect 583502 639272 584942 639512
-rect 583502 629344 584942 629584
-rect 399972 619416 584942 619656
-rect 583502 609488 584942 609728
-rect 583502 599696 584942 599936
-rect 583502 589768 584942 590008
-rect 583502 579840 584942 580080
-rect 583502 569912 584942 570152
-rect 396202 559984 584942 560224
-rect 583502 550056 584942 550296
-rect 583502 540128 584942 540368
-rect 583502 530200 584942 530440
-rect 583502 520272 584942 520512
-rect 583502 510344 584942 510584
-rect 583502 500552 584942 500792
-rect 583502 490624 584942 490864
-rect 583502 480696 584942 480936
-rect 583502 470768 584942 471008
-rect 389518 460840 584942 461080
-rect -978 454992 462 455232
-rect 583502 450912 584942 451152
-rect -978 445608 462 445848
-rect 583502 440984 584942 441224
-rect -978 436224 462 436464
-rect 583502 431056 584942 431296
-rect -978 426840 462 427080
-rect 583502 421128 584942 421368
-rect -978 417456 462 417696
-rect 583502 411200 584942 411440
-rect -978 408072 462 408312
-rect 583502 401408 584942 401648
-rect -978 398688 462 398928
-rect 583502 391480 584942 391720
-rect -978 389304 462 389544
-rect 583502 381552 584942 381792
-rect -978 379920 462 380160
-rect 583502 371624 584942 371864
-rect -978 370536 462 370776
-rect 583502 361696 584942 361936
-rect -978 361152 462 361392
-rect -978 351768 462 352008
-rect 583502 351768 584942 352008
-rect -978 342384 462 342624
-rect 583502 341840 584942 342080
-rect -978 333000 462 333240
-rect 583502 331912 584942 332152
-rect -978 323616 462 323856
-rect 583502 321984 584942 322224
-rect -978 314232 462 314472
-rect 583502 312056 584942 312296
-rect -978 304848 462 305088
-rect 583502 302264 584942 302504
-rect -978 295464 462 295704
-rect 583502 292336 584942 292576
-rect -978 286080 462 286320
-rect 583502 282408 584942 282648
-rect -978 276696 462 276936
-rect 583502 272480 584942 272720
-rect -978 267312 462 267552
-rect 583502 262552 584942 262792
-rect -978 257928 462 258168
-rect 583502 252624 584942 252864
-rect -978 248544 462 248784
-rect 583502 242696 584942 242936
-rect -978 239160 462 239400
-rect 583502 232768 584942 233008
-rect -978 229776 462 230016
-rect 583502 222840 584942 223080
-rect -978 220392 462 220632
-rect 583502 212912 584942 213152
-rect -978 211008 462 211248
-rect 583502 203120 584942 203360
-rect -978 201624 462 201864
-rect 583502 193192 584942 193432
-rect -978 192240 462 192480
-rect 583502 183264 584942 183504
-rect -978 182856 462 183096
-rect -978 173472 462 173712
-rect 583502 173336 584942 173576
-rect -978 164088 462 164328
-rect 583502 163408 584942 163648
-rect -978 154704 462 154944
-rect 583502 153480 584942 153720
-rect -978 145320 462 145560
-rect 583502 143552 584942 143792
-rect -978 135936 462 136176
-rect 583502 133624 584942 133864
-rect -978 126552 462 126792
-rect 583502 123696 584942 123936
-rect -978 117168 462 117408
-rect 583502 113768 584942 114008
-rect -978 107784 462 108024
-rect 583502 103976 584942 104216
-rect -978 98400 462 98640
-rect 583502 94048 584942 94288
-rect -978 89016 462 89256
-rect 583502 84120 584942 84360
-rect -978 79632 462 79872
-rect 583502 74192 584942 74432
-rect -978 70248 462 70488
-rect 583502 64264 584942 64504
-rect -978 60864 462 61104
-rect 583502 54336 584942 54576
-rect -978 51480 462 51720
-rect 583502 44408 584942 44648
-rect -978 42096 462 42336
-rect 583502 34480 584942 34720
-rect -978 32712 462 32952
-rect 583502 24552 584942 24792
-rect -978 23328 462 23568
-rect 583502 14624 584942 14864
-rect -978 13944 462 14184
-rect 583502 4832 584942 5072
-rect -978 4560 462 4800
+rect 9298 708466 14298 710166
+rect 61298 708466 66298 710166
+rect 113298 708466 118298 710166
+rect 158698 708466 163698 710166
+rect 163998 696769 166198 710166
+rect -6896 686408 -5196 691408
+rect 163998 689930 166198 690493
+rect 166498 696769 168698 710166
+rect 168998 708466 173998 710166
+rect 210398 708466 215398 710166
+rect 166498 689930 168698 690493
+rect 215698 696802 217898 710166
+rect 215698 690079 217898 690526
+rect 218198 696802 220398 710166
+rect 220698 708466 225698 710166
+rect 218198 690079 220398 690526
+rect 312098 655663 317098 710166
+rect 317398 696784 319598 710166
+rect 319898 700458 322098 710166
+rect 322398 700458 327398 710166
+rect 406498 708466 411498 710166
+rect 458498 708466 463498 710166
+rect 319898 698258 327398 700458
+rect 317398 690204 319598 690510
+rect -6896 650008 -5236 654808
+rect 312098 649149 317098 649906
+rect 322398 655663 327398 698258
+rect 322398 649149 327398 649906
+rect 503698 696730 508498 710166
+rect -6896 640008 -5236 644808
+rect 503698 643764 508498 690498
+rect 503698 637282 508498 637946
+rect 513698 696730 518498 710166
+rect 559698 708466 564698 710166
+rect 513698 643764 518498 690498
+rect 575404 684150 577104 689150
+rect 553154 645950 553670 650750
+rect 559846 645950 577104 650750
+rect 513698 637282 518498 637946
+rect 553154 635950 553670 640750
+rect 559846 635950 577104 640750
+rect 350574 635565 352546 635623
+rect 350574 634223 350642 635565
+rect 352492 634223 352546 635565
+rect 350574 634156 352546 634223
+rect 333064 626460 338764 626529
+rect 364203 626468 526713 626537
+rect -6896 565608 -5236 570408
+rect -6896 555608 -5236 560408
+rect 333064 517808 333176 626460
+rect 334837 625740 334843 625850
+rect 334953 625803 334959 625850
+rect 526193 625811 526199 625813
+rect 334953 625743 338764 625803
+rect 364203 625751 526199 625811
+rect 526193 625749 526199 625751
+rect 526263 625749 526269 625813
+rect 334953 625740 334959 625743
+rect 526209 625446 526215 625448
+rect -7696 517696 333176 517808
+rect 334071 625378 338764 625438
+rect 364203 625386 526215 625446
+rect 526209 625384 526215 625386
+rect 526279 625384 526285 625448
+rect -7696 516514 -6416 516626
+rect -7696 515332 -6416 515444
+rect -7696 514150 -6416 514262
+rect -7696 512968 -6416 513080
+rect -7696 511786 -6416 511898
+rect -7696 474474 -6416 474586
+rect -7696 473292 -6416 473404
+rect -7696 472110 -6416 472222
+rect -7696 470928 -6416 471040
+rect 334071 469858 334183 625378
+rect -7696 469746 334183 469858
+rect 334842 624798 334954 624804
+rect -7696 468564 6998 468676
+rect 10668 468564 10815 468676
+rect -7696 431252 -6416 431364
+rect -7696 430070 -6416 430182
+rect -7696 428888 -6416 429000
+rect -7696 427706 -6416 427818
+rect 334842 426636 334954 624686
+rect -7696 426524 334954 426636
+rect -7696 425342 6991 425454
+rect 10703 425342 10798 425454
+rect 526601 411574 526713 626468
+rect 526998 625813 527062 625819
+rect 527062 625751 532710 625811
+rect 526998 625743 527062 625749
+rect 527008 625448 527072 625454
+rect 527072 625386 530592 625446
+rect 527008 625378 527072 625384
+rect 530480 460724 530592 625386
+rect 532598 505146 532710 625751
+rect 576624 595638 577904 595750
+rect 576624 594456 577904 594568
+rect 576624 593274 577904 593386
+rect 576624 592092 577904 592204
+rect 576624 590910 577904 591022
+rect 576624 589728 577904 589840
+rect 548556 556728 549333 561528
+rect 555450 556728 577104 561528
+rect 548556 546728 549333 551528
+rect 555450 546728 577104 551528
+rect 566475 506216 566652 506328
+rect 569847 506216 577904 506328
+rect 532598 505034 577904 505146
+rect 576624 503852 577904 503964
+rect 576624 502670 577904 502782
+rect 576624 501488 577904 501600
+rect 576624 500306 577904 500418
+rect 566509 461794 566660 461906
+rect 569835 461794 577904 461906
+rect 530480 460612 577904 460724
+rect 576624 459430 577904 459542
+rect 576624 458248 577904 458360
+rect 576624 457066 577904 457178
+rect 576624 455884 577904 455996
+rect 576624 417372 577904 417484
+rect 576624 416190 577904 416302
+rect 576624 415008 577904 415120
+rect 576624 413826 577904 413938
+rect 576624 412644 577904 412756
+rect 526601 411462 577904 411574
+rect -7696 388030 -6416 388142
+rect -7696 386848 -6416 386960
+rect -7696 385666 -6416 385778
+rect -7696 384484 -6416 384596
+rect -7696 383302 -6416 383414
+rect -7696 382120 -6416 382232
+rect 576624 370950 577904 371062
+rect 576624 369768 577904 369880
+rect 576624 368586 577904 368698
+rect 576624 367404 577904 367516
+rect 576624 366222 577904 366334
+rect 576624 365040 577904 365152
+rect -7696 344808 -6416 344920
+rect -7696 343626 -6416 343738
+rect -7696 342444 -6416 342556
+rect -7696 341262 -6416 341374
+rect -7696 340080 -6416 340192
+rect -7696 338898 -6416 339010
+rect 576624 325728 577904 325840
+rect 576624 324546 577904 324658
+rect 576624 323364 577904 323476
+rect 576624 322182 577904 322294
+rect 576624 321000 577904 321112
+rect 576624 319818 577904 319930
+rect -7696 301586 -6416 301698
+rect -7696 300404 -6416 300516
+rect -7696 299222 -6416 299334
+rect -7696 298040 -6416 298152
+rect -7696 296858 -6416 296970
+rect -7696 295676 -6416 295788
+rect 576624 281306 577904 281418
+rect 576624 280124 577904 280236
+rect 576624 278942 577904 279054
+rect 576624 277760 577904 277872
+rect 576624 276578 577904 276690
+rect 576624 275396 577904 275508
+rect -7696 258564 -6416 258676
+rect -7696 257382 -6416 257494
+rect -7696 256200 -6416 256312
+rect -7696 255018 -6416 255130
+rect -7696 253836 -6416 253948
+rect -7696 252654 -6416 252766
+rect 575444 241396 577104 246196
+rect 575444 231396 577104 236196
+rect -6896 221054 -5236 225854
+rect -6896 211054 -5236 215854
+rect 6510 197596 7095 202396
+rect 10531 197596 566709 202396
+rect 569733 197596 577104 202396
+rect 575444 187596 577104 192396
+rect -6896 179054 -5236 183854
+rect -6896 169054 -5236 173854
+rect 575444 152996 577104 157796
+rect 575444 142996 577104 147796
+rect -7696 130942 -6416 131054
+rect -7696 129760 -6416 129872
+rect -7696 128578 -6416 128690
+rect -7696 127396 -6416 127508
+rect -7696 126214 -6416 126326
+rect -7696 125032 -6416 125144
+rect 576624 101284 577904 101396
+rect 576624 100102 577904 100214
+rect 576624 98920 577904 99032
+rect 576624 97738 577904 97850
+rect -7696 87720 -6416 87832
+rect -7696 86538 -6416 86650
+rect -7696 85356 -6416 85468
+rect -7696 84174 -6416 84286
+rect -7696 82992 -6416 83104
+rect -7696 81810 -6416 81922
+rect 576624 56626 577904 56738
+rect 576624 55444 577904 55556
+rect 576624 54262 577904 54374
+rect 576624 53080 577904 53192
+rect -7696 44498 -6416 44610
+rect -7696 43316 -6416 43428
+rect -7696 42134 -6416 42246
+rect -7696 40952 -6416 41064
+rect -7696 39770 -6416 39882
+rect -7696 38588 -6416 38700
+rect 576624 30168 577904 30280
+rect 576624 28986 577904 29098
+rect 576624 27804 577904 27916
+rect 576624 26622 577904 26734
+rect 576624 25440 577904 25552
+rect 576624 24258 577904 24370
+rect -7696 23076 -6416 23188
+rect 576624 23076 577904 23188
+rect -7696 21894 -6416 22006
+rect 576624 21894 577904 22006
+rect -7696 20712 -6416 20824
+rect 576624 20712 577904 20824
+rect -7696 19530 -6416 19642
+rect 576624 19530 577904 19642
+rect -7696 18348 -6416 18460
+rect 576624 18348 577904 18460
+rect -7696 17166 -6416 17278
+rect 576624 17166 577904 17278
+rect -7696 15984 -6416 16096
+rect 576624 15984 577904 16096
+rect -7696 14802 -6416 14914
+rect 576624 14802 577904 14914
+rect -7696 13620 -6416 13732
+rect 576624 13620 577904 13732
+rect -7696 12438 -6416 12550
+rect 576624 12438 577904 12550
+rect -7696 11256 -6416 11368
+rect 576624 11256 577904 11368
+rect -7696 10074 -6416 10186
+rect 576624 10074 577904 10186
+rect -7696 8892 -6416 9004
+rect 576624 8892 577904 9004
+rect -7696 7710 -6416 7822
+rect 576624 7710 577904 7822
 << via3 >>
-rect 351424 626932 351850 628628
+rect 163998 690493 166198 696769
+rect 166498 690493 168698 696769
+rect 215698 690526 217898 696802
+rect 218198 690526 220398 696802
+rect 317398 690510 319598 696784
+rect 312098 649906 317098 655663
+rect 322398 649906 327398 655663
+rect 503698 690498 508498 696730
+rect 503698 637946 508498 643764
+rect 513698 690498 518498 696730
+rect 553670 645950 559846 650750
+rect 513698 637946 518498 643764
+rect 553670 635950 559846 640750
+rect 350642 634223 352492 635565
+rect 334843 625740 334953 625850
+rect 526199 625749 526263 625813
+rect 526215 625384 526279 625448
+rect 334842 624686 334954 624798
+rect 6998 468564 10668 468676
+rect 6991 425342 10703 425454
+rect 526998 625749 527062 625813
+rect 527008 625384 527072 625448
+rect 549333 556728 555450 561528
+rect 549333 546728 555450 551528
+rect 566652 506216 569847 506328
+rect 566660 461794 569835 461906
+rect 7095 197596 10531 202396
+rect 566709 197596 569733 202396
 << metal4 >>
-rect -8594 711406 -7994 711428
-rect -8594 711170 -8412 711406
-rect -8176 711170 -7994 711406
-rect -8594 711086 -7994 711170
-rect -8594 710850 -8412 711086
-rect -8176 710850 -7994 711086
-rect -8594 -6938 -7994 710850
-rect 591882 711406 592482 711428
-rect 591882 711170 592064 711406
-rect 592300 711170 592482 711406
-rect 591882 711086 592482 711170
-rect 591882 710850 592064 711086
-rect 592300 710850 592482 711086
-rect -7654 710466 -7054 710488
-rect -7654 710230 -7472 710466
-rect -7236 710230 -7054 710466
-rect -7654 710146 -7054 710230
-rect -7654 709910 -7472 710146
-rect -7236 709910 -7054 710146
-rect -7654 -5998 -7054 709910
-rect 590942 710466 591542 710488
-rect 590942 710230 591124 710466
-rect 591360 710230 591542 710466
-rect 590942 710146 591542 710230
-rect 590942 709910 591124 710146
-rect 591360 709910 591542 710146
-rect -6714 709526 -6114 709548
-rect -6714 709290 -6532 709526
-rect -6296 709290 -6114 709526
-rect -6714 709206 -6114 709290
-rect -6714 708970 -6532 709206
-rect -6296 708970 -6114 709206
-rect -6714 -5058 -6114 708970
-rect 343100 709500 344038 709618
-rect 343100 708996 343160 709500
-rect 343966 708996 344038 709500
-rect -5774 708586 -5174 708608
-rect -5774 708350 -5592 708586
-rect -5356 708350 -5174 708586
-rect -5774 708266 -5174 708350
-rect -5774 708030 -5592 708266
-rect -5356 708030 -5174 708266
-rect -5774 -4118 -5174 708030
-rect -4834 707646 -4234 707668
-rect -4834 707410 -4652 707646
-rect -4416 707410 -4234 707646
-rect -4834 707326 -4234 707410
-rect -4834 707090 -4652 707326
-rect -4416 707090 -4234 707326
-rect -4834 -3178 -4234 707090
-rect -3894 706706 -3294 706728
-rect -3894 706470 -3712 706706
-rect -3476 706470 -3294 706706
-rect -3894 706386 -3294 706470
-rect -3894 706150 -3712 706386
-rect -3476 706150 -3294 706386
-rect -3894 -2238 -3294 706150
-rect -2954 705766 -2354 705788
-rect -2954 705530 -2772 705766
-rect -2536 705530 -2354 705766
-rect -2954 705446 -2354 705530
-rect -2954 705210 -2772 705446
-rect -2536 705210 -2354 705446
-rect -2954 -1298 -2354 705210
-rect -2014 704826 -1414 704848
-rect -2014 704590 -1832 704826
-rect -1596 704590 -1414 704826
-rect -2014 704506 -1414 704590
-rect -2014 704270 -1832 704506
-rect -1596 704270 -1414 704506
-rect -2014 -358 -1414 704270
-rect 343100 698930 344038 708996
-rect 357218 709494 358156 709588
-rect 357218 708990 357280 709494
-rect 358086 708990 358156 709494
-rect 351430 708596 351846 708622
-rect 351138 708524 352174 708596
-rect 351138 708076 351240 708524
-rect 352044 708076 352174 708524
-rect 351138 708018 352174 708076
-rect 350523 704806 350852 704880
-rect 350523 704288 350554 704806
-rect 350816 704288 350852 704806
-rect 350523 624855 350852 704288
-rect 351430 630020 351846 708018
-rect 357218 699046 358156 708990
-rect 590002 709526 590602 709548
-rect 590002 709290 590184 709526
-rect 590420 709290 590602 709526
-rect 590002 709206 590602 709290
-rect 590002 708970 590184 709206
-rect 590420 708970 590602 709206
-rect 589062 708586 589662 708608
-rect 589062 708350 589244 708586
-rect 589480 708350 589662 708586
-rect 589062 708266 589662 708350
-rect 589062 708030 589244 708266
-rect 589480 708030 589662 708266
-rect 588122 707646 588722 707668
-rect 588122 707410 588304 707646
-rect 588540 707410 588722 707646
-rect 588122 707326 588722 707410
-rect 588122 707090 588304 707326
-rect 588540 707090 588722 707326
-rect 587182 706706 587782 706728
-rect 587182 706470 587364 706706
-rect 587600 706470 587782 706706
-rect 587182 706386 587782 706470
-rect 587182 706150 587364 706386
-rect 587600 706150 587782 706386
-rect 586242 705766 586842 705788
-rect 586242 705530 586424 705766
-rect 586660 705530 586842 705766
-rect 586242 705446 586842 705530
-rect 586242 705210 586424 705446
-rect 586660 705210 586842 705446
-rect 365282 704818 365616 704864
-rect 365282 704272 365304 704818
-rect 365596 704272 365616 704818
-rect 351432 628750 351844 630020
-rect 351354 628628 351968 628750
-rect 351354 626932 351424 628628
-rect 351850 626932 351968 628628
-rect 365282 628604 365616 704272
-rect 585302 704826 585902 704848
-rect 585302 704590 585484 704826
-rect 585720 704590 585902 704826
-rect 585302 704506 585902 704590
-rect 585302 704270 585484 704506
-rect 585720 704270 585902 704506
-rect 351354 626848 351968 626932
-rect 349828 624526 350858 624855
-rect 365285 624847 365614 628604
-rect 364046 624518 365614 624847
-rect -2014 -594 -1832 -358
-rect -1596 -594 -1414 -358
-rect -2014 -678 -1414 -594
-rect -2014 -914 -1832 -678
-rect -1596 -914 -1414 -678
-rect -2014 -936 -1414 -914
-rect 585302 -358 585902 704270
-rect 585302 -594 585484 -358
-rect 585720 -594 585902 -358
-rect 585302 -678 585902 -594
-rect 585302 -914 585484 -678
-rect 585720 -914 585902 -678
-rect 585302 -936 585902 -914
-rect -2954 -1534 -2772 -1298
-rect -2536 -1534 -2354 -1298
-rect -2954 -1618 -2354 -1534
-rect -2954 -1854 -2772 -1618
-rect -2536 -1854 -2354 -1618
-rect -2954 -1876 -2354 -1854
-rect 586242 -1298 586842 705210
-rect 586242 -1534 586424 -1298
-rect 586660 -1534 586842 -1298
-rect 586242 -1618 586842 -1534
-rect 586242 -1854 586424 -1618
-rect 586660 -1854 586842 -1618
-rect 586242 -1876 586842 -1854
-rect -3894 -2474 -3712 -2238
-rect -3476 -2474 -3294 -2238
-rect -3894 -2558 -3294 -2474
-rect -3894 -2794 -3712 -2558
-rect -3476 -2794 -3294 -2558
-rect -3894 -2816 -3294 -2794
-rect 587182 -2238 587782 706150
-rect 587182 -2474 587364 -2238
-rect 587600 -2474 587782 -2238
-rect 587182 -2558 587782 -2474
-rect 587182 -2794 587364 -2558
-rect 587600 -2794 587782 -2558
-rect 587182 -2816 587782 -2794
-rect -4834 -3414 -4652 -3178
-rect -4416 -3414 -4234 -3178
-rect -4834 -3498 -4234 -3414
-rect -4834 -3734 -4652 -3498
-rect -4416 -3734 -4234 -3498
-rect -4834 -3756 -4234 -3734
-rect 588122 -3178 588722 707090
-rect 588122 -3414 588304 -3178
-rect 588540 -3414 588722 -3178
-rect 588122 -3498 588722 -3414
-rect 588122 -3734 588304 -3498
-rect 588540 -3734 588722 -3498
-rect 588122 -3756 588722 -3734
-rect -5774 -4354 -5592 -4118
-rect -5356 -4354 -5174 -4118
-rect -5774 -4438 -5174 -4354
-rect -5774 -4674 -5592 -4438
-rect -5356 -4674 -5174 -4438
-rect -5774 -4696 -5174 -4674
-rect 589062 -4118 589662 708030
-rect 589062 -4354 589244 -4118
-rect 589480 -4354 589662 -4118
-rect 589062 -4438 589662 -4354
-rect 589062 -4674 589244 -4438
-rect 589480 -4674 589662 -4438
-rect 589062 -4696 589662 -4674
-rect -6714 -5294 -6532 -5058
-rect -6296 -5294 -6114 -5058
-rect -6714 -5378 -6114 -5294
-rect -6714 -5614 -6532 -5378
-rect -6296 -5614 -6114 -5378
-rect -6714 -5636 -6114 -5614
-rect 590002 -5058 590602 708970
-rect 590002 -5294 590184 -5058
-rect 590420 -5294 590602 -5058
-rect 590002 -5378 590602 -5294
-rect 590002 -5614 590184 -5378
-rect 590420 -5614 590602 -5378
-rect 590002 -5636 590602 -5614
-rect -7654 -6234 -7472 -5998
-rect -7236 -6234 -7054 -5998
-rect -7654 -6318 -7054 -6234
-rect -7654 -6554 -7472 -6318
-rect -7236 -6554 -7054 -6318
-rect -7654 -6576 -7054 -6554
-rect 590942 -5998 591542 709910
-rect 590942 -6234 591124 -5998
-rect 591360 -6234 591542 -5998
-rect 590942 -6318 591542 -6234
-rect 590942 -6554 591124 -6318
-rect 591360 -6554 591542 -6318
-rect 590942 -6576 591542 -6554
-rect -8594 -7174 -8412 -6938
-rect -8176 -7174 -7994 -6938
-rect -8594 -7258 -7994 -7174
-rect -8594 -7494 -8412 -7258
-rect -8176 -7494 -7994 -7258
-rect -8594 -7516 -7994 -7494
-rect 591882 -6938 592482 710850
-rect 591882 -7174 592064 -6938
-rect 592300 -7174 592482 -6938
-rect 591882 -7258 592482 -7174
-rect 591882 -7494 592064 -7258
-rect 592300 -7494 592482 -7258
-rect 591882 -7516 592482 -7494
+rect 163732 696802 519266 696903
+rect 163732 696769 215698 696802
+rect 163732 690493 163998 696769
+rect 166198 690493 166498 696769
+rect 168698 690526 215698 696769
+rect 217898 690526 218198 696802
+rect 220398 696784 519266 696802
+rect 220398 690526 317398 696784
+rect 168698 690510 317398 690526
+rect 319598 696730 519266 696784
+rect 319598 690510 503698 696730
+rect 168698 690498 503698 690510
+rect 508498 690498 513698 696730
+rect 518498 690498 519266 696730
+rect 168698 690493 519266 690498
+rect 163732 690349 519266 690493
+rect 311434 656003 353077 656064
+rect 311434 655663 350663 656003
+rect 311434 649906 312098 655663
+rect 317098 649906 322398 655663
+rect 327398 649906 350663 655663
+rect 311434 649560 350663 649906
+rect 352418 649560 353077 656003
+rect 311434 649510 353077 649560
+rect 553529 650750 560083 651146
+rect 553529 645950 553670 650750
+rect 559846 645950 560083 650750
+rect 349248 643764 518800 644064
+rect 349248 637946 503698 643764
+rect 508498 637946 513698 643764
+rect 518498 637946 518800 643764
+rect 349248 637510 518800 637946
+rect 553529 640750 560083 645950
+rect 350546 635565 352574 637510
+rect 350546 634223 350642 635565
+rect 352492 634223 352574 635565
+rect 350546 626039 352574 634223
+rect 553529 635950 553670 640750
+rect 559846 635950 560083 640750
+rect 334842 625850 334954 625851
+rect 334842 625740 334843 625850
+rect 334953 625740 334954 625850
+rect 334842 624799 334954 625740
+rect 349971 625639 352989 626039
+rect 526198 625813 526264 625814
+rect 526198 625749 526199 625813
+rect 526263 625811 526264 625813
+rect 526997 625813 527063 625814
+rect 526997 625811 526998 625813
+rect 526263 625751 526998 625811
+rect 526263 625749 526264 625751
+rect 526198 625748 526264 625749
+rect 526997 625749 526998 625751
+rect 527062 625749 527063 625813
+rect 526997 625748 527063 625749
+rect 526214 625448 526280 625449
+rect 526214 625384 526215 625448
+rect 526279 625446 526280 625448
+rect 527007 625448 527073 625449
+rect 527007 625446 527008 625448
+rect 526279 625386 527008 625446
+rect 526279 625384 526280 625386
+rect 526214 625383 526280 625384
+rect 527007 625384 527008 625386
+rect 527072 625384 527073 625448
+rect 527007 625383 527073 625384
+rect 334841 624798 334955 624799
+rect 334841 624686 334842 624798
+rect 334954 624686 334955 624798
+rect 334841 624685 334955 624686
+rect 338877 619922 339932 625015
+rect 345032 623995 346861 625022
+rect 345032 621415 345132 623995
+rect 346707 621415 346861 623995
+rect 345032 621297 346861 621415
+rect 356432 624001 358261 625050
+rect 356432 621421 356516 624001
+rect 358091 621421 358261 624001
+rect 356432 621297 358261 621421
+rect 362927 619922 364084 625025
+rect 553529 619922 560083 635950
+rect 338360 613368 560083 619922
+rect 355762 607738 555717 607922
+rect 355762 603397 356518 607738
+rect 358096 603397 555717 607738
+rect 355762 601368 555717 603397
+rect 549163 561528 555717 601368
+rect 549163 556728 549333 561528
+rect 555450 556728 555717 561528
+rect 549163 551528 555717 556728
+rect 549163 546728 549333 551528
+rect 555450 546728 555717 551528
+rect 549163 546321 555717 546728
+rect 566568 506328 569920 506639
+rect 566568 506216 566652 506328
+rect 569847 506216 569920 506328
+rect 6918 468676 10788 468937
+rect 6918 468564 6998 468676
+rect 10668 468564 10788 468676
+rect 6918 425454 10788 468564
+rect 6918 425342 6991 425454
+rect 10703 425342 10788 425454
+rect 6918 233423 10788 425342
+rect 566568 461906 569920 506216
+rect 566568 461794 566660 461906
+rect 569835 461794 569920 461906
+rect 6915 202396 10792 233423
+rect 6915 197596 7095 202396
+rect 10531 197596 10792 202396
+rect 6915 197264 10792 197596
+rect 566568 202396 569920 461794
+rect 566568 197596 566709 202396
+rect 569733 197596 569920 202396
+rect 566568 197357 569920 197596
 << via4 >>
-rect -8412 711170 -8176 711406
-rect -8412 710850 -8176 711086
-rect 592064 711170 592300 711406
-rect 592064 710850 592300 711086
-rect -7472 710230 -7236 710466
-rect -7472 709910 -7236 710146
-rect 591124 710230 591360 710466
-rect 591124 709910 591360 710146
-rect -6532 709290 -6296 709526
-rect -6532 708970 -6296 709206
-rect 343160 708996 343966 709500
-rect -5592 708350 -5356 708586
-rect -5592 708030 -5356 708266
-rect -4652 707410 -4416 707646
-rect -4652 707090 -4416 707326
-rect -3712 706470 -3476 706706
-rect -3712 706150 -3476 706386
-rect -2772 705530 -2536 705766
-rect -2772 705210 -2536 705446
-rect -1832 704590 -1596 704826
-rect -1832 704270 -1596 704506
-rect 357280 708990 358086 709494
-rect 351240 708076 352044 708524
-rect 350554 704288 350816 704806
-rect 590184 709290 590420 709526
-rect 590184 708970 590420 709206
-rect 589244 708350 589480 708586
-rect 589244 708030 589480 708266
-rect 588304 707410 588540 707646
-rect 588304 707090 588540 707326
-rect 587364 706470 587600 706706
-rect 587364 706150 587600 706386
-rect 586424 705530 586660 705766
-rect 586424 705210 586660 705446
-rect 365304 704272 365596 704818
-rect 585484 704590 585720 704826
-rect 585484 704270 585720 704506
-rect -1832 -594 -1596 -358
-rect -1832 -914 -1596 -678
-rect 585484 -594 585720 -358
-rect 585484 -914 585720 -678
-rect -2772 -1534 -2536 -1298
-rect -2772 -1854 -2536 -1618
-rect 586424 -1534 586660 -1298
-rect 586424 -1854 586660 -1618
-rect -3712 -2474 -3476 -2238
-rect -3712 -2794 -3476 -2558
-rect 587364 -2474 587600 -2238
-rect 587364 -2794 587600 -2558
-rect -4652 -3414 -4416 -3178
-rect -4652 -3734 -4416 -3498
-rect 588304 -3414 588540 -3178
-rect 588304 -3734 588540 -3498
-rect -5592 -4354 -5356 -4118
-rect -5592 -4674 -5356 -4438
-rect 589244 -4354 589480 -4118
-rect 589244 -4674 589480 -4438
-rect -6532 -5294 -6296 -5058
-rect -6532 -5614 -6296 -5378
-rect 590184 -5294 590420 -5058
-rect 590184 -5614 590420 -5378
-rect -7472 -6234 -7236 -5998
-rect -7472 -6554 -7236 -6318
-rect 591124 -6234 591360 -5998
-rect 591124 -6554 591360 -6318
-rect -8412 -7174 -8176 -6938
-rect -8412 -7494 -8176 -7258
-rect 592064 -7174 592300 -6938
-rect 592064 -7494 592300 -7258
+rect 350663 649560 352418 656003
+rect 345132 621415 346707 623995
+rect 356516 621421 358091 624001
+rect 356518 603397 358096 607738
 << metal5 >>
-rect -8594 711428 -7994 711430
-rect 591882 711428 592482 711430
-rect -8594 711406 592482 711428
-rect -8594 711170 -8412 711406
-rect -8176 711170 592064 711406
-rect 592300 711170 592482 711406
-rect -8594 711086 592482 711170
-rect -8594 710850 -8412 711086
-rect -8176 710850 592064 711086
-rect 592300 710850 592482 711086
-rect -8594 710828 592482 710850
-rect -8594 710826 -7994 710828
-rect 591882 710826 592482 710828
-rect -7654 710488 -7054 710490
-rect 590942 710488 591542 710490
-rect -7654 710466 591542 710488
-rect -7654 710230 -7472 710466
-rect -7236 710230 591124 710466
-rect 591360 710230 591542 710466
-rect -7654 710146 591542 710230
-rect -7654 709910 -7472 710146
-rect -7236 709910 591124 710146
-rect 591360 709910 591542 710146
-rect -7654 709888 591542 709910
-rect -7654 709886 -7054 709888
-rect 590942 709886 591542 709888
-rect -6714 709548 -6114 709550
-rect 590002 709548 590602 709550
-rect -6714 709526 590602 709548
-rect -6714 709290 -6532 709526
-rect -6296 709500 590184 709526
-rect -6296 709290 343160 709500
-rect -6714 709206 343160 709290
-rect -6714 708970 -6532 709206
-rect -6296 708996 343160 709206
-rect 343966 709494 590184 709500
-rect 343966 708996 357280 709494
-rect -6296 708990 357280 708996
-rect 358086 709290 590184 709494
-rect 590420 709290 590602 709526
-rect 358086 709206 590602 709290
-rect 358086 708990 590184 709206
-rect -6296 708970 590184 708990
-rect 590420 708970 590602 709206
-rect -6714 708948 590602 708970
-rect -6714 708946 -6114 708948
-rect 590002 708946 590602 708948
-rect -5774 708608 -5174 708610
-rect 589062 708608 589662 708610
-rect -5774 708586 589662 708608
-rect -5774 708350 -5592 708586
-rect -5356 708524 589244 708586
-rect -5356 708350 351240 708524
-rect -5774 708266 351240 708350
-rect -5774 708030 -5592 708266
-rect -5356 708076 351240 708266
-rect 352044 708350 589244 708524
-rect 589480 708350 589662 708586
-rect 352044 708266 589662 708350
-rect 352044 708076 589244 708266
-rect -5356 708030 589244 708076
-rect 589480 708030 589662 708266
-rect -5774 708008 589662 708030
-rect -5774 708006 -5174 708008
-rect 589062 708006 589662 708008
-rect -4834 707668 -4234 707670
-rect 588122 707668 588722 707670
-rect -4834 707646 588722 707668
-rect -4834 707410 -4652 707646
-rect -4416 707410 588304 707646
-rect 588540 707410 588722 707646
-rect -4834 707326 588722 707410
-rect -4834 707090 -4652 707326
-rect -4416 707090 588304 707326
-rect 588540 707090 588722 707326
-rect -4834 707068 588722 707090
-rect -4834 707066 -4234 707068
-rect 588122 707066 588722 707068
-rect -3894 706728 -3294 706730
-rect 587182 706728 587782 706730
-rect -3894 706706 587782 706728
-rect -3894 706470 -3712 706706
-rect -3476 706470 587364 706706
-rect 587600 706470 587782 706706
-rect -3894 706386 587782 706470
-rect -3894 706150 -3712 706386
-rect -3476 706150 587364 706386
-rect 587600 706150 587782 706386
-rect -3894 706128 587782 706150
-rect -3894 706126 -3294 706128
-rect 587182 706126 587782 706128
-rect -2954 705788 -2354 705790
-rect 586242 705788 586842 705790
-rect -2954 705766 586842 705788
-rect -2954 705530 -2772 705766
-rect -2536 705530 586424 705766
-rect 586660 705530 586842 705766
-rect -2954 705446 586842 705530
-rect -2954 705210 -2772 705446
-rect -2536 705210 586424 705446
-rect 586660 705210 586842 705446
-rect -2954 705188 586842 705210
-rect -2954 705186 -2354 705188
-rect 586242 705186 586842 705188
-rect -2014 704848 -1414 704850
-rect 585302 704848 585902 704850
-rect -2014 704826 585902 704848
-rect -2014 704590 -1832 704826
-rect -1596 704818 585484 704826
-rect -1596 704806 365304 704818
-rect -1596 704590 350554 704806
-rect -2014 704506 350554 704590
-rect -2014 704270 -1832 704506
-rect -1596 704288 350554 704506
-rect 350816 704288 365304 704806
-rect -1596 704272 365304 704288
-rect 365596 704590 585484 704818
-rect 585720 704590 585902 704826
-rect 365596 704506 585902 704590
-rect 365596 704272 585484 704506
-rect -1596 704270 585484 704272
-rect 585720 704270 585902 704506
-rect -2014 704248 585902 704270
-rect -2014 704246 -1414 704248
-rect 585302 704246 585902 704248
-rect 343130 623776 344004 701299
-rect 357252 623768 358152 702284
-rect -2014 -336 -1414 -334
-rect 585302 -336 585902 -334
-rect -2014 -358 585902 -336
-rect -2014 -594 -1832 -358
-rect -1596 -594 585484 -358
-rect 585720 -594 585902 -358
-rect -2014 -678 585902 -594
-rect -2014 -914 -1832 -678
-rect -1596 -914 585484 -678
-rect 585720 -914 585902 -678
-rect -2014 -936 585902 -914
-rect -2014 -938 -1414 -936
-rect 585302 -938 585902 -936
-rect -2954 -1276 -2354 -1274
-rect 586242 -1276 586842 -1274
-rect -2954 -1298 586842 -1276
-rect -2954 -1534 -2772 -1298
-rect -2536 -1534 586424 -1298
-rect 586660 -1534 586842 -1298
-rect -2954 -1618 586842 -1534
-rect -2954 -1854 -2772 -1618
-rect -2536 -1854 586424 -1618
-rect 586660 -1854 586842 -1618
-rect -2954 -1876 586842 -1854
-rect -2954 -1878 -2354 -1876
-rect 586242 -1878 586842 -1876
-rect -3894 -2216 -3294 -2214
-rect 587182 -2216 587782 -2214
-rect -3894 -2238 587782 -2216
-rect -3894 -2474 -3712 -2238
-rect -3476 -2474 587364 -2238
-rect 587600 -2474 587782 -2238
-rect -3894 -2558 587782 -2474
-rect -3894 -2794 -3712 -2558
-rect -3476 -2794 587364 -2558
-rect 587600 -2794 587782 -2558
-rect -3894 -2816 587782 -2794
-rect -3894 -2818 -3294 -2816
-rect 587182 -2818 587782 -2816
-rect -4834 -3156 -4234 -3154
-rect 588122 -3156 588722 -3154
-rect -4834 -3178 588722 -3156
-rect -4834 -3414 -4652 -3178
-rect -4416 -3414 588304 -3178
-rect 588540 -3414 588722 -3178
-rect -4834 -3498 588722 -3414
-rect -4834 -3734 -4652 -3498
-rect -4416 -3734 588304 -3498
-rect 588540 -3734 588722 -3498
-rect -4834 -3756 588722 -3734
-rect -4834 -3758 -4234 -3756
-rect 588122 -3758 588722 -3756
-rect -5774 -4096 -5174 -4094
-rect 589062 -4096 589662 -4094
-rect -5774 -4118 589662 -4096
-rect -5774 -4354 -5592 -4118
-rect -5356 -4354 589244 -4118
-rect 589480 -4354 589662 -4118
-rect -5774 -4438 589662 -4354
-rect -5774 -4674 -5592 -4438
-rect -5356 -4674 589244 -4438
-rect 589480 -4674 589662 -4438
-rect -5774 -4696 589662 -4674
-rect -5774 -4698 -5174 -4696
-rect 589062 -4698 589662 -4696
-rect -6714 -5036 -6114 -5034
-rect 590002 -5036 590602 -5034
-rect -6714 -5058 590602 -5036
-rect -6714 -5294 -6532 -5058
-rect -6296 -5294 590184 -5058
-rect 590420 -5294 590602 -5058
-rect -6714 -5378 590602 -5294
-rect -6714 -5614 -6532 -5378
-rect -6296 -5614 590184 -5378
-rect 590420 -5614 590602 -5378
-rect -6714 -5636 590602 -5614
-rect -6714 -5638 -6114 -5636
-rect 590002 -5638 590602 -5636
-rect -7654 -5976 -7054 -5974
-rect 590942 -5976 591542 -5974
-rect -7654 -5998 591542 -5976
-rect -7654 -6234 -7472 -5998
-rect -7236 -6234 591124 -5998
-rect 591360 -6234 591542 -5998
-rect -7654 -6318 591542 -6234
-rect -7654 -6554 -7472 -6318
-rect -7236 -6554 591124 -6318
-rect 591360 -6554 591542 -6318
-rect -7654 -6576 591542 -6554
-rect -7654 -6578 -7054 -6576
-rect 590942 -6578 591542 -6576
-rect -8594 -6916 -7994 -6914
-rect 591882 -6916 592482 -6914
-rect -8594 -6938 592482 -6916
-rect -8594 -7174 -8412 -6938
-rect -8176 -7174 592064 -6938
-rect 592300 -7174 592482 -6938
-rect -8594 -7258 592482 -7174
-rect -8594 -7494 -8412 -7258
-rect -8176 -7494 592064 -7258
-rect 592300 -7494 592482 -7258
-rect -8594 -7516 592482 -7494
-rect -8594 -7518 -7994 -7516
-rect 591882 -7518 592482 -7516
+rect 350625 656003 352454 656157
+rect 350625 649560 350663 656003
+rect 352418 649560 352454 656003
+rect 345022 623995 346851 624095
+rect 345022 621415 345132 623995
+rect 346707 621415 346851 623995
+rect 345022 621066 346851 621415
+rect 350625 621066 352454 649560
+rect 345022 619237 352454 621066
+rect 356422 624001 358251 624095
+rect 356422 621421 356516 624001
+rect 358091 621421 358251 624001
+rect 356422 607738 358251 621421
+rect 356422 603397 356518 607738
+rect 358096 603397 358251 607738
+rect 356422 603218 358251 603397
+<< comment >>
+rect -6996 710166 577204 710266
+rect -6996 6166 -6896 710166
+rect 577104 6166 577204 710166
+rect -6996 6066 577204 6166
 use user_analog_proj_example  user_analog_proj_example_0
-timestamp 1619730755
-transform 1 0 338772 0 1 616578
-box -26 -22 25476 8324
+timestamp 1620310959
+transform 1 0 338772 0 -1 633280
+box -59 -22 25476 8324
 << labels >>
-rlabel metal3 s 583502 282408 584942 282648 6 gpio_analog[0]
+flabel metal3 s 576624 275396 577904 275508 0 FreeSans 1120 0 0 0 gpio_analog[0]
 port 0 nsew signal bidirectional
-rlabel metal3 s -978 558216 462 558456 4 gpio_analog[10]
+flabel metal3 s -7696 388030 -6416 388142 0 FreeSans 1120 0 0 0 gpio_analog[10]
 port 1 nsew signal bidirectional
-rlabel metal3 s -978 501912 462 502152 4 gpio_analog[11]
+flabel metal3 s -7696 344808 -6416 344920 0 FreeSans 1120 0 0 0 gpio_analog[11]
 port 2 nsew signal bidirectional
-rlabel metal3 s -978 445608 462 445848 4 gpio_analog[12]
+flabel metal3 s -7696 301586 -6416 301698 0 FreeSans 1120 0 0 0 gpio_analog[12]
 port 3 nsew signal bidirectional
-rlabel metal3 s -978 389304 462 389544 4 gpio_analog[13]
+flabel metal3 s -7696 258564 -6416 258676 0 FreeSans 1120 0 0 0 gpio_analog[13]
 port 4 nsew signal bidirectional
-rlabel metal3 s -978 333000 462 333240 4 gpio_analog[14]
+flabel metal3 s -7696 130942 -6416 131054 0 FreeSans 1120 0 0 0 gpio_analog[14]
 port 5 nsew signal bidirectional
-rlabel metal3 s -978 276696 462 276936 4 gpio_analog[15]
+flabel metal3 s -7696 87720 -6416 87832 0 FreeSans 1120 0 0 0 gpio_analog[15]
 port 6 nsew signal bidirectional
-rlabel metal3 s -978 220392 462 220632 4 gpio_analog[16]
+flabel metal3 s -7696 44498 -6416 44610 0 FreeSans 1120 0 0 0 gpio_analog[16]
 port 7 nsew signal bidirectional
-rlabel metal3 s -978 164088 462 164328 4 gpio_analog[17]
+flabel metal3 s -7696 23076 -6416 23188 0 FreeSans 1120 0 0 0 gpio_analog[17]
 port 8 nsew signal bidirectional
-rlabel metal3 s 583502 341840 584942 342080 6 gpio_analog[1]
+flabel metal3 s 576624 319818 577904 319930 0 FreeSans 1120 0 0 0 gpio_analog[1]
 port 9 nsew signal bidirectional
-rlabel metal3 s 583502 401408 584942 401648 6 gpio_analog[2]
+flabel metal3 s 576624 365040 577904 365152 0 FreeSans 1120 0 0 0 gpio_analog[2]
 port 10 nsew signal bidirectional
-rlabel metal3 s 583502 460840 584942 461080 6 gpio_analog[3]
+flabel metal3 s 576624 411462 577904 411574 0 FreeSans 1120 0 0 0 gpio_analog[3]
 port 11 nsew signal bidirectional
-rlabel metal3 s 583502 520272 584942 520512 6 gpio_analog[4]
+flabel metal3 s 576624 455884 577904 455996 0 FreeSans 1120 0 0 0 gpio_analog[4]
 port 12 nsew signal bidirectional
-rlabel metal3 s 583502 579840 584942 580080 6 gpio_analog[5]
+flabel metal3 s 576624 500306 577904 500418 0 FreeSans 1120 0 0 0 gpio_analog[5]
 port 13 nsew signal bidirectional
-rlabel metal3 s 583502 639272 584942 639512 6 gpio_analog[6]
+flabel metal3 s 576624 589728 577904 589840 0 FreeSans 1120 0 0 0 gpio_analog[6]
 port 14 nsew signal bidirectional
-rlabel metal3 s -978 689592 462 689832 4 gpio_analog[7]
+flabel metal3 s -7696 517696 -6416 517808 0 FreeSans 1120 0 0 0 gpio_analog[7]
 port 15 nsew signal bidirectional
-rlabel metal3 s -978 670824 462 671064 4 gpio_analog[8]
+flabel metal3 s -7696 474474 -6416 474586 0 FreeSans 1120 0 0 0 gpio_analog[8]
 port 16 nsew signal bidirectional
-rlabel metal3 s -978 614520 462 614760 4 gpio_analog[9]
+flabel metal3 s -7696 431252 -6416 431364 0 FreeSans 1120 0 0 0 gpio_analog[9]
 port 17 nsew signal bidirectional
-rlabel metal3 s 583502 292336 584942 292576 6 gpio_noesd[0]
+flabel metal3 s 576624 276578 577904 276690 0 FreeSans 1120 0 0 0 gpio_noesd[0]
 port 18 nsew signal bidirectional
-rlabel metal3 s -978 548832 462 549072 4 gpio_noesd[10]
+flabel metal3 s -7696 386848 -6416 386960 0 FreeSans 1120 0 0 0 gpio_noesd[10]
 port 19 nsew signal bidirectional
-rlabel metal3 s -978 492528 462 492768 4 gpio_noesd[11]
+flabel metal3 s -7696 343626 -6416 343738 0 FreeSans 1120 0 0 0 gpio_noesd[11]
 port 20 nsew signal bidirectional
-rlabel metal3 s -978 436224 462 436464 4 gpio_noesd[12]
+flabel metal3 s -7696 300404 -6416 300516 0 FreeSans 1120 0 0 0 gpio_noesd[12]
 port 21 nsew signal bidirectional
-rlabel metal3 s -978 379920 462 380160 4 gpio_noesd[13]
+flabel metal3 s -7696 257382 -6416 257494 0 FreeSans 1120 0 0 0 gpio_noesd[13]
 port 22 nsew signal bidirectional
-rlabel metal3 s -978 323616 462 323856 4 gpio_noesd[14]
+flabel metal3 s -7696 129760 -6416 129872 0 FreeSans 1120 0 0 0 gpio_noesd[14]
 port 23 nsew signal bidirectional
-rlabel metal3 s -978 267312 462 267552 4 gpio_noesd[15]
+flabel metal3 s -7696 86538 -6416 86650 0 FreeSans 1120 0 0 0 gpio_noesd[15]
 port 24 nsew signal bidirectional
-rlabel metal3 s -978 211008 462 211248 4 gpio_noesd[16]
+flabel metal3 s -7696 43316 -6416 43428 0 FreeSans 1120 0 0 0 gpio_noesd[16]
 port 25 nsew signal bidirectional
-rlabel metal3 s -978 154704 462 154944 4 gpio_noesd[17]
+flabel metal3 s -7696 21894 -6416 22006 0 FreeSans 1120 0 0 0 gpio_noesd[17]
 port 26 nsew signal bidirectional
-rlabel metal3 s 583502 351768 584942 352008 6 gpio_noesd[1]
+flabel metal3 s 576624 321000 577904 321112 0 FreeSans 1120 0 0 0 gpio_noesd[1]
 port 27 nsew signal bidirectional
-rlabel metal3 s 583502 411200 584942 411440 6 gpio_noesd[2]
+flabel metal3 s 576624 366222 577904 366334 0 FreeSans 1120 0 0 0 gpio_noesd[2]
 port 28 nsew signal bidirectional
-rlabel metal3 s 583502 470768 584942 471008 6 gpio_noesd[3]
+flabel metal3 s 576624 412644 577904 412756 0 FreeSans 1120 0 0 0 gpio_noesd[3]
 port 29 nsew signal bidirectional
-rlabel metal3 s 583502 530200 584942 530440 6 gpio_noesd[4]
+flabel metal3 s 576624 457066 577904 457178 0 FreeSans 1120 0 0 0 gpio_noesd[4]
 port 30 nsew signal bidirectional
-rlabel metal3 s 583502 589768 584942 590008 6 gpio_noesd[5]
+flabel metal3 s 576624 501488 577904 501600 0 FreeSans 1120 0 0 0 gpio_noesd[5]
 port 31 nsew signal bidirectional
-rlabel metal3 s 583502 649200 584942 649440 6 gpio_noesd[6]
+flabel metal3 s 576624 590910 577904 591022 0 FreeSans 1120 0 0 0 gpio_noesd[6]
 port 32 nsew signal bidirectional
-rlabel metal3 s -978 680208 462 680448 4 gpio_noesd[7]
+flabel metal3 s -7696 516514 -6416 516626 0 FreeSans 1120 0 0 0 gpio_noesd[7]
 port 33 nsew signal bidirectional
-rlabel metal3 s -978 661440 462 661680 4 gpio_noesd[8]
+flabel metal3 s -7696 473292 -6416 473404 0 FreeSans 1120 0 0 0 gpio_noesd[8]
 port 34 nsew signal bidirectional
-rlabel metal3 s -978 605136 462 605376 4 gpio_noesd[9]
+flabel metal3 s -7696 430070 -6416 430182 0 FreeSans 1120 0 0 0 gpio_noesd[9]
 port 35 nsew signal bidirectional
-rlabel metal3 s 583502 698840 584942 699080 6 io_analog[0]
+flabel metal3 s 575404 684150 577104 689150 0 FreeSans 1120 0 0 0 io_analog[0]
 port 36 nsew signal bidirectional
-rlabel metal3 s -978 698976 462 699216 4 io_analog[10]
+flabel metal3 s -6896 686408 -5196 691408 0 FreeSans 1120 0 0 0 io_analog[10]
 port 37 nsew signal bidirectional
-rlabel metal2 s 564392 703508 564504 704948 6 io_analog[1]
+flabel metal3 s 559698 708466 564698 710166 0 FreeSans 1920 180 0 0 io_analog[1]
 port 38 nsew signal bidirectional
-rlabel metal2 s 525476 703508 525588 704948 6 io_analog[2]
+flabel metal3 s 458498 708466 463498 710166 0 FreeSans 1920 180 0 0 io_analog[2]
 port 39 nsew signal bidirectional
-rlabel metal2 s 486560 703508 486672 704948 6 io_analog[3]
+flabel metal3 s 406498 708466 411498 710166 0 FreeSans 1920 180 0 0 io_analog[3]
 port 40 nsew signal bidirectional
-rlabel metal2 s 369720 703508 369832 704948 6 io_analog[4]
+flabel metal3 s 322398 708466 327398 710166 0 FreeSans 1920 180 0 0 io_analog[4]
 port 41 nsew signal bidirectional
-rlabel metal2 s 252972 703508 253084 704948 6 io_analog[5]
+flabel metal3 s 220698 708466 225698 710166 0 FreeSans 1920 180 0 0 io_analog[5]
 port 42 nsew signal bidirectional
-rlabel metal2 s 136132 703508 136244 704948 6 io_analog[6]
+flabel metal3 s 168998 708466 173998 710166 0 FreeSans 1920 180 0 0 io_analog[6]
 port 43 nsew signal bidirectional
-rlabel metal2 s 97216 703508 97328 704948 6 io_analog[7]
+flabel metal3 s 113298 708466 118298 710166 0 FreeSans 1920 180 0 0 io_analog[7]
 port 44 nsew signal bidirectional
-rlabel metal2 s 58300 703508 58412 704948 6 io_analog[8]
+flabel metal3 s 61298 708466 66298 710166 0 FreeSans 1920 180 0 0 io_analog[8]
 port 45 nsew signal bidirectional
-rlabel metal2 s 19384 703508 19496 704948 6 io_analog[9]
+flabel metal3 s 9298 708466 14298 710166 0 FreeSans 1920 180 0 0 io_analog[9]
 port 46 nsew signal bidirectional
-rlabel metal2 s 447644 703508 447756 704948 6 io_clamp_high[0]
+flabel metal3 s 312098 708466 317098 710166 0 FreeSans 1920 180 0 0 io_analog[4]
 port 47 nsew signal bidirectional
-rlabel metal2 s 330804 703508 330916 704948 6 io_clamp_high[1]
+flabel metal3 s 210398 708466 215398 710166 0 FreeSans 1920 180 0 0 io_analog[5]
 port 48 nsew signal bidirectional
-rlabel metal2 s 214056 703508 214168 704948 6 io_clamp_high[2]
+flabel metal3 s 158698 708466 163698 710166 0 FreeSans 1920 180 0 0 io_analog[6]
 port 49 nsew signal bidirectional
-rlabel metal2 s 408728 703508 408840 704948 6 io_clamp_low[0]
+flabel metal3 s 319898 708466 322098 710166 0 FreeSans 1920 180 0 0 io_clamp_high[0]
 port 50 nsew signal bidirectional
-rlabel metal2 s 291888 703508 292000 704948 6 io_clamp_low[1]
+flabel metal3 s 218198 708466 220398 710166 0 FreeSans 1920 180 0 0 io_clamp_high[1]
 port 51 nsew signal bidirectional
-rlabel metal2 s 175048 703508 175160 704948 6 io_clamp_low[2]
+flabel metal3 s 166498 708466 168698 710166 0 FreeSans 1920 180 0 0 io_clamp_high[2]
 port 52 nsew signal bidirectional
-rlabel metal3 s 583502 14624 584942 14864 6 io_in[0]
-port 53 nsew signal input
-rlabel metal3 s 583502 24552 584942 24792 6 io_out[0]
-port 54 nsew signal tristate
-rlabel metal3 s 583502 490624 584942 490864 6 io_in[10]
-port 55 nsew signal input
-rlabel metal3 s 583502 500552 584942 500792 6 io_out[10]
-port 56 nsew signal tristate
-rlabel metal3 s 583502 550056 584942 550296 6 io_in[11]
+flabel metal3 s 317398 708466 319598 710166 0 FreeSans 1920 180 0 0 io_clamp_low[0]
+port 53 nsew signal bidirectional
+flabel metal3 s 215698 708466 217898 710166 0 FreeSans 1920 180 0 0 io_clamp_low[1]
+port 54 nsew signal bidirectional
+flabel metal3 s 163998 708466 166198 710166 0 FreeSans 1920 180 0 0 io_clamp_low[2]
+port 55 nsew signal bidirectional
+flabel metal3 s 576624 8892 577904 9004 0 FreeSans 1120 0 0 0 io_in[0]
+port 56 nsew signal input
+flabel metal3 s 576624 415008 577904 415120 0 FreeSans 1120 0 0 0 io_in[10]
 port 57 nsew signal input
-rlabel metal3 s 583502 559984 584942 560224 6 io_out[11]
-port 58 nsew signal tristate
-rlabel metal3 s 583502 609488 584942 609728 6 io_in[12]
+flabel metal3 s 576624 459430 577904 459542 0 FreeSans 1120 0 0 0 io_in[11]
+port 58 nsew signal input
+flabel metal3 s 576624 503852 577904 503964 0 FreeSans 1120 0 0 0 io_in[12]
 port 59 nsew signal input
-rlabel metal3 s 583502 619416 584942 619656 6 io_out[12]
-port 60 nsew signal tristate
-rlabel metal3 s 583502 669056 584942 669296 6 io_in[13]
+flabel metal3 s 576624 593274 577904 593386 0 FreeSans 1120 0 0 0 io_in[13]
+port 60 nsew signal input
+flabel metal3 s -7696 514150 -6416 514262 0 FreeSans 1120 0 0 0 io_in[14]
 port 61 nsew signal input
-rlabel metal3 s 583502 678984 584942 679224 6 io_out[13]
-port 62 nsew signal tristate
-rlabel metal3 s -978 642672 462 642912 4 io_in[14]
+flabel metal3 s -7696 470928 -6416 471040 0 FreeSans 1120 0 0 0 io_in[15]
+port 62 nsew signal input
+flabel metal3 s -7696 427706 -6416 427818 0 FreeSans 1120 0 0 0 io_in[16]
 port 63 nsew signal input
-rlabel metal3 s -978 633288 462 633528 4 io_out[14]
-port 64 nsew signal tristate
-rlabel metal3 s -978 586368 462 586608 4 io_in[15]
+flabel metal3 s -7696 384484 -6416 384596 0 FreeSans 1120 0 0 0 io_in[17]
+port 64 nsew signal input
+flabel metal3 s -7696 341262 -6416 341374 0 FreeSans 1120 0 0 0 io_in[18]
 port 65 nsew signal input
-rlabel metal3 s -978 576984 462 577224 4 io_out[15]
-port 66 nsew signal tristate
-rlabel metal3 s -978 530064 462 530304 4 io_in[16]
+flabel metal3 s -7696 298040 -6416 298152 0 FreeSans 1120 0 0 0 io_in[19]
+port 66 nsew signal input
+flabel metal3 s 576624 13620 577904 13732 0 FreeSans 1120 0 0 0 io_in[1]
 port 67 nsew signal input
-rlabel metal3 s -978 520680 462 520920 4 io_out[16]
-port 68 nsew signal tristate
-rlabel metal3 s -978 473760 462 474000 4 io_in[17]
+flabel metal3 s -7696 255018 -6416 255130 0 FreeSans 1120 0 0 0 io_in[20]
+port 68 nsew signal input
+flabel metal3 s -7696 127396 -6416 127508 0 FreeSans 1120 0 0 0 io_in[21]
 port 69 nsew signal input
-rlabel metal3 s -978 464376 462 464616 4 io_out[17]
-port 70 nsew signal tristate
-rlabel metal3 s -978 417456 462 417696 4 io_in[18]
+flabel metal3 s -7696 84174 -6416 84286 0 FreeSans 1120 0 0 0 io_in[22]
+port 70 nsew signal input
+flabel metal3 s -7696 40952 -6416 41064 0 FreeSans 1120 0 0 0 io_in[23]
 port 71 nsew signal input
-rlabel metal3 s -978 408072 462 408312 4 io_out[18]
-port 72 nsew signal tristate
-rlabel metal3 s -978 361152 462 361392 4 io_in[19]
+flabel metal3 s -7696 19530 -6416 19642 0 FreeSans 1120 0 0 0 io_in[24]
+port 72 nsew signal input
+flabel metal3 s -7696 14802 -6416 14914 0 FreeSans 1120 0 0 0 io_in[25]
 port 73 nsew signal input
-rlabel metal3 s -978 351768 462 352008 4 io_out[19]
-port 74 nsew signal tristate
-rlabel metal3 s 583502 54336 584942 54576 6 io_in[1]
+flabel metal3 s -7696 10074 -6416 10186 0 FreeSans 1120 0 0 0 io_in[26]
+port 74 nsew signal input
+flabel metal3 s 576624 18348 577904 18460 0 FreeSans 1120 0 0 0 io_in[2]
 port 75 nsew signal input
-rlabel metal3 s 583502 64264 584942 64504 6 io_out[1]
-port 76 nsew signal tristate
-rlabel metal3 s -978 304848 462 305088 4 io_in[20]
+flabel metal3 s 576624 23076 577904 23188 0 FreeSans 1120 0 0 0 io_in[3]
+port 76 nsew signal input
+flabel metal3 s 576624 27804 577904 27916 0 FreeSans 1120 0 0 0 io_in[4]
 port 77 nsew signal input
-rlabel metal3 s -978 295464 462 295704 4 io_out[20]
-port 78 nsew signal tristate
-rlabel metal3 s -978 248544 462 248784 4 io_in[21]
+flabel metal3 s 576624 54262 577904 54374 0 FreeSans 1120 0 0 0 io_in[5]
+port 78 nsew signal input
+flabel metal3 s 576624 98920 577904 99032 0 FreeSans 1120 0 0 0 io_in[6]
 port 79 nsew signal input
-rlabel metal3 s -978 239160 462 239400 4 io_out[21]
-port 80 nsew signal tristate
-rlabel metal3 s -978 192240 462 192480 4 io_in[22]
+flabel metal3 s 576624 278942 577904 279054 0 FreeSans 1120 0 0 0 io_in[7]
+port 80 nsew signal input
+flabel metal3 s 576624 323364 577904 323476 0 FreeSans 1120 0 0 0 io_in[8]
 port 81 nsew signal input
-rlabel metal3 s -978 182856 462 183096 4 io_out[22]
-port 82 nsew signal tristate
-rlabel metal3 s -978 135936 462 136176 4 io_in[23]
+flabel metal3 s 576624 368586 577904 368698 0 FreeSans 1120 0 0 0 io_in[9]
+port 82 nsew signal input
+flabel metal3 s 576624 7710 577904 7822 0 FreeSans 1120 0 0 0 io_in_3v3[0]
 port 83 nsew signal input
-rlabel metal3 s -978 126552 462 126792 4 io_out[23]
-port 84 nsew signal tristate
-rlabel metal3 s -978 98400 462 98640 4 io_in[24]
+flabel metal3 s 576624 413826 577904 413938 0 FreeSans 1120 0 0 0 io_in_3v3[10]
+port 84 nsew signal input
+flabel metal3 s 576624 458248 577904 458360 0 FreeSans 1120 0 0 0 io_in_3v3[11]
 port 85 nsew signal input
-rlabel metal3 s -978 89016 462 89256 4 io_out[24]
-port 86 nsew signal tristate
-rlabel metal3 s -978 60864 462 61104 4 io_in[25]
+flabel metal3 s 576624 502670 577904 502782 0 FreeSans 1120 0 0 0 io_in_3v3[12]
+port 86 nsew signal input
+flabel metal3 s 576624 592092 577904 592204 0 FreeSans 1120 0 0 0 io_in_3v3[13]
 port 87 nsew signal input
-rlabel metal3 s -978 51480 462 51720 4 io_out[25]
-port 88 nsew signal tristate
-rlabel metal3 s -978 23328 462 23568 4 io_in[26]
+flabel metal3 s -7696 515332 -6416 515444 0 FreeSans 1120 0 0 0 io_in_3v3[14]
+port 88 nsew signal input
+flabel metal3 s -7696 472110 -6416 472222 0 FreeSans 1120 0 0 0 io_in_3v3[15]
 port 89 nsew signal input
-rlabel metal3 s -978 13944 462 14184 4 io_out[26]
-port 90 nsew signal tristate
-rlabel metal3 s 583502 94048 584942 94288 6 io_in[2]
+flabel metal3 s -7696 428888 -6416 429000 0 FreeSans 1120 0 0 0 io_in_3v3[16]
+port 90 nsew signal input
+flabel metal3 s -7696 385666 -6416 385778 0 FreeSans 1120 0 0 0 io_in_3v3[17]
 port 91 nsew signal input
-rlabel metal3 s 583502 103976 584942 104216 6 io_out[2]
-port 92 nsew signal tristate
-rlabel metal3 s 583502 133624 584942 133864 6 io_in[3]
+flabel metal3 s -7696 342444 -6416 342556 0 FreeSans 1120 0 0 0 io_in_3v3[18]
+port 92 nsew signal input
+flabel metal3 s -7696 299222 -6416 299334 0 FreeSans 1120 0 0 0 io_in_3v3[19]
 port 93 nsew signal input
-rlabel metal3 s 583502 143552 584942 143792 6 io_out[3]
-port 94 nsew signal tristate
-rlabel metal3 s 583502 173336 584942 173576 6 io_in[4]
+flabel metal3 s 576624 12438 577904 12550 0 FreeSans 1120 0 0 0 io_in_3v3[1]
+port 94 nsew signal input
+flabel metal3 s -7696 256200 -6416 256312 0 FreeSans 1120 0 0 0 io_in_3v3[20]
 port 95 nsew signal input
-rlabel metal3 s 583502 183264 584942 183504 6 io_out[4]
-port 96 nsew signal tristate
-rlabel metal3 s 583502 212912 584942 213152 6 io_in[5]
+flabel metal3 s -7696 128578 -6416 128690 0 FreeSans 1120 0 0 0 io_in_3v3[21]
+port 96 nsew signal input
+flabel metal3 s -7696 85356 -6416 85468 0 FreeSans 1120 0 0 0 io_in_3v3[22]
 port 97 nsew signal input
-rlabel metal3 s 583502 222840 584942 223080 6 io_out[5]
-port 98 nsew signal tristate
-rlabel metal3 s 583502 252624 584942 252864 6 io_in[6]
+flabel metal3 s -7696 42134 -6416 42246 0 FreeSans 1120 0 0 0 io_in_3v3[23]
+port 98 nsew signal input
+flabel metal3 s -7696 20712 -6416 20824 0 FreeSans 1120 0 0 0 io_in_3v3[24]
 port 99 nsew signal input
-rlabel metal3 s 583502 262552 584942 262792 6 io_out[6]
-port 100 nsew signal tristate
-rlabel metal3 s 583502 312056 584942 312296 6 io_in[7]
+flabel metal3 s -7696 15984 -6416 16096 0 FreeSans 1120 0 0 0 io_in_3v3[25]
+port 100 nsew signal input
+flabel metal3 s -7696 11256 -6416 11368 0 FreeSans 1120 0 0 0 io_in_3v3[26]
 port 101 nsew signal input
-rlabel metal3 s 583502 321984 584942 322224 6 io_out[7]
-port 102 nsew signal tristate
-rlabel metal3 s 583502 371624 584942 371864 6 io_in[8]
+flabel metal3 s 576624 17166 577904 17278 0 FreeSans 1120 0 0 0 io_in_3v3[2]
+port 102 nsew signal input
+flabel metal3 s 576624 21894 577904 22006 0 FreeSans 1120 0 0 0 io_in_3v3[3]
 port 103 nsew signal input
-rlabel metal3 s 583502 381552 584942 381792 6 io_out[8]
-port 104 nsew signal tristate
-rlabel metal3 s 583502 431056 584942 431296 6 io_in[9]
+flabel metal3 s 576624 26622 577904 26734 0 FreeSans 1120 0 0 0 io_in_3v3[4]
+port 104 nsew signal input
+flabel metal3 s 576624 53080 577904 53192 0 FreeSans 1120 0 0 0 io_in_3v3[5]
 port 105 nsew signal input
-rlabel metal3 s 583502 440984 584942 441224 6 io_out[9]
-port 106 nsew signal tristate
-rlabel metal3 s 583502 4832 584942 5072 6 io_in_3v3[0]
+flabel metal3 s 576624 97738 577904 97850 0 FreeSans 1120 0 0 0 io_in_3v3[6]
+port 106 nsew signal input
+flabel metal3 s 576624 277760 577904 277872 0 FreeSans 1120 0 0 0 io_in_3v3[7]
 port 107 nsew signal input
-rlabel metal3 s 583502 480696 584942 480936 6 io_in_3v3[10]
+flabel metal3 s 576624 322182 577904 322294 0 FreeSans 1120 0 0 0 io_in_3v3[8]
 port 108 nsew signal input
-rlabel metal3 s 583502 540128 584942 540368 6 io_in_3v3[11]
+flabel metal3 s 576624 367404 577904 367516 0 FreeSans 1120 0 0 0 io_in_3v3[9]
 port 109 nsew signal input
-rlabel metal3 s 583502 599696 584942 599936 6 io_in_3v3[12]
-port 110 nsew signal input
-rlabel metal3 s 583502 659128 584942 659368 6 io_in_3v3[13]
-port 111 nsew signal input
-rlabel metal3 s -978 652056 462 652296 4 io_in_3v3[14]
-port 112 nsew signal input
-rlabel metal3 s -978 595752 462 595992 4 io_in_3v3[15]
-port 113 nsew signal input
-rlabel metal3 s -978 539448 462 539688 4 io_in_3v3[16]
-port 114 nsew signal input
-rlabel metal3 s -978 483144 462 483384 4 io_in_3v3[17]
-port 115 nsew signal input
-rlabel metal3 s -978 426840 462 427080 4 io_in_3v3[18]
-port 116 nsew signal input
-rlabel metal3 s -978 370536 462 370776 4 io_in_3v3[19]
-port 117 nsew signal input
-rlabel metal3 s 583502 44408 584942 44648 6 io_in_3v3[1]
-port 118 nsew signal input
-rlabel metal3 s -978 314232 462 314472 4 io_in_3v3[20]
-port 119 nsew signal input
-rlabel metal3 s -978 257928 462 258168 4 io_in_3v3[21]
-port 120 nsew signal input
-rlabel metal3 s -978 201624 462 201864 4 io_in_3v3[22]
-port 121 nsew signal input
-rlabel metal3 s -978 145320 462 145560 4 io_in_3v3[23]
-port 122 nsew signal input
-rlabel metal3 s -978 107784 462 108024 4 io_in_3v3[24]
-port 123 nsew signal input
-rlabel metal3 s -978 70248 462 70488 4 io_in_3v3[25]
-port 124 nsew signal input
-rlabel metal3 s -978 32712 462 32952 4 io_in_3v3[26]
-port 125 nsew signal input
-rlabel metal3 s 583502 84120 584942 84360 6 io_in_3v3[2]
-port 126 nsew signal input
-rlabel metal3 s 583502 123696 584942 123936 6 io_in_3v3[3]
-port 127 nsew signal input
-rlabel metal3 s 583502 163408 584942 163648 6 io_in_3v3[4]
-port 128 nsew signal input
-rlabel metal3 s 583502 203120 584942 203360 6 io_in_3v3[5]
-port 129 nsew signal input
-rlabel metal3 s 583502 242696 584942 242936 6 io_in_3v3[6]
-port 130 nsew signal input
-rlabel metal3 s 583502 302264 584942 302504 6 io_in_3v3[7]
-port 131 nsew signal input
-rlabel metal3 s 583502 361696 584942 361936 6 io_in_3v3[8]
-port 132 nsew signal input
-rlabel metal3 s 583502 421128 584942 421368 6 io_in_3v3[9]
-port 133 nsew signal input
-rlabel metal3 s 583502 34480 584942 34720 6 io_oeb[0]
+flabel metal3 s 576624 11256 577904 11368 0 FreeSans 1120 0 0 0 io_oeb[0]
+port 110 nsew signal tristate
+flabel metal3 s 576624 417372 577904 417484 0 FreeSans 1120 0 0 0 io_oeb[10]
+port 111 nsew signal tristate
+flabel metal3 s 576624 461794 577904 461906 0 FreeSans 1120 0 0 0 io_oeb[11]
+port 112 nsew signal tristate
+flabel metal3 s 576624 506216 577904 506328 0 FreeSans 1120 0 0 0 io_oeb[12]
+port 113 nsew signal tristate
+flabel metal3 s 576624 595638 577904 595750 0 FreeSans 1120 0 0 0 io_oeb[13]
+port 114 nsew signal tristate
+flabel metal3 s -7696 511786 -6416 511898 0 FreeSans 1120 0 0 0 io_oeb[14]
+port 115 nsew signal tristate
+flabel metal3 s -7696 468564 -6416 468676 0 FreeSans 1120 0 0 0 io_oeb[15]
+port 116 nsew signal tristate
+flabel metal3 s -7696 425342 -6416 425454 0 FreeSans 1120 0 0 0 io_oeb[16]
+port 117 nsew signal tristate
+flabel metal3 s -7696 382120 -6416 382232 0 FreeSans 1120 0 0 0 io_oeb[17]
+port 118 nsew signal tristate
+flabel metal3 s -7696 338898 -6416 339010 0 FreeSans 1120 0 0 0 io_oeb[18]
+port 119 nsew signal tristate
+flabel metal3 s -7696 295676 -6416 295788 0 FreeSans 1120 0 0 0 io_oeb[19]
+port 120 nsew signal tristate
+flabel metal3 s 576624 15984 577904 16096 0 FreeSans 1120 0 0 0 io_oeb[1]
+port 121 nsew signal tristate
+flabel metal3 s -7696 252654 -6416 252766 0 FreeSans 1120 0 0 0 io_oeb[20]
+port 122 nsew signal tristate
+flabel metal3 s -7696 125032 -6416 125144 0 FreeSans 1120 0 0 0 io_oeb[21]
+port 123 nsew signal tristate
+flabel metal3 s -7696 81810 -6416 81922 0 FreeSans 1120 0 0 0 io_oeb[22]
+port 124 nsew signal tristate
+flabel metal3 s -7696 38588 -6416 38700 0 FreeSans 1120 0 0 0 io_oeb[23]
+port 125 nsew signal tristate
+flabel metal3 s -7696 17166 -6416 17278 0 FreeSans 1120 0 0 0 io_oeb[24]
+port 126 nsew signal tristate
+flabel metal3 s -7696 12438 -6416 12550 0 FreeSans 1120 0 0 0 io_oeb[25]
+port 127 nsew signal tristate
+flabel metal3 s -7696 7710 -6416 7822 0 FreeSans 1120 0 0 0 io_oeb[26]
+port 128 nsew signal tristate
+flabel metal3 s 576624 20712 577904 20824 0 FreeSans 1120 0 0 0 io_oeb[2]
+port 129 nsew signal tristate
+flabel metal3 s 576624 25440 577904 25552 0 FreeSans 1120 0 0 0 io_oeb[3]
+port 130 nsew signal tristate
+flabel metal3 s 576624 30168 577904 30280 0 FreeSans 1120 0 0 0 io_oeb[4]
+port 131 nsew signal tristate
+flabel metal3 s 576624 56626 577904 56738 0 FreeSans 1120 0 0 0 io_oeb[5]
+port 132 nsew signal tristate
+flabel metal3 s 576624 101284 577904 101396 0 FreeSans 1120 0 0 0 io_oeb[6]
+port 133 nsew signal tristate
+flabel metal3 s 576624 281306 577904 281418 0 FreeSans 1120 0 0 0 io_oeb[7]
 port 134 nsew signal tristate
-rlabel metal3 s 583502 510344 584942 510584 6 io_oeb[10]
+flabel metal3 s 576624 325728 577904 325840 0 FreeSans 1120 0 0 0 io_oeb[8]
 port 135 nsew signal tristate
-rlabel metal3 s 583502 569912 584942 570152 6 io_oeb[11]
+flabel metal3 s 576624 370950 577904 371062 0 FreeSans 1120 0 0 0 io_oeb[9]
 port 136 nsew signal tristate
-rlabel metal3 s 583502 629344 584942 629584 6 io_oeb[12]
+flabel metal3 s 576624 10074 577904 10186 0 FreeSans 1120 0 0 0 io_out[0]
 port 137 nsew signal tristate
-rlabel metal3 s 583502 688912 584942 689152 6 io_oeb[13]
+flabel metal3 s 576624 416190 577904 416302 0 FreeSans 1120 0 0 0 io_out[10]
 port 138 nsew signal tristate
-rlabel metal3 s -978 623904 462 624144 4 io_oeb[14]
+flabel metal3 s 576624 460612 577904 460724 0 FreeSans 1120 0 0 0 io_out[11]
 port 139 nsew signal tristate
-rlabel metal3 s -978 567600 462 567840 4 io_oeb[15]
+flabel metal3 s 576624 505034 577904 505146 0 FreeSans 1120 0 0 0 io_out[12]
 port 140 nsew signal tristate
-rlabel metal3 s -978 511296 462 511536 4 io_oeb[16]
+flabel metal3 s 576624 594456 577904 594568 0 FreeSans 1120 0 0 0 io_out[13]
 port 141 nsew signal tristate
-rlabel metal3 s -978 454992 462 455232 4 io_oeb[17]
+flabel metal3 s -7696 512968 -6416 513080 0 FreeSans 1120 0 0 0 io_out[14]
 port 142 nsew signal tristate
-rlabel metal3 s -978 398688 462 398928 4 io_oeb[18]
+flabel metal3 s -7696 469746 -6416 469858 0 FreeSans 1120 0 0 0 io_out[15]
 port 143 nsew signal tristate
-rlabel metal3 s -978 342384 462 342624 4 io_oeb[19]
+flabel metal3 s -7696 426524 -6416 426636 0 FreeSans 1120 0 0 0 io_out[16]
 port 144 nsew signal tristate
-rlabel metal3 s 583502 74192 584942 74432 6 io_oeb[1]
+flabel metal3 s -7696 383302 -6416 383414 0 FreeSans 1120 0 0 0 io_out[17]
 port 145 nsew signal tristate
-rlabel metal3 s -978 286080 462 286320 4 io_oeb[20]
+flabel metal3 s -7696 340080 -6416 340192 0 FreeSans 1120 0 0 0 io_out[18]
 port 146 nsew signal tristate
-rlabel metal3 s -978 229776 462 230016 4 io_oeb[21]
+flabel metal3 s -7696 296858 -6416 296970 0 FreeSans 1120 0 0 0 io_out[19]
 port 147 nsew signal tristate
-rlabel metal3 s -978 173472 462 173712 4 io_oeb[22]
+flabel metal3 s 576624 14802 577904 14914 0 FreeSans 1120 0 0 0 io_out[1]
 port 148 nsew signal tristate
-rlabel metal3 s -978 117168 462 117408 4 io_oeb[23]
+flabel metal3 s -7696 253836 -6416 253948 0 FreeSans 1120 0 0 0 io_out[20]
 port 149 nsew signal tristate
-rlabel metal3 s -978 79632 462 79872 4 io_oeb[24]
+flabel metal3 s -7696 126214 -6416 126326 0 FreeSans 1120 0 0 0 io_out[21]
 port 150 nsew signal tristate
-rlabel metal3 s -978 42096 462 42336 4 io_oeb[25]
+flabel metal3 s -7696 82992 -6416 83104 0 FreeSans 1120 0 0 0 io_out[22]
 port 151 nsew signal tristate
-rlabel metal3 s -978 4560 462 4800 4 io_oeb[26]
+flabel metal3 s -7696 39770 -6416 39882 0 FreeSans 1120 0 0 0 io_out[23]
 port 152 nsew signal tristate
-rlabel metal3 s 583502 113768 584942 114008 6 io_oeb[2]
+flabel metal3 s -7696 18348 -6416 18460 0 FreeSans 1120 0 0 0 io_out[24]
 port 153 nsew signal tristate
-rlabel metal3 s 583502 153480 584942 153720 6 io_oeb[3]
+flabel metal3 s -7696 13620 -6416 13732 0 FreeSans 1120 0 0 0 io_out[25]
 port 154 nsew signal tristate
-rlabel metal3 s 583502 193192 584942 193432 6 io_oeb[4]
+flabel metal3 s -7696 8892 -6416 9004 0 FreeSans 1120 0 0 0 io_out[26]
 port 155 nsew signal tristate
-rlabel metal3 s 583502 232768 584942 233008 6 io_oeb[5]
+flabel metal3 s 576624 19530 577904 19642 0 FreeSans 1120 0 0 0 io_out[2]
 port 156 nsew signal tristate
-rlabel metal3 s 583502 272480 584942 272720 6 io_oeb[6]
+flabel metal3 s 576624 24258 577904 24370 0 FreeSans 1120 0 0 0 io_out[3]
 port 157 nsew signal tristate
-rlabel metal3 s 583502 331912 584942 332152 6 io_oeb[7]
+flabel metal3 s 576624 28986 577904 29098 0 FreeSans 1120 0 0 0 io_out[4]
 port 158 nsew signal tristate
-rlabel metal3 s 583502 391480 584942 391720 6 io_oeb[8]
+flabel metal3 s 576624 55444 577904 55556 0 FreeSans 1120 0 0 0 io_out[5]
 port 159 nsew signal tristate
-rlabel metal3 s 583502 450912 584942 451152 6 io_oeb[9]
+flabel metal3 s 576624 100102 577904 100214 0 FreeSans 1120 0 0 0 io_out[6]
 port 160 nsew signal tristate
-rlabel metal2 s 125828 -972 125940 468 8 la_data_in[0]
-port 161 nsew signal input
-rlabel metal2 s 480488 -972 480600 468 8 la_data_in[100]
-port 162 nsew signal input
-rlabel metal2 s 483984 -972 484096 468 8 la_data_in[101]
-port 163 nsew signal input
-rlabel metal2 s 487572 -972 487684 468 8 la_data_in[102]
+flabel metal3 s 576624 280124 577904 280236 0 FreeSans 1120 0 0 0 io_out[7]
+port 161 nsew signal tristate
+flabel metal3 s 576624 324546 577904 324658 0 FreeSans 1120 0 0 0 io_out[8]
+port 162 nsew signal tristate
+flabel metal3 s 576624 369768 577904 369880 0 FreeSans 1120 0 0 0 io_out[9]
+port 163 nsew signal tristate
+flabel metal2 s 118920 5366 119032 6646 0 FreeSans 1120 90 0 0 la_data_in[0]
 port 164 nsew signal input
-rlabel metal2 s 491068 -972 491180 468 8 la_data_in[103]
+flabel metal2 s 473520 5366 473632 6646 0 FreeSans 1120 90 0 0 la_data_in[100]
 port 165 nsew signal input
-rlabel metal2 s 494656 -972 494768 468 8 la_data_in[104]
+flabel metal2 s 477066 5366 477178 6646 0 FreeSans 1120 90 0 0 la_data_in[101]
 port 166 nsew signal input
-rlabel metal2 s 498152 -972 498264 468 8 la_data_in[105]
+flabel metal2 s 480612 5366 480724 6646 0 FreeSans 1120 90 0 0 la_data_in[102]
 port 167 nsew signal input
-rlabel metal2 s 501740 -972 501852 468 8 la_data_in[106]
+flabel metal2 s 484158 5366 484270 6646 0 FreeSans 1120 90 0 0 la_data_in[103]
 port 168 nsew signal input
-rlabel metal2 s 505328 -972 505440 468 8 la_data_in[107]
+flabel metal2 s 487704 5366 487816 6646 0 FreeSans 1120 90 0 0 la_data_in[104]
 port 169 nsew signal input
-rlabel metal2 s 508824 -972 508936 468 8 la_data_in[108]
+flabel metal2 s 491250 5366 491362 6646 0 FreeSans 1120 90 0 0 la_data_in[105]
 port 170 nsew signal input
-rlabel metal2 s 512412 -972 512524 468 8 la_data_in[109]
+flabel metal2 s 494796 5366 494908 6646 0 FreeSans 1120 90 0 0 la_data_in[106]
 port 171 nsew signal input
-rlabel metal2 s 161248 -972 161360 468 8 la_data_in[10]
+flabel metal2 s 498342 5366 498454 6646 0 FreeSans 1120 90 0 0 la_data_in[107]
 port 172 nsew signal input
-rlabel metal2 s 515908 -972 516020 468 8 la_data_in[110]
+flabel metal2 s 501888 5366 502000 6646 0 FreeSans 1120 90 0 0 la_data_in[108]
 port 173 nsew signal input
-rlabel metal2 s 519496 -972 519608 468 8 la_data_in[111]
+flabel metal2 s 505434 5366 505546 6646 0 FreeSans 1120 90 0 0 la_data_in[109]
 port 174 nsew signal input
-rlabel metal2 s 522992 -972 523104 468 8 la_data_in[112]
+flabel metal2 s 154380 5366 154492 6646 0 FreeSans 1120 90 0 0 la_data_in[10]
 port 175 nsew signal input
-rlabel metal2 s 526580 -972 526692 468 8 la_data_in[113]
+flabel metal2 s 508980 5366 509092 6646 0 FreeSans 1120 90 0 0 la_data_in[110]
 port 176 nsew signal input
-rlabel metal2 s 530076 -972 530188 468 8 la_data_in[114]
+flabel metal2 s 512526 5366 512638 6646 0 FreeSans 1120 90 0 0 la_data_in[111]
 port 177 nsew signal input
-rlabel metal2 s 533664 -972 533776 468 8 la_data_in[115]
+flabel metal2 s 516072 5366 516184 6646 0 FreeSans 1120 90 0 0 la_data_in[112]
 port 178 nsew signal input
-rlabel metal2 s 537160 -972 537272 468 8 la_data_in[116]
+flabel metal2 s 519618 5366 519730 6646 0 FreeSans 1120 90 0 0 la_data_in[113]
 port 179 nsew signal input
-rlabel metal2 s 540748 -972 540860 468 8 la_data_in[117]
+flabel metal2 s 523164 5366 523276 6646 0 FreeSans 1120 90 0 0 la_data_in[114]
 port 180 nsew signal input
-rlabel metal2 s 544336 -972 544448 468 8 la_data_in[118]
+flabel metal2 s 526710 5366 526822 6646 0 FreeSans 1120 90 0 0 la_data_in[115]
 port 181 nsew signal input
-rlabel metal2 s 547832 -972 547944 468 8 la_data_in[119]
+flabel metal2 s 530256 5366 530368 6646 0 FreeSans 1120 90 0 0 la_data_in[116]
 port 182 nsew signal input
-rlabel metal2 s 164836 -972 164948 468 8 la_data_in[11]
+flabel metal2 s 533802 5366 533914 6646 0 FreeSans 1120 90 0 0 la_data_in[117]
 port 183 nsew signal input
-rlabel metal2 s 551420 -972 551532 468 8 la_data_in[120]
+flabel metal2 s 537348 5366 537460 6646 0 FreeSans 1120 90 0 0 la_data_in[118]
 port 184 nsew signal input
-rlabel metal2 s 554916 -972 555028 468 8 la_data_in[121]
+flabel metal2 s 540894 5366 541006 6646 0 FreeSans 1120 90 0 0 la_data_in[119]
 port 185 nsew signal input
-rlabel metal2 s 558504 -972 558616 468 8 la_data_in[122]
+flabel metal2 s 157926 5366 158038 6646 0 FreeSans 1120 90 0 0 la_data_in[11]
 port 186 nsew signal input
-rlabel metal2 s 562000 -972 562112 468 8 la_data_in[123]
+flabel metal2 s 544440 5366 544552 6646 0 FreeSans 1120 90 0 0 la_data_in[120]
 port 187 nsew signal input
-rlabel metal2 s 565588 -972 565700 468 8 la_data_in[124]
+flabel metal2 s 547986 5366 548098 6646 0 FreeSans 1120 90 0 0 la_data_in[121]
 port 188 nsew signal input
-rlabel metal2 s 569084 -972 569196 468 8 la_data_in[125]
+flabel metal2 s 551532 5366 551644 6646 0 FreeSans 1120 90 0 0 la_data_in[122]
 port 189 nsew signal input
-rlabel metal2 s 572672 -972 572784 468 8 la_data_in[126]
+flabel metal2 s 555078 5366 555190 6646 0 FreeSans 1120 90 0 0 la_data_in[123]
 port 190 nsew signal input
-rlabel metal2 s 576260 -972 576372 468 8 la_data_in[127]
+flabel metal2 s 558624 5366 558736 6646 0 FreeSans 1120 90 0 0 la_data_in[124]
 port 191 nsew signal input
-rlabel metal2 s 168332 -972 168444 468 8 la_data_in[12]
+flabel metal2 s 562170 5366 562282 6646 0 FreeSans 1120 90 0 0 la_data_in[125]
 port 192 nsew signal input
-rlabel metal2 s 171920 -972 172032 468 8 la_data_in[13]
+flabel metal2 s 565716 5366 565828 6646 0 FreeSans 1120 90 0 0 la_data_in[126]
 port 193 nsew signal input
-rlabel metal2 s 175416 -972 175528 468 8 la_data_in[14]
+flabel metal2 s 569262 5366 569374 6646 0 FreeSans 1120 90 0 0 la_data_in[127]
 port 194 nsew signal input
-rlabel metal2 s 179004 -972 179116 468 8 la_data_in[15]
+flabel metal2 s 161472 5366 161584 6646 0 FreeSans 1120 90 0 0 la_data_in[12]
 port 195 nsew signal input
-rlabel metal2 s 182500 -972 182612 468 8 la_data_in[16]
+flabel metal2 s 165018 5366 165130 6646 0 FreeSans 1120 90 0 0 la_data_in[13]
 port 196 nsew signal input
-rlabel metal2 s 186088 -972 186200 468 8 la_data_in[17]
+flabel metal2 s 168564 5366 168676 6646 0 FreeSans 1120 90 0 0 la_data_in[14]
 port 197 nsew signal input
-rlabel metal2 s 189676 -972 189788 468 8 la_data_in[18]
+flabel metal2 s 172110 5366 172222 6646 0 FreeSans 1120 90 0 0 la_data_in[15]
 port 198 nsew signal input
-rlabel metal2 s 193172 -972 193284 468 8 la_data_in[19]
+flabel metal2 s 175656 5366 175768 6646 0 FreeSans 1120 90 0 0 la_data_in[16]
 port 199 nsew signal input
-rlabel metal2 s 129324 -972 129436 468 8 la_data_in[1]
+flabel metal2 s 179202 5366 179314 6646 0 FreeSans 1120 90 0 0 la_data_in[17]
 port 200 nsew signal input
-rlabel metal2 s 196760 -972 196872 468 8 la_data_in[20]
+flabel metal2 s 182748 5366 182860 6646 0 FreeSans 1120 90 0 0 la_data_in[18]
 port 201 nsew signal input
-rlabel metal2 s 200256 -972 200368 468 8 la_data_in[21]
+flabel metal2 s 186294 5366 186406 6646 0 FreeSans 1120 90 0 0 la_data_in[19]
 port 202 nsew signal input
-rlabel metal2 s 203844 -972 203956 468 8 la_data_in[22]
+flabel metal2 s 122466 5366 122578 6646 0 FreeSans 1120 90 0 0 la_data_in[1]
 port 203 nsew signal input
-rlabel metal2 s 207340 -972 207452 468 8 la_data_in[23]
+flabel metal2 s 189840 5366 189952 6646 0 FreeSans 1120 90 0 0 la_data_in[20]
 port 204 nsew signal input
-rlabel metal2 s 210928 -972 211040 468 8 la_data_in[24]
+flabel metal2 s 193386 5366 193498 6646 0 FreeSans 1120 90 0 0 la_data_in[21]
 port 205 nsew signal input
-rlabel metal2 s 214424 -972 214536 468 8 la_data_in[25]
+flabel metal2 s 196932 5366 197044 6646 0 FreeSans 1120 90 0 0 la_data_in[22]
 port 206 nsew signal input
-rlabel metal2 s 218012 -972 218124 468 8 la_data_in[26]
+flabel metal2 s 200478 5366 200590 6646 0 FreeSans 1120 90 0 0 la_data_in[23]
 port 207 nsew signal input
-rlabel metal2 s 221508 -972 221620 468 8 la_data_in[27]
+flabel metal2 s 204024 5366 204136 6646 0 FreeSans 1120 90 0 0 la_data_in[24]
 port 208 nsew signal input
-rlabel metal2 s 225096 -972 225208 468 8 la_data_in[28]
+flabel metal2 s 207570 5366 207682 6646 0 FreeSans 1120 90 0 0 la_data_in[25]
 port 209 nsew signal input
-rlabel metal2 s 228684 -972 228796 468 8 la_data_in[29]
+flabel metal2 s 211116 5366 211228 6646 0 FreeSans 1120 90 0 0 la_data_in[26]
 port 210 nsew signal input
-rlabel metal2 s 132912 -972 133024 468 8 la_data_in[2]
+flabel metal2 s 214662 5366 214774 6646 0 FreeSans 1120 90 0 0 la_data_in[27]
 port 211 nsew signal input
-rlabel metal2 s 232180 -972 232292 468 8 la_data_in[30]
+flabel metal2 s 218208 5366 218320 6646 0 FreeSans 1120 90 0 0 la_data_in[28]
 port 212 nsew signal input
-rlabel metal2 s 235768 -972 235880 468 8 la_data_in[31]
+flabel metal2 s 221754 5366 221866 6646 0 FreeSans 1120 90 0 0 la_data_in[29]
 port 213 nsew signal input
-rlabel metal2 s 239264 -972 239376 468 8 la_data_in[32]
+flabel metal2 s 126012 5366 126124 6646 0 FreeSans 1120 90 0 0 la_data_in[2]
 port 214 nsew signal input
-rlabel metal2 s 242852 -972 242964 468 8 la_data_in[33]
+flabel metal2 s 225300 5366 225412 6646 0 FreeSans 1120 90 0 0 la_data_in[30]
 port 215 nsew signal input
-rlabel metal2 s 246348 -972 246460 468 8 la_data_in[34]
+flabel metal2 s 228846 5366 228958 6646 0 FreeSans 1120 90 0 0 la_data_in[31]
 port 216 nsew signal input
-rlabel metal2 s 249936 -972 250048 468 8 la_data_in[35]
+flabel metal2 s 232392 5366 232504 6646 0 FreeSans 1120 90 0 0 la_data_in[32]
 port 217 nsew signal input
-rlabel metal2 s 253432 -972 253544 468 8 la_data_in[36]
+flabel metal2 s 235938 5366 236050 6646 0 FreeSans 1120 90 0 0 la_data_in[33]
 port 218 nsew signal input
-rlabel metal2 s 257020 -972 257132 468 8 la_data_in[37]
+flabel metal2 s 239484 5366 239596 6646 0 FreeSans 1120 90 0 0 la_data_in[34]
 port 219 nsew signal input
-rlabel metal2 s 260608 -972 260720 468 8 la_data_in[38]
+flabel metal2 s 243030 5366 243142 6646 0 FreeSans 1120 90 0 0 la_data_in[35]
 port 220 nsew signal input
-rlabel metal2 s 264104 -972 264216 468 8 la_data_in[39]
+flabel metal2 s 246576 5366 246688 6646 0 FreeSans 1120 90 0 0 la_data_in[36]
 port 221 nsew signal input
-rlabel metal2 s 136408 -972 136520 468 8 la_data_in[3]
+flabel metal2 s 250122 5366 250234 6646 0 FreeSans 1120 90 0 0 la_data_in[37]
 port 222 nsew signal input
-rlabel metal2 s 267692 -972 267804 468 8 la_data_in[40]
+flabel metal2 s 253668 5366 253780 6646 0 FreeSans 1120 90 0 0 la_data_in[38]
 port 223 nsew signal input
-rlabel metal2 s 271188 -972 271300 468 8 la_data_in[41]
+flabel metal2 s 257214 5366 257326 6646 0 FreeSans 1120 90 0 0 la_data_in[39]
 port 224 nsew signal input
-rlabel metal2 s 274776 -972 274888 468 8 la_data_in[42]
+flabel metal2 s 129558 5366 129670 6646 0 FreeSans 1120 90 0 0 la_data_in[3]
 port 225 nsew signal input
-rlabel metal2 s 278272 -972 278384 468 8 la_data_in[43]
+flabel metal2 s 260760 5366 260872 6646 0 FreeSans 1120 90 0 0 la_data_in[40]
 port 226 nsew signal input
-rlabel metal2 s 281860 -972 281972 468 8 la_data_in[44]
+flabel metal2 s 264306 5366 264418 6646 0 FreeSans 1120 90 0 0 la_data_in[41]
 port 227 nsew signal input
-rlabel metal2 s 285356 -972 285468 468 8 la_data_in[45]
+flabel metal2 s 267852 5366 267964 6646 0 FreeSans 1120 90 0 0 la_data_in[42]
 port 228 nsew signal input
-rlabel metal2 s 288944 -972 289056 468 8 la_data_in[46]
+flabel metal2 s 271398 5366 271510 6646 0 FreeSans 1120 90 0 0 la_data_in[43]
 port 229 nsew signal input
-rlabel metal2 s 292532 -972 292644 468 8 la_data_in[47]
+flabel metal2 s 274944 5366 275056 6646 0 FreeSans 1120 90 0 0 la_data_in[44]
 port 230 nsew signal input
-rlabel metal2 s 296028 -972 296140 468 8 la_data_in[48]
+flabel metal2 s 278490 5366 278602 6646 0 FreeSans 1120 90 0 0 la_data_in[45]
 port 231 nsew signal input
-rlabel metal2 s 299616 -972 299728 468 8 la_data_in[49]
+flabel metal2 s 282036 5366 282148 6646 0 FreeSans 1120 90 0 0 la_data_in[46]
 port 232 nsew signal input
-rlabel metal2 s 139996 -972 140108 468 8 la_data_in[4]
+flabel metal2 s 285582 5366 285694 6646 0 FreeSans 1120 90 0 0 la_data_in[47]
 port 233 nsew signal input
-rlabel metal2 s 303112 -972 303224 468 8 la_data_in[50]
+flabel metal2 s 289128 5366 289240 6646 0 FreeSans 1120 90 0 0 la_data_in[48]
 port 234 nsew signal input
-rlabel metal2 s 306700 -972 306812 468 8 la_data_in[51]
+flabel metal2 s 292674 5366 292786 6646 0 FreeSans 1120 90 0 0 la_data_in[49]
 port 235 nsew signal input
-rlabel metal2 s 310196 -972 310308 468 8 la_data_in[52]
+flabel metal2 s 133104 5366 133216 6646 0 FreeSans 1120 90 0 0 la_data_in[4]
 port 236 nsew signal input
-rlabel metal2 s 313784 -972 313896 468 8 la_data_in[53]
+flabel metal2 s 296220 5366 296332 6646 0 FreeSans 1120 90 0 0 la_data_in[50]
 port 237 nsew signal input
-rlabel metal2 s 317280 -972 317392 468 8 la_data_in[54]
+flabel metal2 s 299766 5366 299878 6646 0 FreeSans 1120 90 0 0 la_data_in[51]
 port 238 nsew signal input
-rlabel metal2 s 320868 -972 320980 468 8 la_data_in[55]
+flabel metal2 s 303312 5366 303424 6646 0 FreeSans 1120 90 0 0 la_data_in[52]
 port 239 nsew signal input
-rlabel metal2 s 324364 -972 324476 468 8 la_data_in[56]
+flabel metal2 s 306858 5366 306970 6646 0 FreeSans 1120 90 0 0 la_data_in[53]
 port 240 nsew signal input
-rlabel metal2 s 327952 -972 328064 468 8 la_data_in[57]
+flabel metal2 s 310404 5366 310516 6646 0 FreeSans 1120 90 0 0 la_data_in[54]
 port 241 nsew signal input
-rlabel metal2 s 331540 -972 331652 468 8 la_data_in[58]
+flabel metal2 s 313950 5366 314062 6646 0 FreeSans 1120 90 0 0 la_data_in[55]
 port 242 nsew signal input
-rlabel metal2 s 335036 -972 335148 468 8 la_data_in[59]
+flabel metal2 s 317496 5366 317608 6646 0 FreeSans 1120 90 0 0 la_data_in[56]
 port 243 nsew signal input
-rlabel metal2 s 143492 -972 143604 468 8 la_data_in[5]
+flabel metal2 s 321042 5366 321154 6646 0 FreeSans 1120 90 0 0 la_data_in[57]
 port 244 nsew signal input
-rlabel metal2 s 338624 -972 338736 468 8 la_data_in[60]
+flabel metal2 s 324588 5366 324700 6646 0 FreeSans 1120 90 0 0 la_data_in[58]
 port 245 nsew signal input
-rlabel metal2 s 342120 -972 342232 468 8 la_data_in[61]
+flabel metal2 s 328134 5366 328246 6646 0 FreeSans 1120 90 0 0 la_data_in[59]
 port 246 nsew signal input
-rlabel metal2 s 345708 -972 345820 468 8 la_data_in[62]
+flabel metal2 s 136650 5366 136762 6646 0 FreeSans 1120 90 0 0 la_data_in[5]
 port 247 nsew signal input
-rlabel metal2 s 349204 -972 349316 468 8 la_data_in[63]
+flabel metal2 s 331680 5366 331792 6646 0 FreeSans 1120 90 0 0 la_data_in[60]
 port 248 nsew signal input
-rlabel metal2 s 352792 -972 352904 468 8 la_data_in[64]
+flabel metal2 s 335226 5366 335338 6646 0 FreeSans 1120 90 0 0 la_data_in[61]
 port 249 nsew signal input
-rlabel metal2 s 356288 -972 356400 468 8 la_data_in[65]
+flabel metal2 s 338772 5366 338884 6646 0 FreeSans 1120 90 0 0 la_data_in[62]
 port 250 nsew signal input
-rlabel metal2 s 359876 -972 359988 468 8 la_data_in[66]
+flabel metal2 s 342318 5366 342430 6646 0 FreeSans 1120 90 0 0 la_data_in[63]
 port 251 nsew signal input
-rlabel metal2 s 363464 -972 363576 468 8 la_data_in[67]
+flabel metal2 s 345864 5366 345976 6646 0 FreeSans 1120 90 0 0 la_data_in[64]
 port 252 nsew signal input
-rlabel metal2 s 366960 -972 367072 468 8 la_data_in[68]
+flabel metal2 s 349410 5366 349522 6646 0 FreeSans 1120 90 0 0 la_data_in[65]
 port 253 nsew signal input
-rlabel metal2 s 370548 -972 370660 468 8 la_data_in[69]
+flabel metal2 s 352956 5366 353068 6646 0 FreeSans 1120 90 0 0 la_data_in[66]
 port 254 nsew signal input
-rlabel metal2 s 147080 -972 147192 468 8 la_data_in[6]
+flabel metal2 s 356502 5366 356614 6646 0 FreeSans 1120 90 0 0 la_data_in[67]
 port 255 nsew signal input
-rlabel metal2 s 374044 -972 374156 468 8 la_data_in[70]
+flabel metal2 s 360048 5366 360160 6646 0 FreeSans 1120 90 0 0 la_data_in[68]
 port 256 nsew signal input
-rlabel metal2 s 377632 -972 377744 468 8 la_data_in[71]
+flabel metal2 s 363594 5366 363706 6646 0 FreeSans 1120 90 0 0 la_data_in[69]
 port 257 nsew signal input
-rlabel metal2 s 381128 -972 381240 468 8 la_data_in[72]
+flabel metal2 s 140196 5366 140308 6646 0 FreeSans 1120 90 0 0 la_data_in[6]
 port 258 nsew signal input
-rlabel metal2 s 384716 -972 384828 468 8 la_data_in[73]
+flabel metal2 s 367140 5366 367252 6646 0 FreeSans 1120 90 0 0 la_data_in[70]
 port 259 nsew signal input
-rlabel metal2 s 388212 -972 388324 468 8 la_data_in[74]
+flabel metal2 s 370686 5366 370798 6646 0 FreeSans 1120 90 0 0 la_data_in[71]
 port 260 nsew signal input
-rlabel metal2 s 391800 -972 391912 468 8 la_data_in[75]
+flabel metal2 s 374232 5366 374344 6646 0 FreeSans 1120 90 0 0 la_data_in[72]
 port 261 nsew signal input
-rlabel metal2 s 395296 -972 395408 468 8 la_data_in[76]
+flabel metal2 s 377778 5366 377890 6646 0 FreeSans 1120 90 0 0 la_data_in[73]
 port 262 nsew signal input
-rlabel metal2 s 398884 -972 398996 468 8 la_data_in[77]
+flabel metal2 s 381324 5366 381436 6646 0 FreeSans 1120 90 0 0 la_data_in[74]
 port 263 nsew signal input
-rlabel metal2 s 402472 -972 402584 468 8 la_data_in[78]
+flabel metal2 s 384870 5366 384982 6646 0 FreeSans 1120 90 0 0 la_data_in[75]
 port 264 nsew signal input
-rlabel metal2 s 405968 -972 406080 468 8 la_data_in[79]
+flabel metal2 s 388416 5366 388528 6646 0 FreeSans 1120 90 0 0 la_data_in[76]
 port 265 nsew signal input
-rlabel metal2 s 150576 -972 150688 468 8 la_data_in[7]
+flabel metal2 s 391962 5366 392074 6646 0 FreeSans 1120 90 0 0 la_data_in[77]
 port 266 nsew signal input
-rlabel metal2 s 409556 -972 409668 468 8 la_data_in[80]
+flabel metal2 s 395508 5366 395620 6646 0 FreeSans 1120 90 0 0 la_data_in[78]
 port 267 nsew signal input
-rlabel metal2 s 413052 -972 413164 468 8 la_data_in[81]
+flabel metal2 s 399054 5366 399166 6646 0 FreeSans 1120 90 0 0 la_data_in[79]
 port 268 nsew signal input
-rlabel metal2 s 416640 -972 416752 468 8 la_data_in[82]
+flabel metal2 s 143742 5366 143854 6646 0 FreeSans 1120 90 0 0 la_data_in[7]
 port 269 nsew signal input
-rlabel metal2 s 420136 -972 420248 468 8 la_data_in[83]
+flabel metal2 s 402600 5366 402712 6646 0 FreeSans 1120 90 0 0 la_data_in[80]
 port 270 nsew signal input
-rlabel metal2 s 423724 -972 423836 468 8 la_data_in[84]
+flabel metal2 s 406146 5366 406258 6646 0 FreeSans 1120 90 0 0 la_data_in[81]
 port 271 nsew signal input
-rlabel metal2 s 427220 -972 427332 468 8 la_data_in[85]
+flabel metal2 s 409692 5366 409804 6646 0 FreeSans 1120 90 0 0 la_data_in[82]
 port 272 nsew signal input
-rlabel metal2 s 430808 -972 430920 468 8 la_data_in[86]
+flabel metal2 s 413238 5366 413350 6646 0 FreeSans 1120 90 0 0 la_data_in[83]
 port 273 nsew signal input
-rlabel metal2 s 434396 -972 434508 468 8 la_data_in[87]
+flabel metal2 s 416784 5366 416896 6646 0 FreeSans 1120 90 0 0 la_data_in[84]
 port 274 nsew signal input
-rlabel metal2 s 437892 -972 438004 468 8 la_data_in[88]
+flabel metal2 s 420330 5366 420442 6646 0 FreeSans 1120 90 0 0 la_data_in[85]
 port 275 nsew signal input
-rlabel metal2 s 441480 -972 441592 468 8 la_data_in[89]
+flabel metal2 s 423876 5366 423988 6646 0 FreeSans 1120 90 0 0 la_data_in[86]
 port 276 nsew signal input
-rlabel metal2 s 154164 -972 154276 468 8 la_data_in[8]
+flabel metal2 s 427422 5366 427534 6646 0 FreeSans 1120 90 0 0 la_data_in[87]
 port 277 nsew signal input
-rlabel metal2 s 444976 -972 445088 468 8 la_data_in[90]
+flabel metal2 s 430968 5366 431080 6646 0 FreeSans 1120 90 0 0 la_data_in[88]
 port 278 nsew signal input
-rlabel metal2 s 448564 -972 448676 468 8 la_data_in[91]
+flabel metal2 s 434514 5366 434626 6646 0 FreeSans 1120 90 0 0 la_data_in[89]
 port 279 nsew signal input
-rlabel metal2 s 452060 -972 452172 468 8 la_data_in[92]
+flabel metal2 s 147288 5366 147400 6646 0 FreeSans 1120 90 0 0 la_data_in[8]
 port 280 nsew signal input
-rlabel metal2 s 455648 -972 455760 468 8 la_data_in[93]
+flabel metal2 s 438060 5366 438172 6646 0 FreeSans 1120 90 0 0 la_data_in[90]
 port 281 nsew signal input
-rlabel metal2 s 459144 -972 459256 468 8 la_data_in[94]
+flabel metal2 s 441606 5366 441718 6646 0 FreeSans 1120 90 0 0 la_data_in[91]
 port 282 nsew signal input
-rlabel metal2 s 462732 -972 462844 468 8 la_data_in[95]
+flabel metal2 s 445152 5366 445264 6646 0 FreeSans 1120 90 0 0 la_data_in[92]
 port 283 nsew signal input
-rlabel metal2 s 466228 -972 466340 468 8 la_data_in[96]
+flabel metal2 s 448698 5366 448810 6646 0 FreeSans 1120 90 0 0 la_data_in[93]
 port 284 nsew signal input
-rlabel metal2 s 469816 -972 469928 468 8 la_data_in[97]
+flabel metal2 s 452244 5366 452356 6646 0 FreeSans 1120 90 0 0 la_data_in[94]
 port 285 nsew signal input
-rlabel metal2 s 473404 -972 473516 468 8 la_data_in[98]
+flabel metal2 s 455790 5366 455902 6646 0 FreeSans 1120 90 0 0 la_data_in[95]
 port 286 nsew signal input
-rlabel metal2 s 476900 -972 477012 468 8 la_data_in[99]
+flabel metal2 s 459336 5366 459448 6646 0 FreeSans 1120 90 0 0 la_data_in[96]
 port 287 nsew signal input
-rlabel metal2 s 157752 -972 157864 468 8 la_data_in[9]
+flabel metal2 s 462882 5366 462994 6646 0 FreeSans 1120 90 0 0 la_data_in[97]
 port 288 nsew signal input
-rlabel metal2 s 126932 -972 127044 468 8 la_data_out[0]
-port 289 nsew signal tristate
-rlabel metal2 s 481684 -972 481796 468 8 la_data_out[100]
-port 290 nsew signal tristate
-rlabel metal2 s 485180 -972 485292 468 8 la_data_out[101]
-port 291 nsew signal tristate
-rlabel metal2 s 488768 -972 488880 468 8 la_data_out[102]
+flabel metal2 s 466428 5366 466540 6646 0 FreeSans 1120 90 0 0 la_data_in[98]
+port 289 nsew signal input
+flabel metal2 s 469974 5366 470086 6646 0 FreeSans 1120 90 0 0 la_data_in[99]
+port 290 nsew signal input
+flabel metal2 s 150834 5366 150946 6646 0 FreeSans 1120 90 0 0 la_data_in[9]
+port 291 nsew signal input
+flabel metal2 s 120102 5366 120214 6646 0 FreeSans 1120 90 0 0 la_data_out[0]
 port 292 nsew signal tristate
-rlabel metal2 s 492264 -972 492376 468 8 la_data_out[103]
+flabel metal2 s 474702 5366 474814 6646 0 FreeSans 1120 90 0 0 la_data_out[100]
 port 293 nsew signal tristate
-rlabel metal2 s 495852 -972 495964 468 8 la_data_out[104]
+flabel metal2 s 478248 5366 478360 6646 0 FreeSans 1120 90 0 0 la_data_out[101]
 port 294 nsew signal tristate
-rlabel metal2 s 499348 -972 499460 468 8 la_data_out[105]
+flabel metal2 s 481794 5366 481906 6646 0 FreeSans 1120 90 0 0 la_data_out[102]
 port 295 nsew signal tristate
-rlabel metal2 s 502936 -972 503048 468 8 la_data_out[106]
+flabel metal2 s 485340 5366 485452 6646 0 FreeSans 1120 90 0 0 la_data_out[103]
 port 296 nsew signal tristate
-rlabel metal2 s 506432 -972 506544 468 8 la_data_out[107]
+flabel metal2 s 488886 5366 488998 6646 0 FreeSans 1120 90 0 0 la_data_out[104]
 port 297 nsew signal tristate
-rlabel metal2 s 510020 -972 510132 468 8 la_data_out[108]
+flabel metal2 s 492432 5366 492544 6646 0 FreeSans 1120 90 0 0 la_data_out[105]
 port 298 nsew signal tristate
-rlabel metal2 s 513516 -972 513628 468 8 la_data_out[109]
+flabel metal2 s 495978 5366 496090 6646 0 FreeSans 1120 90 0 0 la_data_out[106]
 port 299 nsew signal tristate
-rlabel metal2 s 162444 -972 162556 468 8 la_data_out[10]
+flabel metal2 s 499524 5366 499636 6646 0 FreeSans 1120 90 0 0 la_data_out[107]
 port 300 nsew signal tristate
-rlabel metal2 s 517104 -972 517216 468 8 la_data_out[110]
+flabel metal2 s 503070 5366 503182 6646 0 FreeSans 1120 90 0 0 la_data_out[108]
 port 301 nsew signal tristate
-rlabel metal2 s 520692 -972 520804 468 8 la_data_out[111]
+flabel metal2 s 506616 5366 506728 6646 0 FreeSans 1120 90 0 0 la_data_out[109]
 port 302 nsew signal tristate
-rlabel metal2 s 524188 -972 524300 468 8 la_data_out[112]
+flabel metal2 s 155562 5366 155674 6646 0 FreeSans 1120 90 0 0 la_data_out[10]
 port 303 nsew signal tristate
-rlabel metal2 s 527776 -972 527888 468 8 la_data_out[113]
+flabel metal2 s 510162 5366 510274 6646 0 FreeSans 1120 90 0 0 la_data_out[110]
 port 304 nsew signal tristate
-rlabel metal2 s 531272 -972 531384 468 8 la_data_out[114]
+flabel metal2 s 513708 5366 513820 6646 0 FreeSans 1120 90 0 0 la_data_out[111]
 port 305 nsew signal tristate
-rlabel metal2 s 534860 -972 534972 468 8 la_data_out[115]
+flabel metal2 s 517254 5366 517366 6646 0 FreeSans 1120 90 0 0 la_data_out[112]
 port 306 nsew signal tristate
-rlabel metal2 s 538356 -972 538468 468 8 la_data_out[116]
+flabel metal2 s 520800 5366 520912 6646 0 FreeSans 1120 90 0 0 la_data_out[113]
 port 307 nsew signal tristate
-rlabel metal2 s 541944 -972 542056 468 8 la_data_out[117]
+flabel metal2 s 524346 5366 524458 6646 0 FreeSans 1120 90 0 0 la_data_out[114]
 port 308 nsew signal tristate
-rlabel metal2 s 545440 -972 545552 468 8 la_data_out[118]
+flabel metal2 s 527892 5366 528004 6646 0 FreeSans 1120 90 0 0 la_data_out[115]
 port 309 nsew signal tristate
-rlabel metal2 s 549028 -972 549140 468 8 la_data_out[119]
+flabel metal2 s 531438 5366 531550 6646 0 FreeSans 1120 90 0 0 la_data_out[116]
 port 310 nsew signal tristate
-rlabel metal2 s 166032 -972 166144 468 8 la_data_out[11]
+flabel metal2 s 534984 5366 535096 6646 0 FreeSans 1120 90 0 0 la_data_out[117]
 port 311 nsew signal tristate
-rlabel metal2 s 552616 -972 552728 468 8 la_data_out[120]
+flabel metal2 s 538530 5366 538642 6646 0 FreeSans 1120 90 0 0 la_data_out[118]
 port 312 nsew signal tristate
-rlabel metal2 s 556112 -972 556224 468 8 la_data_out[121]
+flabel metal2 s 542076 5366 542188 6646 0 FreeSans 1120 90 0 0 la_data_out[119]
 port 313 nsew signal tristate
-rlabel metal2 s 559700 -972 559812 468 8 la_data_out[122]
+flabel metal2 s 159108 5366 159220 6646 0 FreeSans 1120 90 0 0 la_data_out[11]
 port 314 nsew signal tristate
-rlabel metal2 s 563196 -972 563308 468 8 la_data_out[123]
+flabel metal2 s 545622 5366 545734 6646 0 FreeSans 1120 90 0 0 la_data_out[120]
 port 315 nsew signal tristate
-rlabel metal2 s 566784 -972 566896 468 8 la_data_out[124]
+flabel metal2 s 549168 5366 549280 6646 0 FreeSans 1120 90 0 0 la_data_out[121]
 port 316 nsew signal tristate
-rlabel metal2 s 570280 -972 570392 468 8 la_data_out[125]
+flabel metal2 s 552714 5366 552826 6646 0 FreeSans 1120 90 0 0 la_data_out[122]
 port 317 nsew signal tristate
-rlabel metal2 s 573868 -972 573980 468 8 la_data_out[126]
+flabel metal2 s 556260 5366 556372 6646 0 FreeSans 1120 90 0 0 la_data_out[123]
 port 318 nsew signal tristate
-rlabel metal2 s 577364 -972 577476 468 8 la_data_out[127]
+flabel metal2 s 559806 5366 559918 6646 0 FreeSans 1120 90 0 0 la_data_out[124]
 port 319 nsew signal tristate
-rlabel metal2 s 169528 -972 169640 468 8 la_data_out[12]
+flabel metal2 s 563352 5366 563464 6646 0 FreeSans 1120 90 0 0 la_data_out[125]
 port 320 nsew signal tristate
-rlabel metal2 s 173116 -972 173228 468 8 la_data_out[13]
+flabel metal2 s 566898 5366 567010 6646 0 FreeSans 1120 90 0 0 la_data_out[126]
 port 321 nsew signal tristate
-rlabel metal2 s 176612 -972 176724 468 8 la_data_out[14]
+flabel metal2 s 570444 5366 570556 6646 0 FreeSans 1120 90 0 0 la_data_out[127]
 port 322 nsew signal tristate
-rlabel metal2 s 180200 -972 180312 468 8 la_data_out[15]
+flabel metal2 s 162654 5366 162766 6646 0 FreeSans 1120 90 0 0 la_data_out[12]
 port 323 nsew signal tristate
-rlabel metal2 s 183696 -972 183808 468 8 la_data_out[16]
+flabel metal2 s 166200 5366 166312 6646 0 FreeSans 1120 90 0 0 la_data_out[13]
 port 324 nsew signal tristate
-rlabel metal2 s 187284 -972 187396 468 8 la_data_out[17]
+flabel metal2 s 169746 5366 169858 6646 0 FreeSans 1120 90 0 0 la_data_out[14]
 port 325 nsew signal tristate
-rlabel metal2 s 190780 -972 190892 468 8 la_data_out[18]
+flabel metal2 s 173292 5366 173404 6646 0 FreeSans 1120 90 0 0 la_data_out[15]
 port 326 nsew signal tristate
-rlabel metal2 s 194368 -972 194480 468 8 la_data_out[19]
+flabel metal2 s 176838 5366 176950 6646 0 FreeSans 1120 90 0 0 la_data_out[16]
 port 327 nsew signal tristate
-rlabel metal2 s 130520 -972 130632 468 8 la_data_out[1]
+flabel metal2 s 180384 5366 180496 6646 0 FreeSans 1120 90 0 0 la_data_out[17]
 port 328 nsew signal tristate
-rlabel metal2 s 197864 -972 197976 468 8 la_data_out[20]
+flabel metal2 s 183930 5366 184042 6646 0 FreeSans 1120 90 0 0 la_data_out[18]
 port 329 nsew signal tristate
-rlabel metal2 s 201452 -972 201564 468 8 la_data_out[21]
+flabel metal2 s 187476 5366 187588 6646 0 FreeSans 1120 90 0 0 la_data_out[19]
 port 330 nsew signal tristate
-rlabel metal2 s 205040 -972 205152 468 8 la_data_out[22]
+flabel metal2 s 123648 5366 123760 6646 0 FreeSans 1120 90 0 0 la_data_out[1]
 port 331 nsew signal tristate
-rlabel metal2 s 208536 -972 208648 468 8 la_data_out[23]
+flabel metal2 s 191022 5366 191134 6646 0 FreeSans 1120 90 0 0 la_data_out[20]
 port 332 nsew signal tristate
-rlabel metal2 s 212124 -972 212236 468 8 la_data_out[24]
+flabel metal2 s 194568 5366 194680 6646 0 FreeSans 1120 90 0 0 la_data_out[21]
 port 333 nsew signal tristate
-rlabel metal2 s 215620 -972 215732 468 8 la_data_out[25]
+flabel metal2 s 198114 5366 198226 6646 0 FreeSans 1120 90 0 0 la_data_out[22]
 port 334 nsew signal tristate
-rlabel metal2 s 219208 -972 219320 468 8 la_data_out[26]
+flabel metal2 s 201660 5366 201772 6646 0 FreeSans 1120 90 0 0 la_data_out[23]
 port 335 nsew signal tristate
-rlabel metal2 s 222704 -972 222816 468 8 la_data_out[27]
+flabel metal2 s 205206 5366 205318 6646 0 FreeSans 1120 90 0 0 la_data_out[24]
 port 336 nsew signal tristate
-rlabel metal2 s 226292 -972 226404 468 8 la_data_out[28]
+flabel metal2 s 208752 5366 208864 6646 0 FreeSans 1120 90 0 0 la_data_out[25]
 port 337 nsew signal tristate
-rlabel metal2 s 229788 -972 229900 468 8 la_data_out[29]
+flabel metal2 s 212298 5366 212410 6646 0 FreeSans 1120 90 0 0 la_data_out[26]
 port 338 nsew signal tristate
-rlabel metal2 s 134108 -972 134220 468 8 la_data_out[2]
+flabel metal2 s 215844 5366 215956 6646 0 FreeSans 1120 90 0 0 la_data_out[27]
 port 339 nsew signal tristate
-rlabel metal2 s 233376 -972 233488 468 8 la_data_out[30]
+flabel metal2 s 219390 5366 219502 6646 0 FreeSans 1120 90 0 0 la_data_out[28]
 port 340 nsew signal tristate
-rlabel metal2 s 236964 -972 237076 468 8 la_data_out[31]
+flabel metal2 s 222936 5366 223048 6646 0 FreeSans 1120 90 0 0 la_data_out[29]
 port 341 nsew signal tristate
-rlabel metal2 s 240460 -972 240572 468 8 la_data_out[32]
+flabel metal2 s 127194 5366 127306 6646 0 FreeSans 1120 90 0 0 la_data_out[2]
 port 342 nsew signal tristate
-rlabel metal2 s 244048 -972 244160 468 8 la_data_out[33]
+flabel metal2 s 226482 5366 226594 6646 0 FreeSans 1120 90 0 0 la_data_out[30]
 port 343 nsew signal tristate
-rlabel metal2 s 247544 -972 247656 468 8 la_data_out[34]
+flabel metal2 s 230028 5366 230140 6646 0 FreeSans 1120 90 0 0 la_data_out[31]
 port 344 nsew signal tristate
-rlabel metal2 s 251132 -972 251244 468 8 la_data_out[35]
+flabel metal2 s 233574 5366 233686 6646 0 FreeSans 1120 90 0 0 la_data_out[32]
 port 345 nsew signal tristate
-rlabel metal2 s 254628 -972 254740 468 8 la_data_out[36]
+flabel metal2 s 237120 5366 237232 6646 0 FreeSans 1120 90 0 0 la_data_out[33]
 port 346 nsew signal tristate
-rlabel metal2 s 258216 -972 258328 468 8 la_data_out[37]
+flabel metal2 s 240666 5366 240778 6646 0 FreeSans 1120 90 0 0 la_data_out[34]
 port 347 nsew signal tristate
-rlabel metal2 s 261712 -972 261824 468 8 la_data_out[38]
+flabel metal2 s 244212 5366 244324 6646 0 FreeSans 1120 90 0 0 la_data_out[35]
 port 348 nsew signal tristate
-rlabel metal2 s 265300 -972 265412 468 8 la_data_out[39]
+flabel metal2 s 247758 5366 247870 6646 0 FreeSans 1120 90 0 0 la_data_out[36]
 port 349 nsew signal tristate
-rlabel metal2 s 137604 -972 137716 468 8 la_data_out[3]
+flabel metal2 s 251304 5366 251416 6646 0 FreeSans 1120 90 0 0 la_data_out[37]
 port 350 nsew signal tristate
-rlabel metal2 s 268796 -972 268908 468 8 la_data_out[40]
+flabel metal2 s 254850 5366 254962 6646 0 FreeSans 1120 90 0 0 la_data_out[38]
 port 351 nsew signal tristate
-rlabel metal2 s 272384 -972 272496 468 8 la_data_out[41]
+flabel metal2 s 258396 5366 258508 6646 0 FreeSans 1120 90 0 0 la_data_out[39]
 port 352 nsew signal tristate
-rlabel metal2 s 275972 -972 276084 468 8 la_data_out[42]
+flabel metal2 s 130740 5366 130852 6646 0 FreeSans 1120 90 0 0 la_data_out[3]
 port 353 nsew signal tristate
-rlabel metal2 s 279468 -972 279580 468 8 la_data_out[43]
+flabel metal2 s 261942 5366 262054 6646 0 FreeSans 1120 90 0 0 la_data_out[40]
 port 354 nsew signal tristate
-rlabel metal2 s 283056 -972 283168 468 8 la_data_out[44]
+flabel metal2 s 265488 5366 265600 6646 0 FreeSans 1120 90 0 0 la_data_out[41]
 port 355 nsew signal tristate
-rlabel metal2 s 286552 -972 286664 468 8 la_data_out[45]
+flabel metal2 s 269034 5366 269146 6646 0 FreeSans 1120 90 0 0 la_data_out[42]
 port 356 nsew signal tristate
-rlabel metal2 s 290140 -972 290252 468 8 la_data_out[46]
+flabel metal2 s 272580 5366 272692 6646 0 FreeSans 1120 90 0 0 la_data_out[43]
 port 357 nsew signal tristate
-rlabel metal2 s 293636 -972 293748 468 8 la_data_out[47]
+flabel metal2 s 276126 5366 276238 6646 0 FreeSans 1120 90 0 0 la_data_out[44]
 port 358 nsew signal tristate
-rlabel metal2 s 297224 -972 297336 468 8 la_data_out[48]
+flabel metal2 s 279672 5366 279784 6646 0 FreeSans 1120 90 0 0 la_data_out[45]
 port 359 nsew signal tristate
-rlabel metal2 s 300720 -972 300832 468 8 la_data_out[49]
+flabel metal2 s 283218 5366 283330 6646 0 FreeSans 1120 90 0 0 la_data_out[46]
 port 360 nsew signal tristate
-rlabel metal2 s 141192 -972 141304 468 8 la_data_out[4]
+flabel metal2 s 286764 5366 286876 6646 0 FreeSans 1120 90 0 0 la_data_out[47]
 port 361 nsew signal tristate
-rlabel metal2 s 304308 -972 304420 468 8 la_data_out[50]
+flabel metal2 s 290310 5366 290422 6646 0 FreeSans 1120 90 0 0 la_data_out[48]
 port 362 nsew signal tristate
-rlabel metal2 s 307896 -972 308008 468 8 la_data_out[51]
+flabel metal2 s 293856 5366 293968 6646 0 FreeSans 1120 90 0 0 la_data_out[49]
 port 363 nsew signal tristate
-rlabel metal2 s 311392 -972 311504 468 8 la_data_out[52]
+flabel metal2 s 134286 5366 134398 6646 0 FreeSans 1120 90 0 0 la_data_out[4]
 port 364 nsew signal tristate
-rlabel metal2 s 314980 -972 315092 468 8 la_data_out[53]
+flabel metal2 s 297402 5366 297514 6646 0 FreeSans 1120 90 0 0 la_data_out[50]
 port 365 nsew signal tristate
-rlabel metal2 s 318476 -972 318588 468 8 la_data_out[54]
+flabel metal2 s 300948 5366 301060 6646 0 FreeSans 1120 90 0 0 la_data_out[51]
 port 366 nsew signal tristate
-rlabel metal2 s 322064 -972 322176 468 8 la_data_out[55]
+flabel metal2 s 304494 5366 304606 6646 0 FreeSans 1120 90 0 0 la_data_out[52]
 port 367 nsew signal tristate
-rlabel metal2 s 325560 -972 325672 468 8 la_data_out[56]
+flabel metal2 s 308040 5366 308152 6646 0 FreeSans 1120 90 0 0 la_data_out[53]
 port 368 nsew signal tristate
-rlabel metal2 s 329148 -972 329260 468 8 la_data_out[57]
+flabel metal2 s 311586 5366 311698 6646 0 FreeSans 1120 90 0 0 la_data_out[54]
 port 369 nsew signal tristate
-rlabel metal2 s 332644 -972 332756 468 8 la_data_out[58]
+flabel metal2 s 315132 5366 315244 6646 0 FreeSans 1120 90 0 0 la_data_out[55]
 port 370 nsew signal tristate
-rlabel metal2 s 336232 -972 336344 468 8 la_data_out[59]
+flabel metal2 s 318678 5366 318790 6646 0 FreeSans 1120 90 0 0 la_data_out[56]
 port 371 nsew signal tristate
-rlabel metal2 s 144688 -972 144800 468 8 la_data_out[5]
+flabel metal2 s 322224 5366 322336 6646 0 FreeSans 1120 90 0 0 la_data_out[57]
 port 372 nsew signal tristate
-rlabel metal2 s 339820 -972 339932 468 8 la_data_out[60]
+flabel metal2 s 325770 5366 325882 6646 0 FreeSans 1120 90 0 0 la_data_out[58]
 port 373 nsew signal tristate
-rlabel metal2 s 343316 -972 343428 468 8 la_data_out[61]
+flabel metal2 s 329316 5366 329428 6646 0 FreeSans 1120 90 0 0 la_data_out[59]
 port 374 nsew signal tristate
-rlabel metal2 s 346904 -972 347016 468 8 la_data_out[62]
+flabel metal2 s 137832 5366 137944 6646 0 FreeSans 1120 90 0 0 la_data_out[5]
 port 375 nsew signal tristate
-rlabel metal2 s 350400 -972 350512 468 8 la_data_out[63]
+flabel metal2 s 332862 5366 332974 6646 0 FreeSans 1120 90 0 0 la_data_out[60]
 port 376 nsew signal tristate
-rlabel metal2 s 353988 -972 354100 468 8 la_data_out[64]
+flabel metal2 s 336408 5366 336520 6646 0 FreeSans 1120 90 0 0 la_data_out[61]
 port 377 nsew signal tristate
-rlabel metal2 s 357484 -972 357596 468 8 la_data_out[65]
+flabel metal2 s 339954 5366 340066 6646 0 FreeSans 1120 90 0 0 la_data_out[62]
 port 378 nsew signal tristate
-rlabel metal2 s 361072 -972 361184 468 8 la_data_out[66]
+flabel metal2 s 343500 5366 343612 6646 0 FreeSans 1120 90 0 0 la_data_out[63]
 port 379 nsew signal tristate
-rlabel metal2 s 364568 -972 364680 468 8 la_data_out[67]
+flabel metal2 s 347046 5366 347158 6646 0 FreeSans 1120 90 0 0 la_data_out[64]
 port 380 nsew signal tristate
-rlabel metal2 s 368156 -972 368268 468 8 la_data_out[68]
+flabel metal2 s 350592 5366 350704 6646 0 FreeSans 1120 90 0 0 la_data_out[65]
 port 381 nsew signal tristate
-rlabel metal2 s 371652 -972 371764 468 8 la_data_out[69]
+flabel metal2 s 354138 5366 354250 6646 0 FreeSans 1120 90 0 0 la_data_out[66]
 port 382 nsew signal tristate
-rlabel metal2 s 148276 -972 148388 468 8 la_data_out[6]
+flabel metal2 s 357684 5366 357796 6646 0 FreeSans 1120 90 0 0 la_data_out[67]
 port 383 nsew signal tristate
-rlabel metal2 s 375240 -972 375352 468 8 la_data_out[70]
+flabel metal2 s 361230 5366 361342 6646 0 FreeSans 1120 90 0 0 la_data_out[68]
 port 384 nsew signal tristate
-rlabel metal2 s 378828 -972 378940 468 8 la_data_out[71]
+flabel metal2 s 364776 5366 364888 6646 0 FreeSans 1120 90 0 0 la_data_out[69]
 port 385 nsew signal tristate
-rlabel metal2 s 382324 -972 382436 468 8 la_data_out[72]
+flabel metal2 s 141378 5366 141490 6646 0 FreeSans 1120 90 0 0 la_data_out[6]
 port 386 nsew signal tristate
-rlabel metal2 s 385912 -972 386024 468 8 la_data_out[73]
+flabel metal2 s 368322 5366 368434 6646 0 FreeSans 1120 90 0 0 la_data_out[70]
 port 387 nsew signal tristate
-rlabel metal2 s 389408 -972 389520 468 8 la_data_out[74]
+flabel metal2 s 371868 5366 371980 6646 0 FreeSans 1120 90 0 0 la_data_out[71]
 port 388 nsew signal tristate
-rlabel metal2 s 392996 -972 393108 468 8 la_data_out[75]
+flabel metal2 s 375414 5366 375526 6646 0 FreeSans 1120 90 0 0 la_data_out[72]
 port 389 nsew signal tristate
-rlabel metal2 s 396492 -972 396604 468 8 la_data_out[76]
+flabel metal2 s 378960 5366 379072 6646 0 FreeSans 1120 90 0 0 la_data_out[73]
 port 390 nsew signal tristate
-rlabel metal2 s 400080 -972 400192 468 8 la_data_out[77]
+flabel metal2 s 382506 5366 382618 6646 0 FreeSans 1120 90 0 0 la_data_out[74]
 port 391 nsew signal tristate
-rlabel metal2 s 403576 -972 403688 468 8 la_data_out[78]
+flabel metal2 s 386052 5366 386164 6646 0 FreeSans 1120 90 0 0 la_data_out[75]
 port 392 nsew signal tristate
-rlabel metal2 s 407164 -972 407276 468 8 la_data_out[79]
+flabel metal2 s 389598 5366 389710 6646 0 FreeSans 1120 90 0 0 la_data_out[76]
 port 393 nsew signal tristate
-rlabel metal2 s 151772 -972 151884 468 8 la_data_out[7]
+flabel metal2 s 393144 5366 393256 6646 0 FreeSans 1120 90 0 0 la_data_out[77]
 port 394 nsew signal tristate
-rlabel metal2 s 410752 -972 410864 468 8 la_data_out[80]
+flabel metal2 s 396690 5366 396802 6646 0 FreeSans 1120 90 0 0 la_data_out[78]
 port 395 nsew signal tristate
-rlabel metal2 s 414248 -972 414360 468 8 la_data_out[81]
+flabel metal2 s 400236 5366 400348 6646 0 FreeSans 1120 90 0 0 la_data_out[79]
 port 396 nsew signal tristate
-rlabel metal2 s 417836 -972 417948 468 8 la_data_out[82]
+flabel metal2 s 144924 5366 145036 6646 0 FreeSans 1120 90 0 0 la_data_out[7]
 port 397 nsew signal tristate
-rlabel metal2 s 421332 -972 421444 468 8 la_data_out[83]
+flabel metal2 s 403782 5366 403894 6646 0 FreeSans 1120 90 0 0 la_data_out[80]
 port 398 nsew signal tristate
-rlabel metal2 s 424920 -972 425032 468 8 la_data_out[84]
+flabel metal2 s 407328 5366 407440 6646 0 FreeSans 1120 90 0 0 la_data_out[81]
 port 399 nsew signal tristate
-rlabel metal2 s 428416 -972 428528 468 8 la_data_out[85]
+flabel metal2 s 410874 5366 410986 6646 0 FreeSans 1120 90 0 0 la_data_out[82]
 port 400 nsew signal tristate
-rlabel metal2 s 432004 -972 432116 468 8 la_data_out[86]
+flabel metal2 s 414420 5366 414532 6646 0 FreeSans 1120 90 0 0 la_data_out[83]
 port 401 nsew signal tristate
-rlabel metal2 s 435500 -972 435612 468 8 la_data_out[87]
+flabel metal2 s 417966 5366 418078 6646 0 FreeSans 1120 90 0 0 la_data_out[84]
 port 402 nsew signal tristate
-rlabel metal2 s 439088 -972 439200 468 8 la_data_out[88]
+flabel metal2 s 421512 5366 421624 6646 0 FreeSans 1120 90 0 0 la_data_out[85]
 port 403 nsew signal tristate
-rlabel metal2 s 442584 -972 442696 468 8 la_data_out[89]
+flabel metal2 s 425058 5366 425170 6646 0 FreeSans 1120 90 0 0 la_data_out[86]
 port 404 nsew signal tristate
-rlabel metal2 s 155360 -972 155472 468 8 la_data_out[8]
+flabel metal2 s 428604 5366 428716 6646 0 FreeSans 1120 90 0 0 la_data_out[87]
 port 405 nsew signal tristate
-rlabel metal2 s 446172 -972 446284 468 8 la_data_out[90]
+flabel metal2 s 432150 5366 432262 6646 0 FreeSans 1120 90 0 0 la_data_out[88]
 port 406 nsew signal tristate
-rlabel metal2 s 449760 -972 449872 468 8 la_data_out[91]
+flabel metal2 s 435696 5366 435808 6646 0 FreeSans 1120 90 0 0 la_data_out[89]
 port 407 nsew signal tristate
-rlabel metal2 s 453256 -972 453368 468 8 la_data_out[92]
+flabel metal2 s 148470 5366 148582 6646 0 FreeSans 1120 90 0 0 la_data_out[8]
 port 408 nsew signal tristate
-rlabel metal2 s 456844 -972 456956 468 8 la_data_out[93]
+flabel metal2 s 439242 5366 439354 6646 0 FreeSans 1120 90 0 0 la_data_out[90]
 port 409 nsew signal tristate
-rlabel metal2 s 460340 -972 460452 468 8 la_data_out[94]
+flabel metal2 s 442788 5366 442900 6646 0 FreeSans 1120 90 0 0 la_data_out[91]
 port 410 nsew signal tristate
-rlabel metal2 s 463928 -972 464040 468 8 la_data_out[95]
+flabel metal2 s 446334 5366 446446 6646 0 FreeSans 1120 90 0 0 la_data_out[92]
 port 411 nsew signal tristate
-rlabel metal2 s 467424 -972 467536 468 8 la_data_out[96]
+flabel metal2 s 449880 5366 449992 6646 0 FreeSans 1120 90 0 0 la_data_out[93]
 port 412 nsew signal tristate
-rlabel metal2 s 471012 -972 471124 468 8 la_data_out[97]
+flabel metal2 s 453426 5366 453538 6646 0 FreeSans 1120 90 0 0 la_data_out[94]
 port 413 nsew signal tristate
-rlabel metal2 s 474508 -972 474620 468 8 la_data_out[98]
+flabel metal2 s 456972 5366 457084 6646 0 FreeSans 1120 90 0 0 la_data_out[95]
 port 414 nsew signal tristate
-rlabel metal2 s 478096 -972 478208 468 8 la_data_out[99]
+flabel metal2 s 460518 5366 460630 6646 0 FreeSans 1120 90 0 0 la_data_out[96]
 port 415 nsew signal tristate
-rlabel metal2 s 158856 -972 158968 468 8 la_data_out[9]
+flabel metal2 s 464064 5366 464176 6646 0 FreeSans 1120 90 0 0 la_data_out[97]
 port 416 nsew signal tristate
-rlabel metal2 s 128128 -972 128240 468 8 la_oenb[0]
-port 417 nsew signal input
-rlabel metal2 s 482788 -972 482900 468 8 la_oenb[100]
-port 418 nsew signal input
-rlabel metal2 s 486376 -972 486488 468 8 la_oenb[101]
-port 419 nsew signal input
-rlabel metal2 s 489872 -972 489984 468 8 la_oenb[102]
+flabel metal2 s 467610 5366 467722 6646 0 FreeSans 1120 90 0 0 la_data_out[98]
+port 417 nsew signal tristate
+flabel metal2 s 471156 5366 471268 6646 0 FreeSans 1120 90 0 0 la_data_out[99]
+port 418 nsew signal tristate
+flabel metal2 s 152016 5366 152128 6646 0 FreeSans 1120 90 0 0 la_data_out[9]
+port 419 nsew signal tristate
+flabel metal2 s 121284 5366 121396 6646 0 FreeSans 1120 90 0 0 la_oenb[0]
 port 420 nsew signal input
-rlabel metal2 s 493460 -972 493572 468 8 la_oenb[103]
+flabel metal2 s 475884 5366 475996 6646 0 FreeSans 1120 90 0 0 la_oenb[100]
 port 421 nsew signal input
-rlabel metal2 s 497048 -972 497160 468 8 la_oenb[104]
+flabel metal2 s 479430 5366 479542 6646 0 FreeSans 1120 90 0 0 la_oenb[101]
 port 422 nsew signal input
-rlabel metal2 s 500544 -972 500656 468 8 la_oenb[105]
+flabel metal2 s 482976 5366 483088 6646 0 FreeSans 1120 90 0 0 la_oenb[102]
 port 423 nsew signal input
-rlabel metal2 s 504132 -972 504244 468 8 la_oenb[106]
+flabel metal2 s 486522 5366 486634 6646 0 FreeSans 1120 90 0 0 la_oenb[103]
 port 424 nsew signal input
-rlabel metal2 s 507628 -972 507740 468 8 la_oenb[107]
+flabel metal2 s 490068 5366 490180 6646 0 FreeSans 1120 90 0 0 la_oenb[104]
 port 425 nsew signal input
-rlabel metal2 s 511216 -972 511328 468 8 la_oenb[108]
+flabel metal2 s 493614 5366 493726 6646 0 FreeSans 1120 90 0 0 la_oenb[105]
 port 426 nsew signal input
-rlabel metal2 s 514712 -972 514824 468 8 la_oenb[109]
+flabel metal2 s 497160 5366 497272 6646 0 FreeSans 1120 90 0 0 la_oenb[106]
 port 427 nsew signal input
-rlabel metal2 s 163640 -972 163752 468 8 la_oenb[10]
+flabel metal2 s 500706 5366 500818 6646 0 FreeSans 1120 90 0 0 la_oenb[107]
 port 428 nsew signal input
-rlabel metal2 s 518300 -972 518412 468 8 la_oenb[110]
+flabel metal2 s 504252 5366 504364 6646 0 FreeSans 1120 90 0 0 la_oenb[108]
 port 429 nsew signal input
-rlabel metal2 s 521796 -972 521908 468 8 la_oenb[111]
+flabel metal2 s 507798 5366 507910 6646 0 FreeSans 1120 90 0 0 la_oenb[109]
 port 430 nsew signal input
-rlabel metal2 s 525384 -972 525496 468 8 la_oenb[112]
+flabel metal2 s 156744 5366 156856 6646 0 FreeSans 1120 90 0 0 la_oenb[10]
 port 431 nsew signal input
-rlabel metal2 s 528972 -972 529084 468 8 la_oenb[113]
+flabel metal2 s 511344 5366 511456 6646 0 FreeSans 1120 90 0 0 la_oenb[110]
 port 432 nsew signal input
-rlabel metal2 s 532468 -972 532580 468 8 la_oenb[114]
+flabel metal2 s 514890 5366 515002 6646 0 FreeSans 1120 90 0 0 la_oenb[111]
 port 433 nsew signal input
-rlabel metal2 s 536056 -972 536168 468 8 la_oenb[115]
+flabel metal2 s 518436 5366 518548 6646 0 FreeSans 1120 90 0 0 la_oenb[112]
 port 434 nsew signal input
-rlabel metal2 s 539552 -972 539664 468 8 la_oenb[116]
+flabel metal2 s 521982 5366 522094 6646 0 FreeSans 1120 90 0 0 la_oenb[113]
 port 435 nsew signal input
-rlabel metal2 s 543140 -972 543252 468 8 la_oenb[117]
+flabel metal2 s 525528 5366 525640 6646 0 FreeSans 1120 90 0 0 la_oenb[114]
 port 436 nsew signal input
-rlabel metal2 s 546636 -972 546748 468 8 la_oenb[118]
+flabel metal2 s 529074 5366 529186 6646 0 FreeSans 1120 90 0 0 la_oenb[115]
 port 437 nsew signal input
-rlabel metal2 s 550224 -972 550336 468 8 la_oenb[119]
+flabel metal2 s 532620 5366 532732 6646 0 FreeSans 1120 90 0 0 la_oenb[116]
 port 438 nsew signal input
-rlabel metal2 s 167136 -972 167248 468 8 la_oenb[11]
+flabel metal2 s 536166 5366 536278 6646 0 FreeSans 1120 90 0 0 la_oenb[117]
 port 439 nsew signal input
-rlabel metal2 s 553720 -972 553832 468 8 la_oenb[120]
+flabel metal2 s 539712 5366 539824 6646 0 FreeSans 1120 90 0 0 la_oenb[118]
 port 440 nsew signal input
-rlabel metal2 s 557308 -972 557420 468 8 la_oenb[121]
+flabel metal2 s 543258 5366 543370 6646 0 FreeSans 1120 90 0 0 la_oenb[119]
 port 441 nsew signal input
-rlabel metal2 s 560804 -972 560916 468 8 la_oenb[122]
+flabel metal2 s 160290 5366 160402 6646 0 FreeSans 1120 90 0 0 la_oenb[11]
 port 442 nsew signal input
-rlabel metal2 s 564392 -972 564504 468 8 la_oenb[123]
+flabel metal2 s 546804 5366 546916 6646 0 FreeSans 1120 90 0 0 la_oenb[120]
 port 443 nsew signal input
-rlabel metal2 s 567980 -972 568092 468 8 la_oenb[124]
+flabel metal2 s 550350 5366 550462 6646 0 FreeSans 1120 90 0 0 la_oenb[121]
 port 444 nsew signal input
-rlabel metal2 s 571476 -972 571588 468 8 la_oenb[125]
+flabel metal2 s 553896 5366 554008 6646 0 FreeSans 1120 90 0 0 la_oenb[122]
 port 445 nsew signal input
-rlabel metal2 s 575064 -972 575176 468 8 la_oenb[126]
+flabel metal2 s 557442 5366 557554 6646 0 FreeSans 1120 90 0 0 la_oenb[123]
 port 446 nsew signal input
-rlabel metal2 s 578560 -972 578672 468 8 la_oenb[127]
+flabel metal2 s 560988 5366 561100 6646 0 FreeSans 1120 90 0 0 la_oenb[124]
 port 447 nsew signal input
-rlabel metal2 s 170724 -972 170836 468 8 la_oenb[12]
+flabel metal2 s 564534 5366 564646 6646 0 FreeSans 1120 90 0 0 la_oenb[125]
 port 448 nsew signal input
-rlabel metal2 s 174220 -972 174332 468 8 la_oenb[13]
+flabel metal2 s 568080 5366 568192 6646 0 FreeSans 1120 90 0 0 la_oenb[126]
 port 449 nsew signal input
-rlabel metal2 s 177808 -972 177920 468 8 la_oenb[14]
+flabel metal2 s 571626 5366 571738 6646 0 FreeSans 1120 90 0 0 la_oenb[127]
 port 450 nsew signal input
-rlabel metal2 s 181396 -972 181508 468 8 la_oenb[15]
+flabel metal2 s 163836 5366 163948 6646 0 FreeSans 1120 90 0 0 la_oenb[12]
 port 451 nsew signal input
-rlabel metal2 s 184892 -972 185004 468 8 la_oenb[16]
+flabel metal2 s 167382 5366 167494 6646 0 FreeSans 1120 90 0 0 la_oenb[13]
 port 452 nsew signal input
-rlabel metal2 s 188480 -972 188592 468 8 la_oenb[17]
+flabel metal2 s 170928 5366 171040 6646 0 FreeSans 1120 90 0 0 la_oenb[14]
 port 453 nsew signal input
-rlabel metal2 s 191976 -972 192088 468 8 la_oenb[18]
+flabel metal2 s 174474 5366 174586 6646 0 FreeSans 1120 90 0 0 la_oenb[15]
 port 454 nsew signal input
-rlabel metal2 s 195564 -972 195676 468 8 la_oenb[19]
+flabel metal2 s 178020 5366 178132 6646 0 FreeSans 1120 90 0 0 la_oenb[16]
 port 455 nsew signal input
-rlabel metal2 s 131716 -972 131828 468 8 la_oenb[1]
+flabel metal2 s 181566 5366 181678 6646 0 FreeSans 1120 90 0 0 la_oenb[17]
 port 456 nsew signal input
-rlabel metal2 s 199060 -972 199172 468 8 la_oenb[20]
+flabel metal2 s 185112 5366 185224 6646 0 FreeSans 1120 90 0 0 la_oenb[18]
 port 457 nsew signal input
-rlabel metal2 s 202648 -972 202760 468 8 la_oenb[21]
+flabel metal2 s 188658 5366 188770 6646 0 FreeSans 1120 90 0 0 la_oenb[19]
 port 458 nsew signal input
-rlabel metal2 s 206144 -972 206256 468 8 la_oenb[22]
+flabel metal2 s 124830 5366 124942 6646 0 FreeSans 1120 90 0 0 la_oenb[1]
 port 459 nsew signal input
-rlabel metal2 s 209732 -972 209844 468 8 la_oenb[23]
+flabel metal2 s 192204 5366 192316 6646 0 FreeSans 1120 90 0 0 la_oenb[20]
 port 460 nsew signal input
-rlabel metal2 s 213320 -972 213432 468 8 la_oenb[24]
+flabel metal2 s 195750 5366 195862 6646 0 FreeSans 1120 90 0 0 la_oenb[21]
 port 461 nsew signal input
-rlabel metal2 s 216816 -972 216928 468 8 la_oenb[25]
+flabel metal2 s 199296 5366 199408 6646 0 FreeSans 1120 90 0 0 la_oenb[22]
 port 462 nsew signal input
-rlabel metal2 s 220404 -972 220516 468 8 la_oenb[26]
+flabel metal2 s 202842 5366 202954 6646 0 FreeSans 1120 90 0 0 la_oenb[23]
 port 463 nsew signal input
-rlabel metal2 s 223900 -972 224012 468 8 la_oenb[27]
+flabel metal2 s 206388 5366 206500 6646 0 FreeSans 1120 90 0 0 la_oenb[24]
 port 464 nsew signal input
-rlabel metal2 s 227488 -972 227600 468 8 la_oenb[28]
+flabel metal2 s 209934 5366 210046 6646 0 FreeSans 1120 90 0 0 la_oenb[25]
 port 465 nsew signal input
-rlabel metal2 s 230984 -972 231096 468 8 la_oenb[29]
+flabel metal2 s 213480 5366 213592 6646 0 FreeSans 1120 90 0 0 la_oenb[26]
 port 466 nsew signal input
-rlabel metal2 s 135212 -972 135324 468 8 la_oenb[2]
+flabel metal2 s 217026 5366 217138 6646 0 FreeSans 1120 90 0 0 la_oenb[27]
 port 467 nsew signal input
-rlabel metal2 s 234572 -972 234684 468 8 la_oenb[30]
+flabel metal2 s 220572 5366 220684 6646 0 FreeSans 1120 90 0 0 la_oenb[28]
 port 468 nsew signal input
-rlabel metal2 s 238068 -972 238180 468 8 la_oenb[31]
+flabel metal2 s 224118 5366 224230 6646 0 FreeSans 1120 90 0 0 la_oenb[29]
 port 469 nsew signal input
-rlabel metal2 s 241656 -972 241768 468 8 la_oenb[32]
+flabel metal2 s 128376 5366 128488 6646 0 FreeSans 1120 90 0 0 la_oenb[2]
 port 470 nsew signal input
-rlabel metal2 s 245152 -972 245264 468 8 la_oenb[33]
+flabel metal2 s 227664 5366 227776 6646 0 FreeSans 1120 90 0 0 la_oenb[30]
 port 471 nsew signal input
-rlabel metal2 s 248740 -972 248852 468 8 la_oenb[34]
+flabel metal2 s 231210 5366 231322 6646 0 FreeSans 1120 90 0 0 la_oenb[31]
 port 472 nsew signal input
-rlabel metal2 s 252328 -972 252440 468 8 la_oenb[35]
+flabel metal2 s 234756 5366 234868 6646 0 FreeSans 1120 90 0 0 la_oenb[32]
 port 473 nsew signal input
-rlabel metal2 s 255824 -972 255936 468 8 la_oenb[36]
+flabel metal2 s 238302 5366 238414 6646 0 FreeSans 1120 90 0 0 la_oenb[33]
 port 474 nsew signal input
-rlabel metal2 s 259412 -972 259524 468 8 la_oenb[37]
+flabel metal2 s 241848 5366 241960 6646 0 FreeSans 1120 90 0 0 la_oenb[34]
 port 475 nsew signal input
-rlabel metal2 s 262908 -972 263020 468 8 la_oenb[38]
+flabel metal2 s 245394 5366 245506 6646 0 FreeSans 1120 90 0 0 la_oenb[35]
 port 476 nsew signal input
-rlabel metal2 s 266496 -972 266608 468 8 la_oenb[39]
+flabel metal2 s 248940 5366 249052 6646 0 FreeSans 1120 90 0 0 la_oenb[36]
 port 477 nsew signal input
-rlabel metal2 s 138800 -972 138912 468 8 la_oenb[3]
+flabel metal2 s 252486 5366 252598 6646 0 FreeSans 1120 90 0 0 la_oenb[37]
 port 478 nsew signal input
-rlabel metal2 s 269992 -972 270104 468 8 la_oenb[40]
+flabel metal2 s 256032 5366 256144 6646 0 FreeSans 1120 90 0 0 la_oenb[38]
 port 479 nsew signal input
-rlabel metal2 s 273580 -972 273692 468 8 la_oenb[41]
+flabel metal2 s 259578 5366 259690 6646 0 FreeSans 1120 90 0 0 la_oenb[39]
 port 480 nsew signal input
-rlabel metal2 s 277076 -972 277188 468 8 la_oenb[42]
+flabel metal2 s 131922 5366 132034 6646 0 FreeSans 1120 90 0 0 la_oenb[3]
 port 481 nsew signal input
-rlabel metal2 s 280664 -972 280776 468 8 la_oenb[43]
+flabel metal2 s 263124 5366 263236 6646 0 FreeSans 1120 90 0 0 la_oenb[40]
 port 482 nsew signal input
-rlabel metal2 s 284252 -972 284364 468 8 la_oenb[44]
+flabel metal2 s 266670 5366 266782 6646 0 FreeSans 1120 90 0 0 la_oenb[41]
 port 483 nsew signal input
-rlabel metal2 s 287748 -972 287860 468 8 la_oenb[45]
+flabel metal2 s 270216 5366 270328 6646 0 FreeSans 1120 90 0 0 la_oenb[42]
 port 484 nsew signal input
-rlabel metal2 s 291336 -972 291448 468 8 la_oenb[46]
+flabel metal2 s 273762 5366 273874 6646 0 FreeSans 1120 90 0 0 la_oenb[43]
 port 485 nsew signal input
-rlabel metal2 s 294832 -972 294944 468 8 la_oenb[47]
+flabel metal2 s 277308 5366 277420 6646 0 FreeSans 1120 90 0 0 la_oenb[44]
 port 486 nsew signal input
-rlabel metal2 s 298420 -972 298532 468 8 la_oenb[48]
+flabel metal2 s 280854 5366 280966 6646 0 FreeSans 1120 90 0 0 la_oenb[45]
 port 487 nsew signal input
-rlabel metal2 s 301916 -972 302028 468 8 la_oenb[49]
+flabel metal2 s 284400 5366 284512 6646 0 FreeSans 1120 90 0 0 la_oenb[46]
 port 488 nsew signal input
-rlabel metal2 s 142388 -972 142500 468 8 la_oenb[4]
+flabel metal2 s 287946 5366 288058 6646 0 FreeSans 1120 90 0 0 la_oenb[47]
 port 489 nsew signal input
-rlabel metal2 s 305504 -972 305616 468 8 la_oenb[50]
+flabel metal2 s 291492 5366 291604 6646 0 FreeSans 1120 90 0 0 la_oenb[48]
 port 490 nsew signal input
-rlabel metal2 s 309000 -972 309112 468 8 la_oenb[51]
+flabel metal2 s 295038 5366 295150 6646 0 FreeSans 1120 90 0 0 la_oenb[49]
 port 491 nsew signal input
-rlabel metal2 s 312588 -972 312700 468 8 la_oenb[52]
+flabel metal2 s 135468 5366 135580 6646 0 FreeSans 1120 90 0 0 la_oenb[4]
 port 492 nsew signal input
-rlabel metal2 s 316176 -972 316288 468 8 la_oenb[53]
+flabel metal2 s 298584 5366 298696 6646 0 FreeSans 1120 90 0 0 la_oenb[50]
 port 493 nsew signal input
-rlabel metal2 s 319672 -972 319784 468 8 la_oenb[54]
+flabel metal2 s 302130 5366 302242 6646 0 FreeSans 1120 90 0 0 la_oenb[51]
 port 494 nsew signal input
-rlabel metal2 s 323260 -972 323372 468 8 la_oenb[55]
+flabel metal2 s 305676 5366 305788 6646 0 FreeSans 1120 90 0 0 la_oenb[52]
 port 495 nsew signal input
-rlabel metal2 s 326756 -972 326868 468 8 la_oenb[56]
+flabel metal2 s 309222 5366 309334 6646 0 FreeSans 1120 90 0 0 la_oenb[53]
 port 496 nsew signal input
-rlabel metal2 s 330344 -972 330456 468 8 la_oenb[57]
+flabel metal2 s 312768 5366 312880 6646 0 FreeSans 1120 90 0 0 la_oenb[54]
 port 497 nsew signal input
-rlabel metal2 s 333840 -972 333952 468 8 la_oenb[58]
+flabel metal2 s 316314 5366 316426 6646 0 FreeSans 1120 90 0 0 la_oenb[55]
 port 498 nsew signal input
-rlabel metal2 s 337428 -972 337540 468 8 la_oenb[59]
+flabel metal2 s 319860 5366 319972 6646 0 FreeSans 1120 90 0 0 la_oenb[56]
 port 499 nsew signal input
-rlabel metal2 s 145884 -972 145996 468 8 la_oenb[5]
+flabel metal2 s 323406 5366 323518 6646 0 FreeSans 1120 90 0 0 la_oenb[57]
 port 500 nsew signal input
-rlabel metal2 s 340924 -972 341036 468 8 la_oenb[60]
+flabel metal2 s 326952 5366 327064 6646 0 FreeSans 1120 90 0 0 la_oenb[58]
 port 501 nsew signal input
-rlabel metal2 s 344512 -972 344624 468 8 la_oenb[61]
+flabel metal2 s 330498 5366 330610 6646 0 FreeSans 1120 90 0 0 la_oenb[59]
 port 502 nsew signal input
-rlabel metal2 s 348008 -972 348120 468 8 la_oenb[62]
+flabel metal2 s 139014 5366 139126 6646 0 FreeSans 1120 90 0 0 la_oenb[5]
 port 503 nsew signal input
-rlabel metal2 s 351596 -972 351708 468 8 la_oenb[63]
+flabel metal2 s 334044 5366 334156 6646 0 FreeSans 1120 90 0 0 la_oenb[60]
 port 504 nsew signal input
-rlabel metal2 s 355184 -972 355296 468 8 la_oenb[64]
+flabel metal2 s 337590 5366 337702 6646 0 FreeSans 1120 90 0 0 la_oenb[61]
 port 505 nsew signal input
-rlabel metal2 s 358680 -972 358792 468 8 la_oenb[65]
+flabel metal2 s 341136 5366 341248 6646 0 FreeSans 1120 90 0 0 la_oenb[62]
 port 506 nsew signal input
-rlabel metal2 s 362268 -972 362380 468 8 la_oenb[66]
+flabel metal2 s 344682 5366 344794 6646 0 FreeSans 1120 90 0 0 la_oenb[63]
 port 507 nsew signal input
-rlabel metal2 s 365764 -972 365876 468 8 la_oenb[67]
+flabel metal2 s 348228 5366 348340 6646 0 FreeSans 1120 90 0 0 la_oenb[64]
 port 508 nsew signal input
-rlabel metal2 s 369352 -972 369464 468 8 la_oenb[68]
+flabel metal2 s 351774 5366 351886 6646 0 FreeSans 1120 90 0 0 la_oenb[65]
 port 509 nsew signal input
-rlabel metal2 s 372848 -972 372960 468 8 la_oenb[69]
+flabel metal2 s 355320 5366 355432 6646 0 FreeSans 1120 90 0 0 la_oenb[66]
 port 510 nsew signal input
-rlabel metal2 s 149472 -972 149584 468 8 la_oenb[6]
+flabel metal2 s 358866 5366 358978 6646 0 FreeSans 1120 90 0 0 la_oenb[67]
 port 511 nsew signal input
-rlabel metal2 s 376436 -972 376548 468 8 la_oenb[70]
+flabel metal2 s 362412 5366 362524 6646 0 FreeSans 1120 90 0 0 la_oenb[68]
 port 512 nsew signal input
-rlabel metal2 s 379932 -972 380044 468 8 la_oenb[71]
+flabel metal2 s 365958 5366 366070 6646 0 FreeSans 1120 90 0 0 la_oenb[69]
 port 513 nsew signal input
-rlabel metal2 s 383520 -972 383632 468 8 la_oenb[72]
+flabel metal2 s 142560 5366 142672 6646 0 FreeSans 1120 90 0 0 la_oenb[6]
 port 514 nsew signal input
-rlabel metal2 s 387108 -972 387220 468 8 la_oenb[73]
+flabel metal2 s 369504 5366 369616 6646 0 FreeSans 1120 90 0 0 la_oenb[70]
 port 515 nsew signal input
-rlabel metal2 s 390604 -972 390716 468 8 la_oenb[74]
+flabel metal2 s 373050 5366 373162 6646 0 FreeSans 1120 90 0 0 la_oenb[71]
 port 516 nsew signal input
-rlabel metal2 s 394192 -972 394304 468 8 la_oenb[75]
+flabel metal2 s 376596 5366 376708 6646 0 FreeSans 1120 90 0 0 la_oenb[72]
 port 517 nsew signal input
-rlabel metal2 s 397688 -972 397800 468 8 la_oenb[76]
+flabel metal2 s 380142 5366 380254 6646 0 FreeSans 1120 90 0 0 la_oenb[73]
 port 518 nsew signal input
-rlabel metal2 s 401276 -972 401388 468 8 la_oenb[77]
+flabel metal2 s 383688 5366 383800 6646 0 FreeSans 1120 90 0 0 la_oenb[74]
 port 519 nsew signal input
-rlabel metal2 s 404772 -972 404884 468 8 la_oenb[78]
+flabel metal2 s 387234 5366 387346 6646 0 FreeSans 1120 90 0 0 la_oenb[75]
 port 520 nsew signal input
-rlabel metal2 s 408360 -972 408472 468 8 la_oenb[79]
+flabel metal2 s 390780 5366 390892 6646 0 FreeSans 1120 90 0 0 la_oenb[76]
 port 521 nsew signal input
-rlabel metal2 s 152968 -972 153080 468 8 la_oenb[7]
+flabel metal2 s 394326 5366 394438 6646 0 FreeSans 1120 90 0 0 la_oenb[77]
 port 522 nsew signal input
-rlabel metal2 s 411856 -972 411968 468 8 la_oenb[80]
+flabel metal2 s 397872 5366 397984 6646 0 FreeSans 1120 90 0 0 la_oenb[78]
 port 523 nsew signal input
-rlabel metal2 s 415444 -972 415556 468 8 la_oenb[81]
+flabel metal2 s 401418 5366 401530 6646 0 FreeSans 1120 90 0 0 la_oenb[79]
 port 524 nsew signal input
-rlabel metal2 s 418940 -972 419052 468 8 la_oenb[82]
+flabel metal2 s 146106 5366 146218 6646 0 FreeSans 1120 90 0 0 la_oenb[7]
 port 525 nsew signal input
-rlabel metal2 s 422528 -972 422640 468 8 la_oenb[83]
+flabel metal2 s 404964 5366 405076 6646 0 FreeSans 1120 90 0 0 la_oenb[80]
 port 526 nsew signal input
-rlabel metal2 s 426116 -972 426228 468 8 la_oenb[84]
+flabel metal2 s 408510 5366 408622 6646 0 FreeSans 1120 90 0 0 la_oenb[81]
 port 527 nsew signal input
-rlabel metal2 s 429612 -972 429724 468 8 la_oenb[85]
+flabel metal2 s 412056 5366 412168 6646 0 FreeSans 1120 90 0 0 la_oenb[82]
 port 528 nsew signal input
-rlabel metal2 s 433200 -972 433312 468 8 la_oenb[86]
+flabel metal2 s 415602 5366 415714 6646 0 FreeSans 1120 90 0 0 la_oenb[83]
 port 529 nsew signal input
-rlabel metal2 s 436696 -972 436808 468 8 la_oenb[87]
+flabel metal2 s 419148 5366 419260 6646 0 FreeSans 1120 90 0 0 la_oenb[84]
 port 530 nsew signal input
-rlabel metal2 s 440284 -972 440396 468 8 la_oenb[88]
+flabel metal2 s 422694 5366 422806 6646 0 FreeSans 1120 90 0 0 la_oenb[85]
 port 531 nsew signal input
-rlabel metal2 s 443780 -972 443892 468 8 la_oenb[89]
+flabel metal2 s 426240 5366 426352 6646 0 FreeSans 1120 90 0 0 la_oenb[86]
 port 532 nsew signal input
-rlabel metal2 s 156556 -972 156668 468 8 la_oenb[8]
+flabel metal2 s 429786 5366 429898 6646 0 FreeSans 1120 90 0 0 la_oenb[87]
 port 533 nsew signal input
-rlabel metal2 s 447368 -972 447480 468 8 la_oenb[90]
+flabel metal2 s 433332 5366 433444 6646 0 FreeSans 1120 90 0 0 la_oenb[88]
 port 534 nsew signal input
-rlabel metal2 s 450864 -972 450976 468 8 la_oenb[91]
+flabel metal2 s 436878 5366 436990 6646 0 FreeSans 1120 90 0 0 la_oenb[89]
 port 535 nsew signal input
-rlabel metal2 s 454452 -972 454564 468 8 la_oenb[92]
+flabel metal2 s 149652 5366 149764 6646 0 FreeSans 1120 90 0 0 la_oenb[8]
 port 536 nsew signal input
-rlabel metal2 s 458040 -972 458152 468 8 la_oenb[93]
+flabel metal2 s 440424 5366 440536 6646 0 FreeSans 1120 90 0 0 la_oenb[90]
 port 537 nsew signal input
-rlabel metal2 s 461536 -972 461648 468 8 la_oenb[94]
+flabel metal2 s 443970 5366 444082 6646 0 FreeSans 1120 90 0 0 la_oenb[91]
 port 538 nsew signal input
-rlabel metal2 s 465124 -972 465236 468 8 la_oenb[95]
+flabel metal2 s 447516 5366 447628 6646 0 FreeSans 1120 90 0 0 la_oenb[92]
 port 539 nsew signal input
-rlabel metal2 s 468620 -972 468732 468 8 la_oenb[96]
+flabel metal2 s 451062 5366 451174 6646 0 FreeSans 1120 90 0 0 la_oenb[93]
 port 540 nsew signal input
-rlabel metal2 s 472208 -972 472320 468 8 la_oenb[97]
+flabel metal2 s 454608 5366 454720 6646 0 FreeSans 1120 90 0 0 la_oenb[94]
 port 541 nsew signal input
-rlabel metal2 s 475704 -972 475816 468 8 la_oenb[98]
+flabel metal2 s 458154 5366 458266 6646 0 FreeSans 1120 90 0 0 la_oenb[95]
 port 542 nsew signal input
-rlabel metal2 s 479292 -972 479404 468 8 la_oenb[99]
+flabel metal2 s 461700 5366 461812 6646 0 FreeSans 1120 90 0 0 la_oenb[96]
 port 543 nsew signal input
-rlabel metal2 s 160052 -972 160164 468 8 la_oenb[9]
+flabel metal2 s 465246 5366 465358 6646 0 FreeSans 1120 90 0 0 la_oenb[97]
 port 544 nsew signal input
-rlabel metal2 s 579756 -972 579868 468 8 user_clock2
+flabel metal2 s 468792 5366 468904 6646 0 FreeSans 1120 90 0 0 la_oenb[98]
 port 545 nsew signal input
-rlabel metal2 s 580952 -972 581064 468 8 user_irq[0]
-port 546 nsew signal tristate
-rlabel metal2 s 582148 -972 582260 468 8 user_irq[1]
-port 547 nsew signal tristate
-rlabel metal2 s 583344 -972 583456 468 8 user_irq[2]
-port 548 nsew signal tristate
-rlabel metal2 s 524 -972 636 468 8 wb_clk_i
-port 549 nsew signal input
-rlabel metal2 s 1628 -972 1740 468 8 wb_rst_i
-port 550 nsew signal input
-rlabel metal2 s 2824 -972 2936 468 8 wbs_ack_o
+flabel metal2 s 472338 5366 472450 6646 0 FreeSans 1120 90 0 0 la_oenb[99]
+port 546 nsew signal input
+flabel metal2 s 153198 5366 153310 6646 0 FreeSans 1120 90 0 0 la_oenb[9]
+port 547 nsew signal input
+flabel metal2 s 572808 5366 572920 6646 0 FreeSans 1120 90 0 0 user_clock2
+port 548 nsew signal input
+flabel metal2 s 573990 5366 574102 6646 0 FreeSans 1120 90 0 0 user_irq[0]
+port 549 nsew signal tristate
+flabel metal2 s 575172 5366 575284 6646 0 FreeSans 1120 90 0 0 user_irq[1]
+port 550 nsew signal tristate
+flabel metal2 s 576354 5366 576466 6646 0 FreeSans 1120 90 0 0 user_irq[2]
 port 551 nsew signal tristate
-rlabel metal2 s 7608 -972 7720 468 8 wbs_adr_i[0]
-port 552 nsew signal input
-rlabel metal2 s 47812 -972 47924 468 8 wbs_adr_i[10]
-port 553 nsew signal input
-rlabel metal2 s 51308 -972 51420 468 8 wbs_adr_i[11]
-port 554 nsew signal input
-rlabel metal2 s 54896 -972 55008 468 8 wbs_adr_i[12]
-port 555 nsew signal input
-rlabel metal2 s 58392 -972 58504 468 8 wbs_adr_i[13]
-port 556 nsew signal input
-rlabel metal2 s 61980 -972 62092 468 8 wbs_adr_i[14]
-port 557 nsew signal input
-rlabel metal2 s 65476 -972 65588 468 8 wbs_adr_i[15]
-port 558 nsew signal input
-rlabel metal2 s 69064 -972 69176 468 8 wbs_adr_i[16]
-port 559 nsew signal input
-rlabel metal2 s 72560 -972 72672 468 8 wbs_adr_i[17]
-port 560 nsew signal input
-rlabel metal2 s 76148 -972 76260 468 8 wbs_adr_i[18]
-port 561 nsew signal input
-rlabel metal2 s 79644 -972 79756 468 8 wbs_adr_i[19]
-port 562 nsew signal input
-rlabel metal2 s 12300 -972 12412 468 8 wbs_adr_i[1]
-port 563 nsew signal input
-rlabel metal2 s 83232 -972 83344 468 8 wbs_adr_i[20]
-port 564 nsew signal input
-rlabel metal2 s 86820 -972 86932 468 8 wbs_adr_i[21]
-port 565 nsew signal input
-rlabel metal2 s 90316 -972 90428 468 8 wbs_adr_i[22]
-port 566 nsew signal input
-rlabel metal2 s 93904 -972 94016 468 8 wbs_adr_i[23]
-port 567 nsew signal input
-rlabel metal2 s 97400 -972 97512 468 8 wbs_adr_i[24]
-port 568 nsew signal input
-rlabel metal2 s 100988 -972 101100 468 8 wbs_adr_i[25]
-port 569 nsew signal input
-rlabel metal2 s 104484 -972 104596 468 8 wbs_adr_i[26]
-port 570 nsew signal input
-rlabel metal2 s 108072 -972 108184 468 8 wbs_adr_i[27]
-port 571 nsew signal input
-rlabel metal2 s 111568 -972 111680 468 8 wbs_adr_i[28]
+flabel metal3 s 575444 645950 577104 650750 0 FreeSans 1120 0 0 0 vccd1
+port 552 nsew signal bidirectional
+flabel metal3 s 575444 635950 577104 640750 0 FreeSans 1120 0 0 0 vccd1
+port 553 nsew signal bidirectional
+flabel metal3 s -6896 650008 -5236 654808 0 FreeSans 1120 0 0 0 vccd2
+port 554 nsew signal bidirectional
+flabel metal3 s -6896 640008 -5236 644808 0 FreeSans 1120 0 0 0 vccd2
+port 555 nsew signal bidirectional
+flabel metal3 s 575444 546728 577104 551528 0 FreeSans 1120 0 0 0 vdda1
+port 556 nsew signal bidirectional
+flabel metal3 s 575444 556728 577104 561528 0 FreeSans 1120 0 0 0 vdda1
+port 557 nsew signal bidirectional
+flabel metal3 s 575444 241396 577104 246196 0 FreeSans 1120 0 0 0 vdda1
+port 558 nsew signal bidirectional
+flabel metal3 s 575444 231396 577104 236196 0 FreeSans 1120 0 0 0 vdda1
+port 559 nsew signal bidirectional
+flabel metal3 s -6896 211054 -5236 215854 0 FreeSans 1120 0 0 0 vdda2
+port 560 nsew signal bidirectional
+flabel metal3 s -6896 221054 -5236 225854 0 FreeSans 1120 0 0 0 vdda2
+port 561 nsew signal bidirectional
+flabel metal3 s 513698 708506 518498 710166 0 FreeSans 1920 180 0 0 vssa1
+port 562 nsew signal bidirectional
+flabel metal3 s 503698 708506 508498 710166 0 FreeSans 1920 180 0 0 vssa1
+port 563 nsew signal bidirectional
+flabel metal3 s 575444 152996 577104 157796 0 FreeSans 1120 0 0 0 vssa1
+port 564 nsew signal bidirectional
+flabel metal3 s 575444 142996 577104 147796 0 FreeSans 1120 0 0 0 vssa1
+port 565 nsew signal bidirectional
+flabel metal3 s -6896 565608 -5236 570408 0 FreeSans 1120 0 0 0 vssa2
+port 566 nsew signal bidirectional
+flabel metal3 s -6896 555608 -5236 560408 0 FreeSans 1120 0 0 0 vssa2
+port 567 nsew signal bidirectional
+flabel metal3 s 575444 197596 577104 202396 0 FreeSans 1120 0 0 0 vssd1
+port 568 nsew signal bidirectional
+flabel metal3 s 575444 187596 577104 192396 0 FreeSans 1120 0 0 0 vssd1
+port 569 nsew signal bidirectional
+flabel metal3 s -6896 179054 -5236 183854 0 FreeSans 1120 0 0 0 vssd2
+port 570 nsew signal bidirectional
+flabel metal3 s -6896 169054 -5236 173854 0 FreeSans 1120 0 0 0 vssd2
+port 571 nsew signal bidirectional
+flabel metal2 s -6372 5366 -6260 6646 0 FreeSans 1120 90 0 0 wb_clk_i
 port 572 nsew signal input
-rlabel metal2 s 115156 -972 115268 468 8 wbs_adr_i[29]
+flabel metal2 s -5190 5366 -5078 6646 0 FreeSans 1120 90 0 0 wb_rst_i
 port 573 nsew signal input
-rlabel metal2 s 16992 -972 17104 468 8 wbs_adr_i[2]
-port 574 nsew signal input
-rlabel metal2 s 118744 -972 118856 468 8 wbs_adr_i[30]
+flabel metal2 s -4008 5366 -3896 6646 0 FreeSans 1120 90 0 0 wbs_ack_o
+port 574 nsew signal tristate
+flabel metal2 s 720 5366 832 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
 port 575 nsew signal input
-rlabel metal2 s 122240 -972 122352 468 8 wbs_adr_i[31]
+flabel metal2 s 40908 5366 41020 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
 port 576 nsew signal input
-rlabel metal2 s 21776 -972 21888 468 8 wbs_adr_i[3]
+flabel metal2 s 44454 5366 44566 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
 port 577 nsew signal input
-rlabel metal2 s 26468 -972 26580 468 8 wbs_adr_i[4]
+flabel metal2 s 48000 5366 48112 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
 port 578 nsew signal input
-rlabel metal2 s 30056 -972 30168 468 8 wbs_adr_i[5]
+flabel metal2 s 51546 5366 51658 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
 port 579 nsew signal input
-rlabel metal2 s 33552 -972 33664 468 8 wbs_adr_i[6]
+flabel metal2 s 55092 5366 55204 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
 port 580 nsew signal input
-rlabel metal2 s 37140 -972 37252 468 8 wbs_adr_i[7]
+flabel metal2 s 58638 5366 58750 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
 port 581 nsew signal input
-rlabel metal2 s 40636 -972 40748 468 8 wbs_adr_i[8]
+flabel metal2 s 62184 5366 62296 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
 port 582 nsew signal input
-rlabel metal2 s 44224 -972 44336 468 8 wbs_adr_i[9]
+flabel metal2 s 65730 5366 65842 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
 port 583 nsew signal input
-rlabel metal2 s 4020 -972 4132 468 8 wbs_cyc_i
+flabel metal2 s 69276 5366 69388 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
 port 584 nsew signal input
-rlabel metal2 s 8712 -972 8824 468 8 wbs_dat_i[0]
+flabel metal2 s 72822 5366 72934 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
 port 585 nsew signal input
-rlabel metal2 s 48916 -972 49028 468 8 wbs_dat_i[10]
+flabel metal2 s 5448 5366 5560 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
 port 586 nsew signal input
-rlabel metal2 s 52504 -972 52616 468 8 wbs_dat_i[11]
+flabel metal2 s 76368 5366 76480 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
 port 587 nsew signal input
-rlabel metal2 s 56000 -972 56112 468 8 wbs_dat_i[12]
+flabel metal2 s 79914 5366 80026 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
 port 588 nsew signal input
-rlabel metal2 s 59588 -972 59700 468 8 wbs_dat_i[13]
+flabel metal2 s 83460 5366 83572 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
 port 589 nsew signal input
-rlabel metal2 s 63176 -972 63288 468 8 wbs_dat_i[14]
+flabel metal2 s 87006 5366 87118 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
 port 590 nsew signal input
-rlabel metal2 s 66672 -972 66784 468 8 wbs_dat_i[15]
+flabel metal2 s 90552 5366 90664 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
 port 591 nsew signal input
-rlabel metal2 s 70260 -972 70372 468 8 wbs_dat_i[16]
+flabel metal2 s 94098 5366 94210 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
 port 592 nsew signal input
-rlabel metal2 s 73756 -972 73868 468 8 wbs_dat_i[17]
+flabel metal2 s 97644 5366 97756 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
 port 593 nsew signal input
-rlabel metal2 s 77344 -972 77456 468 8 wbs_dat_i[18]
+flabel metal2 s 101190 5366 101302 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
 port 594 nsew signal input
-rlabel metal2 s 80840 -972 80952 468 8 wbs_dat_i[19]
+flabel metal2 s 104736 5366 104848 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
 port 595 nsew signal input
-rlabel metal2 s 13496 -972 13608 468 8 wbs_dat_i[1]
+flabel metal2 s 108282 5366 108394 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
 port 596 nsew signal input
-rlabel metal2 s 84428 -972 84540 468 8 wbs_dat_i[20]
+flabel metal2 s 10176 5366 10288 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
 port 597 nsew signal input
-rlabel metal2 s 87924 -972 88036 468 8 wbs_dat_i[21]
+flabel metal2 s 111828 5366 111940 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
 port 598 nsew signal input
-rlabel metal2 s 91512 -972 91624 468 8 wbs_dat_i[22]
+flabel metal2 s 115374 5366 115486 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
 port 599 nsew signal input
-rlabel metal2 s 95100 -972 95212 468 8 wbs_dat_i[23]
+flabel metal2 s 14904 5366 15016 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
 port 600 nsew signal input
-rlabel metal2 s 98596 -972 98708 468 8 wbs_dat_i[24]
+flabel metal2 s 19632 5366 19744 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
 port 601 nsew signal input
-rlabel metal2 s 102184 -972 102296 468 8 wbs_dat_i[25]
+flabel metal2 s 23178 5366 23290 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
 port 602 nsew signal input
-rlabel metal2 s 105680 -972 105792 468 8 wbs_dat_i[26]
+flabel metal2 s 26724 5366 26836 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
 port 603 nsew signal input
-rlabel metal2 s 109268 -972 109380 468 8 wbs_dat_i[27]
+flabel metal2 s 30270 5366 30382 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
 port 604 nsew signal input
-rlabel metal2 s 112764 -972 112876 468 8 wbs_dat_i[28]
+flabel metal2 s 33816 5366 33928 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
 port 605 nsew signal input
-rlabel metal2 s 116352 -972 116464 468 8 wbs_dat_i[29]
+flabel metal2 s 37362 5366 37474 6646 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
 port 606 nsew signal input
-rlabel metal2 s 18188 -972 18300 468 8 wbs_dat_i[2]
+flabel metal2 s -2826 5366 -2714 6646 0 FreeSans 1120 90 0 0 wbs_cyc_i
 port 607 nsew signal input
-rlabel metal2 s 119848 -972 119960 468 8 wbs_dat_i[30]
+flabel metal2 s 1902 5366 2014 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
 port 608 nsew signal input
-rlabel metal2 s 123436 -972 123548 468 8 wbs_dat_i[31]
+flabel metal2 s 42090 5366 42202 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
 port 609 nsew signal input
-rlabel metal2 s 22972 -972 23084 468 8 wbs_dat_i[3]
+flabel metal2 s 45636 5366 45748 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
 port 610 nsew signal input
-rlabel metal2 s 27664 -972 27776 468 8 wbs_dat_i[4]
+flabel metal2 s 49182 5366 49294 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
 port 611 nsew signal input
-rlabel metal2 s 31252 -972 31364 468 8 wbs_dat_i[5]
+flabel metal2 s 52728 5366 52840 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
 port 612 nsew signal input
-rlabel metal2 s 34748 -972 34860 468 8 wbs_dat_i[6]
+flabel metal2 s 56274 5366 56386 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
 port 613 nsew signal input
-rlabel metal2 s 38336 -972 38448 468 8 wbs_dat_i[7]
+flabel metal2 s 59820 5366 59932 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
 port 614 nsew signal input
-rlabel metal2 s 41832 -972 41944 468 8 wbs_dat_i[8]
+flabel metal2 s 63366 5366 63478 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
 port 615 nsew signal input
-rlabel metal2 s 45420 -972 45532 468 8 wbs_dat_i[9]
+flabel metal2 s 66912 5366 67024 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
 port 616 nsew signal input
-rlabel metal2 s 9908 -972 10020 468 8 wbs_dat_o[0]
-port 617 nsew signal tristate
-rlabel metal2 s 50112 -972 50224 468 8 wbs_dat_o[10]
-port 618 nsew signal tristate
-rlabel metal2 s 53700 -972 53812 468 8 wbs_dat_o[11]
-port 619 nsew signal tristate
-rlabel metal2 s 57196 -972 57308 468 8 wbs_dat_o[12]
-port 620 nsew signal tristate
-rlabel metal2 s 60784 -972 60896 468 8 wbs_dat_o[13]
-port 621 nsew signal tristate
-rlabel metal2 s 64280 -972 64392 468 8 wbs_dat_o[14]
-port 622 nsew signal tristate
-rlabel metal2 s 67868 -972 67980 468 8 wbs_dat_o[15]
-port 623 nsew signal tristate
-rlabel metal2 s 71456 -972 71568 468 8 wbs_dat_o[16]
-port 624 nsew signal tristate
-rlabel metal2 s 74952 -972 75064 468 8 wbs_dat_o[17]
-port 625 nsew signal tristate
-rlabel metal2 s 78540 -972 78652 468 8 wbs_dat_o[18]
-port 626 nsew signal tristate
-rlabel metal2 s 82036 -972 82148 468 8 wbs_dat_o[19]
-port 627 nsew signal tristate
-rlabel metal2 s 14692 -972 14804 468 8 wbs_dat_o[1]
-port 628 nsew signal tristate
-rlabel metal2 s 85624 -972 85736 468 8 wbs_dat_o[20]
-port 629 nsew signal tristate
-rlabel metal2 s 89120 -972 89232 468 8 wbs_dat_o[21]
-port 630 nsew signal tristate
-rlabel metal2 s 92708 -972 92820 468 8 wbs_dat_o[22]
-port 631 nsew signal tristate
-rlabel metal2 s 96204 -972 96316 468 8 wbs_dat_o[23]
-port 632 nsew signal tristate
-rlabel metal2 s 99792 -972 99904 468 8 wbs_dat_o[24]
-port 633 nsew signal tristate
-rlabel metal2 s 103288 -972 103400 468 8 wbs_dat_o[25]
-port 634 nsew signal tristate
-rlabel metal2 s 106876 -972 106988 468 8 wbs_dat_o[26]
-port 635 nsew signal tristate
-rlabel metal2 s 110464 -972 110576 468 8 wbs_dat_o[27]
-port 636 nsew signal tristate
-rlabel metal2 s 113960 -972 114072 468 8 wbs_dat_o[28]
-port 637 nsew signal tristate
-rlabel metal2 s 117548 -972 117660 468 8 wbs_dat_o[29]
-port 638 nsew signal tristate
-rlabel metal2 s 19384 -972 19496 468 8 wbs_dat_o[2]
-port 639 nsew signal tristate
-rlabel metal2 s 121044 -972 121156 468 8 wbs_dat_o[30]
+flabel metal2 s 70458 5366 70570 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
+port 617 nsew signal input
+flabel metal2 s 74004 5366 74116 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
+port 618 nsew signal input
+flabel metal2 s 6630 5366 6742 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
+port 619 nsew signal input
+flabel metal2 s 77550 5366 77662 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
+port 620 nsew signal input
+flabel metal2 s 81096 5366 81208 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
+port 621 nsew signal input
+flabel metal2 s 84642 5366 84754 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
+port 622 nsew signal input
+flabel metal2 s 88188 5366 88300 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
+port 623 nsew signal input
+flabel metal2 s 91734 5366 91846 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
+port 624 nsew signal input
+flabel metal2 s 95280 5366 95392 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
+port 625 nsew signal input
+flabel metal2 s 98826 5366 98938 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
+port 626 nsew signal input
+flabel metal2 s 102372 5366 102484 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
+port 627 nsew signal input
+flabel metal2 s 105918 5366 106030 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
+port 628 nsew signal input
+flabel metal2 s 109464 5366 109576 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
+port 629 nsew signal input
+flabel metal2 s 11358 5366 11470 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
+port 630 nsew signal input
+flabel metal2 s 113010 5366 113122 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
+port 631 nsew signal input
+flabel metal2 s 116556 5366 116668 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
+port 632 nsew signal input
+flabel metal2 s 16086 5366 16198 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
+port 633 nsew signal input
+flabel metal2 s 20814 5366 20926 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
+port 634 nsew signal input
+flabel metal2 s 24360 5366 24472 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
+port 635 nsew signal input
+flabel metal2 s 27906 5366 28018 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
+port 636 nsew signal input
+flabel metal2 s 31452 5366 31564 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
+port 637 nsew signal input
+flabel metal2 s 34998 5366 35110 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
+port 638 nsew signal input
+flabel metal2 s 38544 5366 38656 6646 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
+port 639 nsew signal input
+flabel metal2 s 3084 5366 3196 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
 port 640 nsew signal tristate
-rlabel metal2 s 124632 -972 124744 468 8 wbs_dat_o[31]
+flabel metal2 s 43272 5366 43384 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
 port 641 nsew signal tristate
-rlabel metal2 s 24168 -972 24280 468 8 wbs_dat_o[3]
+flabel metal2 s 46818 5366 46930 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
 port 642 nsew signal tristate
-rlabel metal2 s 28860 -972 28972 468 8 wbs_dat_o[4]
+flabel metal2 s 50364 5366 50476 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
 port 643 nsew signal tristate
-rlabel metal2 s 32356 -972 32468 468 8 wbs_dat_o[5]
+flabel metal2 s 53910 5366 54022 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
 port 644 nsew signal tristate
-rlabel metal2 s 35944 -972 36056 468 8 wbs_dat_o[6]
+flabel metal2 s 57456 5366 57568 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
 port 645 nsew signal tristate
-rlabel metal2 s 39532 -972 39644 468 8 wbs_dat_o[7]
+flabel metal2 s 61002 5366 61114 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
 port 646 nsew signal tristate
-rlabel metal2 s 43028 -972 43140 468 8 wbs_dat_o[8]
+flabel metal2 s 64548 5366 64660 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
 port 647 nsew signal tristate
-rlabel metal2 s 46616 -972 46728 468 8 wbs_dat_o[9]
+flabel metal2 s 68094 5366 68206 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
 port 648 nsew signal tristate
-rlabel metal2 s 11104 -972 11216 468 8 wbs_sel_i[0]
-port 649 nsew signal input
-rlabel metal2 s 15888 -972 16000 468 8 wbs_sel_i[1]
-port 650 nsew signal input
-rlabel metal2 s 20580 -972 20692 468 8 wbs_sel_i[2]
-port 651 nsew signal input
-rlabel metal2 s 25272 -972 25384 468 8 wbs_sel_i[3]
-port 652 nsew signal input
-rlabel metal2 s 5216 -972 5328 468 8 wbs_stb_i
-port 653 nsew signal input
-rlabel metal2 s 6412 -972 6524 468 8 wbs_we_i
-port 654 nsew signal input
-rlabel metal4 s 585302 -936 585902 704848 6 vccd1
-port 655 nsew power bidirectional
-rlabel metal4 s -2014 -936 -1414 704848 4 vccd1.extra1
-port 656 nsew power bidirectional
-rlabel metal5 s -2014 704248 585902 704848 6 vccd1.extra2
-port 657 nsew power bidirectional
-rlabel metal5 s -2014 -936 585902 -336 8 vccd1.extra3
-port 658 nsew power bidirectional
-rlabel metal4 s 586242 -1876 586842 705788 6 vssd1
-port 659 nsew ground bidirectional
-rlabel metal4 s -2954 -1876 -2354 705788 4 vssd1.extra1
-port 660 nsew ground bidirectional
-rlabel metal5 s -2954 705188 586842 705788 6 vssd1.extra2
-port 661 nsew ground bidirectional
-rlabel metal5 s -2954 -1876 586842 -1276 8 vssd1.extra3
-port 662 nsew ground bidirectional
-rlabel metal4 s 587182 -2816 587782 706728 6 vccd2
-port 663 nsew power bidirectional
-rlabel metal4 s -3894 -2816 -3294 706728 4 vccd2.extra1
-port 664 nsew power bidirectional
-rlabel metal5 s -3894 706128 587782 706728 6 vccd2.extra2
-port 665 nsew power bidirectional
-rlabel metal5 s -3894 -2816 587782 -2216 8 vccd2.extra3
-port 666 nsew power bidirectional
-rlabel metal4 s 588122 -3756 588722 707668 6 vssd2
-port 667 nsew ground bidirectional
-rlabel metal4 s -4834 -3756 -4234 707668 4 vssd2.extra1
-port 668 nsew ground bidirectional
-rlabel metal5 s -4834 707068 588722 707668 6 vssd2.extra2
-port 669 nsew ground bidirectional
-rlabel metal5 s -4834 -3756 588722 -3156 8 vssd2.extra3
-port 670 nsew ground bidirectional
-rlabel metal4 s 589062 -4696 589662 708608 6 vdda1
-port 671 nsew power bidirectional
-rlabel metal4 s -5774 -4696 -5174 708608 4 vdda1.extra1
-port 672 nsew power bidirectional
-rlabel metal5 s -5774 708008 589662 708608 6 vdda1.extra2
-port 673 nsew power bidirectional
-rlabel metal5 s -5774 -4696 589662 -4096 8 vdda1.extra3
-port 674 nsew power bidirectional
-rlabel metal4 s 590002 -5636 590602 709548 6 vssa1
-port 675 nsew ground bidirectional
-rlabel metal4 s -6714 -5636 -6114 709548 4 vssa1.extra1
-port 676 nsew ground bidirectional
-rlabel metal5 s -6714 708948 590602 709548 6 vssa1.extra2
-port 677 nsew ground bidirectional
-rlabel metal5 s -6714 -5636 590602 -5036 8 vssa1.extra3
-port 678 nsew ground bidirectional
-rlabel metal4 s 590942 -6576 591542 710488 6 vdda2
-port 679 nsew power bidirectional
-rlabel metal4 s -7654 -6576 -7054 710488 4 vdda2.extra1
-port 680 nsew power bidirectional
-rlabel metal5 s -7654 709888 591542 710488 6 vdda2.extra2
-port 681 nsew power bidirectional
-rlabel metal5 s -7654 -6576 591542 -5976 8 vdda2.extra3
-port 682 nsew power bidirectional
-rlabel metal4 s 591882 -7516 592482 711428 6 vssa2
-port 683 nsew ground bidirectional
-rlabel metal4 s -8594 -7516 -7994 711428 4 vssa2.extra1
-port 684 nsew ground bidirectional
-rlabel metal5 s -8594 710828 592482 711428 6 vssa2.extra2
-port 685 nsew ground bidirectional
-rlabel metal5 s -8594 -7516 592482 -6916 8 vssa2.extra3
-port 686 nsew ground bidirectional
+flabel metal2 s 71640 5366 71752 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
+port 649 nsew signal tristate
+flabel metal2 s 75186 5366 75298 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
+port 650 nsew signal tristate
+flabel metal2 s 7812 5366 7924 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
+port 651 nsew signal tristate
+flabel metal2 s 78732 5366 78844 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
+port 652 nsew signal tristate
+flabel metal2 s 82278 5366 82390 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
+port 653 nsew signal tristate
+flabel metal2 s 85824 5366 85936 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
+port 654 nsew signal tristate
+flabel metal2 s 89370 5366 89482 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
+port 655 nsew signal tristate
+flabel metal2 s 92916 5366 93028 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
+port 656 nsew signal tristate
+flabel metal2 s 96462 5366 96574 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
+port 657 nsew signal tristate
+flabel metal2 s 100008 5366 100120 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
+port 658 nsew signal tristate
+flabel metal2 s 103554 5366 103666 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
+port 659 nsew signal tristate
+flabel metal2 s 107100 5366 107212 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
+port 660 nsew signal tristate
+flabel metal2 s 110646 5366 110758 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
+port 661 nsew signal tristate
+flabel metal2 s 12540 5366 12652 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
+port 662 nsew signal tristate
+flabel metal2 s 114192 5366 114304 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
+port 663 nsew signal tristate
+flabel metal2 s 117738 5366 117850 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
+port 664 nsew signal tristate
+flabel metal2 s 17268 5366 17380 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
+port 665 nsew signal tristate
+flabel metal2 s 21996 5366 22108 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
+port 666 nsew signal tristate
+flabel metal2 s 25542 5366 25654 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
+port 667 nsew signal tristate
+flabel metal2 s 29088 5366 29200 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
+port 668 nsew signal tristate
+flabel metal2 s 32634 5366 32746 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
+port 669 nsew signal tristate
+flabel metal2 s 36180 5366 36292 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
+port 670 nsew signal tristate
+flabel metal2 s 39726 5366 39838 6646 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
+port 671 nsew signal tristate
+flabel metal2 s 4266 5366 4378 6646 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
+port 672 nsew signal input
+flabel metal2 s 8994 5366 9106 6646 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
+port 673 nsew signal input
+flabel metal2 s 13722 5366 13834 6646 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
+port 674 nsew signal input
+flabel metal2 s 18450 5366 18562 6646 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
+port 675 nsew signal input
+flabel metal2 s -1644 5366 -1532 6646 0 FreeSans 1120 90 0 0 wbs_stb_i
+port 676 nsew signal input
+flabel metal2 s -462 5366 -350 6646 0 FreeSans 1120 90 0 0 wbs_we_i
+port 677 nsew signal input
+flabel metal3 565256 646308 573324 650316 0 FreeSans 16000 0 0 0 VCCD1
+flabel metal3 560142 557126 570406 560712 0 FreeSans 16000 0 0 0 VDDA1
+flabel metal3 504294 671062 508066 682438 0 FreeSans 16000 90 0 0 VSSA1
+flabel metal3 554807 198095 564825 202025 0 FreeSans 16000 0 0 0 VSSD1
 << end >>
diff --git a/mag/user_analog_project_wrapper_empty.mag b/mag/user_analog_project_wrapper_empty.mag
index e10e4e7..ef9c70e 100644
--- a/mag/user_analog_project_wrapper_empty.mag
+++ b/mag/user_analog_project_wrapper_empty.mag
@@ -1,2521 +1,2053 @@
 magic
 tech sky130A
-timestamp 1619448499
+timestamp 1620244087
+<< checkpaint >>
+rect -680 351370 292680 352680
+rect -680 630 630 351370
+rect 291370 630 292680 351370
+rect -680 -680 292680 630
 << metal2 >>
-rect 9701 351760 9757 352480
-rect 29159 351760 29215 352480
-rect 48617 351760 48673 352480
-rect 68075 351760 68131 352480
-rect 87533 351760 87589 352480
-rect 107037 351760 107093 352480
-rect 126495 351760 126551 352480
-rect 145953 351760 146009 352480
-rect 165411 351760 165467 352480
-rect 184869 351760 184925 352480
-rect 204373 351760 204429 352480
-rect 223831 351760 223887 352480
-rect 243289 351760 243345 352480
-rect 262747 351760 262803 352480
-rect 282205 351760 282261 352480
-rect 271 -480 327 240
-rect 823 -480 879 240
-rect 1421 -480 1477 240
-rect 2019 -480 2075 240
-rect 2617 -480 2673 240
-rect 3215 -480 3271 240
-rect 3813 -480 3869 240
-rect 4365 -480 4421 240
-rect 4963 -480 5019 240
-rect 5561 -480 5617 240
-rect 6159 -480 6215 240
-rect 6757 -480 6813 240
-rect 7355 -480 7411 240
-rect 7953 -480 8009 240
-rect 8505 -480 8561 240
-rect 9103 -480 9159 240
-rect 9701 -480 9757 240
-rect 10299 -480 10355 240
-rect 10897 -480 10953 240
-rect 11495 -480 11551 240
-rect 12093 -480 12149 240
-rect 12645 -480 12701 240
-rect 13243 -480 13299 240
-rect 13841 -480 13897 240
-rect 14439 -480 14495 240
-rect 15037 -480 15093 240
-rect 15635 -480 15691 240
-rect 16187 -480 16243 240
-rect 16785 -480 16841 240
-rect 17383 -480 17439 240
-rect 17981 -480 18037 240
-rect 18579 -480 18635 240
-rect 19177 -480 19233 240
-rect 19775 -480 19831 240
-rect 20327 -480 20383 240
-rect 20925 -480 20981 240
-rect 21523 -480 21579 240
-rect 22121 -480 22177 240
-rect 22719 -480 22775 240
-rect 23317 -480 23373 240
-rect 23915 -480 23971 240
-rect 24467 -480 24523 240
-rect 25065 -480 25121 240
-rect 25663 -480 25719 240
-rect 26261 -480 26317 240
-rect 26859 -480 26915 240
-rect 27457 -480 27513 240
-rect 28009 -480 28065 240
-rect 28607 -480 28663 240
-rect 29205 -480 29261 240
-rect 29803 -480 29859 240
-rect 30401 -480 30457 240
-rect 30999 -480 31055 240
-rect 31597 -480 31653 240
-rect 32149 -480 32205 240
-rect 32747 -480 32803 240
-rect 33345 -480 33401 240
-rect 33943 -480 33999 240
-rect 34541 -480 34597 240
-rect 35139 -480 35195 240
-rect 35737 -480 35793 240
-rect 36289 -480 36345 240
-rect 36887 -480 36943 240
-rect 37485 -480 37541 240
-rect 38083 -480 38139 240
-rect 38681 -480 38737 240
-rect 39279 -480 39335 240
-rect 39831 -480 39887 240
-rect 40429 -480 40485 240
-rect 41027 -480 41083 240
-rect 41625 -480 41681 240
-rect 42223 -480 42279 240
-rect 42821 -480 42877 240
-rect 43419 -480 43475 240
-rect 43971 -480 44027 240
-rect 44569 -480 44625 240
-rect 45167 -480 45223 240
-rect 45765 -480 45821 240
-rect 46363 -480 46419 240
-rect 46961 -480 47017 240
-rect 47559 -480 47615 240
-rect 48111 -480 48167 240
-rect 48709 -480 48765 240
-rect 49307 -480 49363 240
-rect 49905 -480 49961 240
-rect 50503 -480 50559 240
-rect 51101 -480 51157 240
-rect 51653 -480 51709 240
-rect 52251 -480 52307 240
-rect 52849 -480 52905 240
-rect 53447 -480 53503 240
-rect 54045 -480 54101 240
-rect 54643 -480 54699 240
-rect 55241 -480 55297 240
-rect 55793 -480 55849 240
-rect 56391 -480 56447 240
-rect 56989 -480 57045 240
-rect 57587 -480 57643 240
-rect 58185 -480 58241 240
-rect 58783 -480 58839 240
-rect 59381 -480 59437 240
-rect 59933 -480 59989 240
-rect 60531 -480 60587 240
-rect 61129 -480 61185 240
-rect 61727 -480 61783 240
-rect 62325 -480 62381 240
-rect 62923 -480 62979 240
-rect 63475 -480 63531 240
-rect 64073 -480 64129 240
-rect 64671 -480 64727 240
-rect 65269 -480 65325 240
-rect 65867 -480 65923 240
-rect 66465 -480 66521 240
-rect 67063 -480 67119 240
-rect 67615 -480 67671 240
-rect 68213 -480 68269 240
-rect 68811 -480 68867 240
-rect 69409 -480 69465 240
-rect 70007 -480 70063 240
-rect 70605 -480 70661 240
-rect 71203 -480 71259 240
-rect 71755 -480 71811 240
-rect 72353 -480 72409 240
-rect 72951 -480 73007 240
-rect 73549 -480 73605 240
-rect 74147 -480 74203 240
-rect 74745 -480 74801 240
-rect 75297 -480 75353 240
-rect 75895 -480 75951 240
-rect 76493 -480 76549 240
-rect 77091 -480 77147 240
-rect 77689 -480 77745 240
-rect 78287 -480 78343 240
-rect 78885 -480 78941 240
-rect 79437 -480 79493 240
-rect 80035 -480 80091 240
-rect 80633 -480 80689 240
-rect 81231 -480 81287 240
-rect 81829 -480 81885 240
-rect 82427 -480 82483 240
-rect 83025 -480 83081 240
-rect 83577 -480 83633 240
-rect 84175 -480 84231 240
-rect 84773 -480 84829 240
-rect 85371 -480 85427 240
-rect 85969 -480 86025 240
-rect 86567 -480 86623 240
-rect 87119 -480 87175 240
-rect 87717 -480 87773 240
-rect 88315 -480 88371 240
-rect 88913 -480 88969 240
-rect 89511 -480 89567 240
-rect 90109 -480 90165 240
-rect 90707 -480 90763 240
-rect 91259 -480 91315 240
-rect 91857 -480 91913 240
-rect 92455 -480 92511 240
-rect 93053 -480 93109 240
-rect 93651 -480 93707 240
-rect 94249 -480 94305 240
-rect 94847 -480 94903 240
-rect 95399 -480 95455 240
-rect 95997 -480 96053 240
-rect 96595 -480 96651 240
-rect 97193 -480 97249 240
-rect 97791 -480 97847 240
-rect 98389 -480 98445 240
-rect 98941 -480 98997 240
-rect 99539 -480 99595 240
-rect 100137 -480 100193 240
-rect 100735 -480 100791 240
-rect 101333 -480 101389 240
-rect 101931 -480 101987 240
-rect 102529 -480 102585 240
-rect 103081 -480 103137 240
-rect 103679 -480 103735 240
-rect 104277 -480 104333 240
-rect 104875 -480 104931 240
-rect 105473 -480 105529 240
-rect 106071 -480 106127 240
-rect 106669 -480 106725 240
-rect 107221 -480 107277 240
-rect 107819 -480 107875 240
-rect 108417 -480 108473 240
-rect 109015 -480 109071 240
-rect 109613 -480 109669 240
-rect 110211 -480 110267 240
-rect 110763 -480 110819 240
-rect 111361 -480 111417 240
-rect 111959 -480 112015 240
-rect 112557 -480 112613 240
-rect 113155 -480 113211 240
-rect 113753 -480 113809 240
-rect 114351 -480 114407 240
-rect 114903 -480 114959 240
-rect 115501 -480 115557 240
-rect 116099 -480 116155 240
-rect 116697 -480 116753 240
-rect 117295 -480 117351 240
-rect 117893 -480 117949 240
-rect 118491 -480 118547 240
-rect 119043 -480 119099 240
-rect 119641 -480 119697 240
-rect 120239 -480 120295 240
-rect 120837 -480 120893 240
-rect 121435 -480 121491 240
-rect 122033 -480 122089 240
-rect 122585 -480 122641 240
-rect 123183 -480 123239 240
-rect 123781 -480 123837 240
-rect 124379 -480 124435 240
-rect 124977 -480 125033 240
-rect 125575 -480 125631 240
-rect 126173 -480 126229 240
-rect 126725 -480 126781 240
-rect 127323 -480 127379 240
-rect 127921 -480 127977 240
-rect 128519 -480 128575 240
-rect 129117 -480 129173 240
-rect 129715 -480 129771 240
-rect 130313 -480 130369 240
-rect 130865 -480 130921 240
-rect 131463 -480 131519 240
-rect 132061 -480 132117 240
-rect 132659 -480 132715 240
-rect 133257 -480 133313 240
-rect 133855 -480 133911 240
-rect 134407 -480 134463 240
-rect 135005 -480 135061 240
-rect 135603 -480 135659 240
-rect 136201 -480 136257 240
-rect 136799 -480 136855 240
-rect 137397 -480 137453 240
-rect 137995 -480 138051 240
-rect 138547 -480 138603 240
-rect 139145 -480 139201 240
-rect 139743 -480 139799 240
-rect 140341 -480 140397 240
-rect 140939 -480 140995 240
-rect 141537 -480 141593 240
-rect 142135 -480 142191 240
-rect 142687 -480 142743 240
-rect 143285 -480 143341 240
-rect 143883 -480 143939 240
-rect 144481 -480 144537 240
-rect 145079 -480 145135 240
-rect 145677 -480 145733 240
-rect 146275 -480 146331 240
-rect 146827 -480 146883 240
-rect 147425 -480 147481 240
-rect 148023 -480 148079 240
-rect 148621 -480 148677 240
-rect 149219 -480 149275 240
-rect 149817 -480 149873 240
-rect 150369 -480 150425 240
-rect 150967 -480 151023 240
-rect 151565 -480 151621 240
-rect 152163 -480 152219 240
-rect 152761 -480 152817 240
-rect 153359 -480 153415 240
-rect 153957 -480 154013 240
-rect 154509 -480 154565 240
-rect 155107 -480 155163 240
-rect 155705 -480 155761 240
-rect 156303 -480 156359 240
-rect 156901 -480 156957 240
-rect 157499 -480 157555 240
-rect 158097 -480 158153 240
-rect 158649 -480 158705 240
-rect 159247 -480 159303 240
-rect 159845 -480 159901 240
-rect 160443 -480 160499 240
-rect 161041 -480 161097 240
-rect 161639 -480 161695 240
-rect 162191 -480 162247 240
-rect 162789 -480 162845 240
-rect 163387 -480 163443 240
-rect 163985 -480 164041 240
-rect 164583 -480 164639 240
-rect 165181 -480 165237 240
-rect 165779 -480 165835 240
-rect 166331 -480 166387 240
-rect 166929 -480 166985 240
-rect 167527 -480 167583 240
-rect 168125 -480 168181 240
-rect 168723 -480 168779 240
-rect 169321 -480 169377 240
-rect 169919 -480 169975 240
-rect 170471 -480 170527 240
-rect 171069 -480 171125 240
-rect 171667 -480 171723 240
-rect 172265 -480 172321 240
-rect 172863 -480 172919 240
-rect 173461 -480 173517 240
-rect 174013 -480 174069 240
-rect 174611 -480 174667 240
-rect 175209 -480 175265 240
-rect 175807 -480 175863 240
-rect 176405 -480 176461 240
-rect 177003 -480 177059 240
-rect 177601 -480 177657 240
-rect 178153 -480 178209 240
-rect 178751 -480 178807 240
-rect 179349 -480 179405 240
-rect 179947 -480 180003 240
-rect 180545 -480 180601 240
-rect 181143 -480 181199 240
-rect 181741 -480 181797 240
-rect 182293 -480 182349 240
-rect 182891 -480 182947 240
-rect 183489 -480 183545 240
-rect 184087 -480 184143 240
-rect 184685 -480 184741 240
-rect 185283 -480 185339 240
-rect 185835 -480 185891 240
-rect 186433 -480 186489 240
-rect 187031 -480 187087 240
-rect 187629 -480 187685 240
-rect 188227 -480 188283 240
-rect 188825 -480 188881 240
-rect 189423 -480 189479 240
-rect 189975 -480 190031 240
-rect 190573 -480 190629 240
-rect 191171 -480 191227 240
-rect 191769 -480 191825 240
-rect 192367 -480 192423 240
-rect 192965 -480 193021 240
-rect 193563 -480 193619 240
-rect 194115 -480 194171 240
-rect 194713 -480 194769 240
-rect 195311 -480 195367 240
-rect 195909 -480 195965 240
-rect 196507 -480 196563 240
-rect 197105 -480 197161 240
-rect 197657 -480 197713 240
-rect 198255 -480 198311 240
-rect 198853 -480 198909 240
-rect 199451 -480 199507 240
-rect 200049 -480 200105 240
-rect 200647 -480 200703 240
-rect 201245 -480 201301 240
-rect 201797 -480 201853 240
-rect 202395 -480 202451 240
-rect 202993 -480 203049 240
-rect 203591 -480 203647 240
-rect 204189 -480 204245 240
-rect 204787 -480 204843 240
-rect 205385 -480 205441 240
-rect 205937 -480 205993 240
-rect 206535 -480 206591 240
-rect 207133 -480 207189 240
-rect 207731 -480 207787 240
-rect 208329 -480 208385 240
-rect 208927 -480 208983 240
-rect 209479 -480 209535 240
-rect 210077 -480 210133 240
-rect 210675 -480 210731 240
-rect 211273 -480 211329 240
-rect 211871 -480 211927 240
-rect 212469 -480 212525 240
-rect 213067 -480 213123 240
-rect 213619 -480 213675 240
-rect 214217 -480 214273 240
-rect 214815 -480 214871 240
-rect 215413 -480 215469 240
-rect 216011 -480 216067 240
-rect 216609 -480 216665 240
-rect 217207 -480 217263 240
-rect 217759 -480 217815 240
-rect 218357 -480 218413 240
-rect 218955 -480 219011 240
-rect 219553 -480 219609 240
-rect 220151 -480 220207 240
-rect 220749 -480 220805 240
-rect 221301 -480 221357 240
-rect 221899 -480 221955 240
-rect 222497 -480 222553 240
-rect 223095 -480 223151 240
-rect 223693 -480 223749 240
-rect 224291 -480 224347 240
-rect 224889 -480 224945 240
-rect 225441 -480 225497 240
-rect 226039 -480 226095 240
-rect 226637 -480 226693 240
-rect 227235 -480 227291 240
-rect 227833 -480 227889 240
-rect 228431 -480 228487 240
-rect 229029 -480 229085 240
-rect 229581 -480 229637 240
-rect 230179 -480 230235 240
-rect 230777 -480 230833 240
-rect 231375 -480 231431 240
-rect 231973 -480 232029 240
-rect 232571 -480 232627 240
-rect 233123 -480 233179 240
-rect 233721 -480 233777 240
-rect 234319 -480 234375 240
-rect 234917 -480 234973 240
-rect 235515 -480 235571 240
-rect 236113 -480 236169 240
-rect 236711 -480 236767 240
-rect 237263 -480 237319 240
-rect 237861 -480 237917 240
-rect 238459 -480 238515 240
-rect 239057 -480 239113 240
-rect 239655 -480 239711 240
-rect 240253 -480 240309 240
-rect 240851 -480 240907 240
-rect 241403 -480 241459 240
-rect 242001 -480 242057 240
-rect 242599 -480 242655 240
-rect 243197 -480 243253 240
-rect 243795 -480 243851 240
-rect 244393 -480 244449 240
-rect 244945 -480 245001 240
-rect 245543 -480 245599 240
-rect 246141 -480 246197 240
-rect 246739 -480 246795 240
-rect 247337 -480 247393 240
-rect 247935 -480 247991 240
-rect 248533 -480 248589 240
-rect 249085 -480 249141 240
-rect 249683 -480 249739 240
-rect 250281 -480 250337 240
-rect 250879 -480 250935 240
-rect 251477 -480 251533 240
-rect 252075 -480 252131 240
-rect 252673 -480 252729 240
-rect 253225 -480 253281 240
-rect 253823 -480 253879 240
-rect 254421 -480 254477 240
-rect 255019 -480 255075 240
-rect 255617 -480 255673 240
-rect 256215 -480 256271 240
-rect 256767 -480 256823 240
-rect 257365 -480 257421 240
-rect 257963 -480 258019 240
-rect 258561 -480 258617 240
-rect 259159 -480 259215 240
-rect 259757 -480 259813 240
-rect 260355 -480 260411 240
-rect 260907 -480 260963 240
-rect 261505 -480 261561 240
-rect 262103 -480 262159 240
-rect 262701 -480 262757 240
-rect 263299 -480 263355 240
-rect 263897 -480 263953 240
-rect 264495 -480 264551 240
-rect 265047 -480 265103 240
-rect 265645 -480 265701 240
-rect 266243 -480 266299 240
-rect 266841 -480 266897 240
-rect 267439 -480 267495 240
-rect 268037 -480 268093 240
-rect 268589 -480 268645 240
-rect 269187 -480 269243 240
-rect 269785 -480 269841 240
-rect 270383 -480 270439 240
-rect 270981 -480 271037 240
-rect 271579 -480 271635 240
-rect 272177 -480 272233 240
-rect 272729 -480 272785 240
-rect 273327 -480 273383 240
-rect 273925 -480 273981 240
-rect 274523 -480 274579 240
-rect 275121 -480 275177 240
-rect 275719 -480 275775 240
-rect 276317 -480 276373 240
-rect 276869 -480 276925 240
-rect 277467 -480 277523 240
-rect 278065 -480 278121 240
-rect 278663 -480 278719 240
-rect 279261 -480 279317 240
-rect 279859 -480 279915 240
-rect 280411 -480 280467 240
-rect 281009 -480 281065 240
-rect 281607 -480 281663 240
-rect 282205 -480 282261 240
-rect 282803 -480 282859 240
-rect 283401 -480 283457 240
-rect 283999 -480 284055 240
-rect 284551 -480 284607 240
-rect 285149 -480 285205 240
-rect 285747 -480 285803 240
-rect 286345 -480 286401 240
-rect 286943 -480 286999 240
-rect 287541 -480 287597 240
-rect 288139 -480 288195 240
-rect 288691 -480 288747 240
-rect 289289 -480 289345 240
-rect 289887 -480 289943 240
-rect 290485 -480 290541 240
-rect 291083 -480 291139 240
-rect 291681 -480 291737 240
+rect 262 -400 318 240
+rect 853 -400 909 240
+rect 1444 -400 1500 240
+rect 2035 -400 2091 240
+rect 2626 -400 2682 240
+rect 3217 -400 3273 240
+rect 3808 -400 3864 240
+rect 4399 -400 4455 240
+rect 4990 -400 5046 240
+rect 5581 -400 5637 240
+rect 6172 -400 6228 240
+rect 6763 -400 6819 240
+rect 7354 -400 7410 240
+rect 7945 -400 8001 240
+rect 8536 -400 8592 240
+rect 9127 -400 9183 240
+rect 9718 -400 9774 240
+rect 10309 -400 10365 240
+rect 10900 -400 10956 240
+rect 11491 -400 11547 240
+rect 12082 -400 12138 240
+rect 12673 -400 12729 240
+rect 13264 -400 13320 240
+rect 13855 -400 13911 240
+rect 14446 -400 14502 240
+rect 15037 -400 15093 240
+rect 15628 -400 15684 240
+rect 16219 -400 16275 240
+rect 16810 -400 16866 240
+rect 17401 -400 17457 240
+rect 17992 -400 18048 240
+rect 18583 -400 18639 240
+rect 19174 -400 19230 240
+rect 19765 -400 19821 240
+rect 20356 -400 20412 240
+rect 20947 -400 21003 240
+rect 21538 -400 21594 240
+rect 22129 -400 22185 240
+rect 22720 -400 22776 240
+rect 23311 -400 23367 240
+rect 23902 -400 23958 240
+rect 24493 -400 24549 240
+rect 25084 -400 25140 240
+rect 25675 -400 25731 240
+rect 26266 -400 26322 240
+rect 26857 -400 26913 240
+rect 27448 -400 27504 240
+rect 28039 -400 28095 240
+rect 28630 -400 28686 240
+rect 29221 -400 29277 240
+rect 29812 -400 29868 240
+rect 30403 -400 30459 240
+rect 30994 -400 31050 240
+rect 31585 -400 31641 240
+rect 32176 -400 32232 240
+rect 32767 -400 32823 240
+rect 33358 -400 33414 240
+rect 33949 -400 34005 240
+rect 34540 -400 34596 240
+rect 35131 -400 35187 240
+rect 35722 -400 35778 240
+rect 36313 -400 36369 240
+rect 36904 -400 36960 240
+rect 37495 -400 37551 240
+rect 38086 -400 38142 240
+rect 38677 -400 38733 240
+rect 39268 -400 39324 240
+rect 39859 -400 39915 240
+rect 40450 -400 40506 240
+rect 41041 -400 41097 240
+rect 41632 -400 41688 240
+rect 42223 -400 42279 240
+rect 42814 -400 42870 240
+rect 43405 -400 43461 240
+rect 43996 -400 44052 240
+rect 44587 -400 44643 240
+rect 45178 -400 45234 240
+rect 45769 -400 45825 240
+rect 46360 -400 46416 240
+rect 46951 -400 47007 240
+rect 47542 -400 47598 240
+rect 48133 -400 48189 240
+rect 48724 -400 48780 240
+rect 49315 -400 49371 240
+rect 49906 -400 49962 240
+rect 50497 -400 50553 240
+rect 51088 -400 51144 240
+rect 51679 -400 51735 240
+rect 52270 -400 52326 240
+rect 52861 -400 52917 240
+rect 53452 -400 53508 240
+rect 54043 -400 54099 240
+rect 54634 -400 54690 240
+rect 55225 -400 55281 240
+rect 55816 -400 55872 240
+rect 56407 -400 56463 240
+rect 56998 -400 57054 240
+rect 57589 -400 57645 240
+rect 58180 -400 58236 240
+rect 58771 -400 58827 240
+rect 59362 -400 59418 240
+rect 59953 -400 60009 240
+rect 60544 -400 60600 240
+rect 61135 -400 61191 240
+rect 61726 -400 61782 240
+rect 62317 -400 62373 240
+rect 62908 -400 62964 240
+rect 63499 -400 63555 240
+rect 64090 -400 64146 240
+rect 64681 -400 64737 240
+rect 65272 -400 65328 240
+rect 65863 -400 65919 240
+rect 66454 -400 66510 240
+rect 67045 -400 67101 240
+rect 67636 -400 67692 240
+rect 68227 -400 68283 240
+rect 68818 -400 68874 240
+rect 69409 -400 69465 240
+rect 70000 -400 70056 240
+rect 70591 -400 70647 240
+rect 71182 -400 71238 240
+rect 71773 -400 71829 240
+rect 72364 -400 72420 240
+rect 72955 -400 73011 240
+rect 73546 -400 73602 240
+rect 74137 -400 74193 240
+rect 74728 -400 74784 240
+rect 75319 -400 75375 240
+rect 75910 -400 75966 240
+rect 76501 -400 76557 240
+rect 77092 -400 77148 240
+rect 77683 -400 77739 240
+rect 78274 -400 78330 240
+rect 78865 -400 78921 240
+rect 79456 -400 79512 240
+rect 80047 -400 80103 240
+rect 80638 -400 80694 240
+rect 81229 -400 81285 240
+rect 81820 -400 81876 240
+rect 82411 -400 82467 240
+rect 83002 -400 83058 240
+rect 83593 -400 83649 240
+rect 84184 -400 84240 240
+rect 84775 -400 84831 240
+rect 85366 -400 85422 240
+rect 85957 -400 86013 240
+rect 86548 -400 86604 240
+rect 87139 -400 87195 240
+rect 87730 -400 87786 240
+rect 88321 -400 88377 240
+rect 88912 -400 88968 240
+rect 89503 -400 89559 240
+rect 90094 -400 90150 240
+rect 90685 -400 90741 240
+rect 91276 -400 91332 240
+rect 91867 -400 91923 240
+rect 92458 -400 92514 240
+rect 93049 -400 93105 240
+rect 93640 -400 93696 240
+rect 94231 -400 94287 240
+rect 94822 -400 94878 240
+rect 95413 -400 95469 240
+rect 96004 -400 96060 240
+rect 96595 -400 96651 240
+rect 97186 -400 97242 240
+rect 97777 -400 97833 240
+rect 98368 -400 98424 240
+rect 98959 -400 99015 240
+rect 99550 -400 99606 240
+rect 100141 -400 100197 240
+rect 100732 -400 100788 240
+rect 101323 -400 101379 240
+rect 101914 -400 101970 240
+rect 102505 -400 102561 240
+rect 103096 -400 103152 240
+rect 103687 -400 103743 240
+rect 104278 -400 104334 240
+rect 104869 -400 104925 240
+rect 105460 -400 105516 240
+rect 106051 -400 106107 240
+rect 106642 -400 106698 240
+rect 107233 -400 107289 240
+rect 107824 -400 107880 240
+rect 108415 -400 108471 240
+rect 109006 -400 109062 240
+rect 109597 -400 109653 240
+rect 110188 -400 110244 240
+rect 110779 -400 110835 240
+rect 111370 -400 111426 240
+rect 111961 -400 112017 240
+rect 112552 -400 112608 240
+rect 113143 -400 113199 240
+rect 113734 -400 113790 240
+rect 114325 -400 114381 240
+rect 114916 -400 114972 240
+rect 115507 -400 115563 240
+rect 116098 -400 116154 240
+rect 116689 -400 116745 240
+rect 117280 -400 117336 240
+rect 117871 -400 117927 240
+rect 118462 -400 118518 240
+rect 119053 -400 119109 240
+rect 119644 -400 119700 240
+rect 120235 -400 120291 240
+rect 120826 -400 120882 240
+rect 121417 -400 121473 240
+rect 122008 -400 122064 240
+rect 122599 -400 122655 240
+rect 123190 -400 123246 240
+rect 123781 -400 123837 240
+rect 124372 -400 124428 240
+rect 124963 -400 125019 240
+rect 125554 -400 125610 240
+rect 126145 -400 126201 240
+rect 126736 -400 126792 240
+rect 127327 -400 127383 240
+rect 127918 -400 127974 240
+rect 128509 -400 128565 240
+rect 129100 -400 129156 240
+rect 129691 -400 129747 240
+rect 130282 -400 130338 240
+rect 130873 -400 130929 240
+rect 131464 -400 131520 240
+rect 132055 -400 132111 240
+rect 132646 -400 132702 240
+rect 133237 -400 133293 240
+rect 133828 -400 133884 240
+rect 134419 -400 134475 240
+rect 135010 -400 135066 240
+rect 135601 -400 135657 240
+rect 136192 -400 136248 240
+rect 136783 -400 136839 240
+rect 137374 -400 137430 240
+rect 137965 -400 138021 240
+rect 138556 -400 138612 240
+rect 139147 -400 139203 240
+rect 139738 -400 139794 240
+rect 140329 -400 140385 240
+rect 140920 -400 140976 240
+rect 141511 -400 141567 240
+rect 142102 -400 142158 240
+rect 142693 -400 142749 240
+rect 143284 -400 143340 240
+rect 143875 -400 143931 240
+rect 144466 -400 144522 240
+rect 145057 -400 145113 240
+rect 145648 -400 145704 240
+rect 146239 -400 146295 240
+rect 146830 -400 146886 240
+rect 147421 -400 147477 240
+rect 148012 -400 148068 240
+rect 148603 -400 148659 240
+rect 149194 -400 149250 240
+rect 149785 -400 149841 240
+rect 150376 -400 150432 240
+rect 150967 -400 151023 240
+rect 151558 -400 151614 240
+rect 152149 -400 152205 240
+rect 152740 -400 152796 240
+rect 153331 -400 153387 240
+rect 153922 -400 153978 240
+rect 154513 -400 154569 240
+rect 155104 -400 155160 240
+rect 155695 -400 155751 240
+rect 156286 -400 156342 240
+rect 156877 -400 156933 240
+rect 157468 -400 157524 240
+rect 158059 -400 158115 240
+rect 158650 -400 158706 240
+rect 159241 -400 159297 240
+rect 159832 -400 159888 240
+rect 160423 -400 160479 240
+rect 161014 -400 161070 240
+rect 161605 -400 161661 240
+rect 162196 -400 162252 240
+rect 162787 -400 162843 240
+rect 163378 -400 163434 240
+rect 163969 -400 164025 240
+rect 164560 -400 164616 240
+rect 165151 -400 165207 240
+rect 165742 -400 165798 240
+rect 166333 -400 166389 240
+rect 166924 -400 166980 240
+rect 167515 -400 167571 240
+rect 168106 -400 168162 240
+rect 168697 -400 168753 240
+rect 169288 -400 169344 240
+rect 169879 -400 169935 240
+rect 170470 -400 170526 240
+rect 171061 -400 171117 240
+rect 171652 -400 171708 240
+rect 172243 -400 172299 240
+rect 172834 -400 172890 240
+rect 173425 -400 173481 240
+rect 174016 -400 174072 240
+rect 174607 -400 174663 240
+rect 175198 -400 175254 240
+rect 175789 -400 175845 240
+rect 176380 -400 176436 240
+rect 176971 -400 177027 240
+rect 177562 -400 177618 240
+rect 178153 -400 178209 240
+rect 178744 -400 178800 240
+rect 179335 -400 179391 240
+rect 179926 -400 179982 240
+rect 180517 -400 180573 240
+rect 181108 -400 181164 240
+rect 181699 -400 181755 240
+rect 182290 -400 182346 240
+rect 182881 -400 182937 240
+rect 183472 -400 183528 240
+rect 184063 -400 184119 240
+rect 184654 -400 184710 240
+rect 185245 -400 185301 240
+rect 185836 -400 185892 240
+rect 186427 -400 186483 240
+rect 187018 -400 187074 240
+rect 187609 -400 187665 240
+rect 188200 -400 188256 240
+rect 188791 -400 188847 240
+rect 189382 -400 189438 240
+rect 189973 -400 190029 240
+rect 190564 -400 190620 240
+rect 191155 -400 191211 240
+rect 191746 -400 191802 240
+rect 192337 -400 192393 240
+rect 192928 -400 192984 240
+rect 193519 -400 193575 240
+rect 194110 -400 194166 240
+rect 194701 -400 194757 240
+rect 195292 -400 195348 240
+rect 195883 -400 195939 240
+rect 196474 -400 196530 240
+rect 197065 -400 197121 240
+rect 197656 -400 197712 240
+rect 198247 -400 198303 240
+rect 198838 -400 198894 240
+rect 199429 -400 199485 240
+rect 200020 -400 200076 240
+rect 200611 -400 200667 240
+rect 201202 -400 201258 240
+rect 201793 -400 201849 240
+rect 202384 -400 202440 240
+rect 202975 -400 203031 240
+rect 203566 -400 203622 240
+rect 204157 -400 204213 240
+rect 204748 -400 204804 240
+rect 205339 -400 205395 240
+rect 205930 -400 205986 240
+rect 206521 -400 206577 240
+rect 207112 -400 207168 240
+rect 207703 -400 207759 240
+rect 208294 -400 208350 240
+rect 208885 -400 208941 240
+rect 209476 -400 209532 240
+rect 210067 -400 210123 240
+rect 210658 -400 210714 240
+rect 211249 -400 211305 240
+rect 211840 -400 211896 240
+rect 212431 -400 212487 240
+rect 213022 -400 213078 240
+rect 213613 -400 213669 240
+rect 214204 -400 214260 240
+rect 214795 -400 214851 240
+rect 215386 -400 215442 240
+rect 215977 -400 216033 240
+rect 216568 -400 216624 240
+rect 217159 -400 217215 240
+rect 217750 -400 217806 240
+rect 218341 -400 218397 240
+rect 218932 -400 218988 240
+rect 219523 -400 219579 240
+rect 220114 -400 220170 240
+rect 220705 -400 220761 240
+rect 221296 -400 221352 240
+rect 221887 -400 221943 240
+rect 222478 -400 222534 240
+rect 223069 -400 223125 240
+rect 223660 -400 223716 240
+rect 224251 -400 224307 240
+rect 224842 -400 224898 240
+rect 225433 -400 225489 240
+rect 226024 -400 226080 240
+rect 226615 -400 226671 240
+rect 227206 -400 227262 240
+rect 227797 -400 227853 240
+rect 228388 -400 228444 240
+rect 228979 -400 229035 240
+rect 229570 -400 229626 240
+rect 230161 -400 230217 240
+rect 230752 -400 230808 240
+rect 231343 -400 231399 240
+rect 231934 -400 231990 240
+rect 232525 -400 232581 240
+rect 233116 -400 233172 240
+rect 233707 -400 233763 240
+rect 234298 -400 234354 240
+rect 234889 -400 234945 240
+rect 235480 -400 235536 240
+rect 236071 -400 236127 240
+rect 236662 -400 236718 240
+rect 237253 -400 237309 240
+rect 237844 -400 237900 240
+rect 238435 -400 238491 240
+rect 239026 -400 239082 240
+rect 239617 -400 239673 240
+rect 240208 -400 240264 240
+rect 240799 -400 240855 240
+rect 241390 -400 241446 240
+rect 241981 -400 242037 240
+rect 242572 -400 242628 240
+rect 243163 -400 243219 240
+rect 243754 -400 243810 240
+rect 244345 -400 244401 240
+rect 244936 -400 244992 240
+rect 245527 -400 245583 240
+rect 246118 -400 246174 240
+rect 246709 -400 246765 240
+rect 247300 -400 247356 240
+rect 247891 -400 247947 240
+rect 248482 -400 248538 240
+rect 249073 -400 249129 240
+rect 249664 -400 249720 240
+rect 250255 -400 250311 240
+rect 250846 -400 250902 240
+rect 251437 -400 251493 240
+rect 252028 -400 252084 240
+rect 252619 -400 252675 240
+rect 253210 -400 253266 240
+rect 253801 -400 253857 240
+rect 254392 -400 254448 240
+rect 254983 -400 255039 240
+rect 255574 -400 255630 240
+rect 256165 -400 256221 240
+rect 256756 -400 256812 240
+rect 257347 -400 257403 240
+rect 257938 -400 257994 240
+rect 258529 -400 258585 240
+rect 259120 -400 259176 240
+rect 259711 -400 259767 240
+rect 260302 -400 260358 240
+rect 260893 -400 260949 240
+rect 261484 -400 261540 240
+rect 262075 -400 262131 240
+rect 262666 -400 262722 240
+rect 263257 -400 263313 240
+rect 263848 -400 263904 240
+rect 264439 -400 264495 240
+rect 265030 -400 265086 240
+rect 265621 -400 265677 240
+rect 266212 -400 266268 240
+rect 266803 -400 266859 240
+rect 267394 -400 267450 240
+rect 267985 -400 268041 240
+rect 268576 -400 268632 240
+rect 269167 -400 269223 240
+rect 269758 -400 269814 240
+rect 270349 -400 270405 240
+rect 270940 -400 270996 240
+rect 271531 -400 271587 240
+rect 272122 -400 272178 240
+rect 272713 -400 272769 240
+rect 273304 -400 273360 240
+rect 273895 -400 273951 240
+rect 274486 -400 274542 240
+rect 275077 -400 275133 240
+rect 275668 -400 275724 240
+rect 276259 -400 276315 240
+rect 276850 -400 276906 240
+rect 277441 -400 277497 240
+rect 278032 -400 278088 240
+rect 278623 -400 278679 240
+rect 279214 -400 279270 240
+rect 279805 -400 279861 240
+rect 280396 -400 280452 240
+rect 280987 -400 281043 240
+rect 281578 -400 281634 240
+rect 282169 -400 282225 240
+rect 282760 -400 282816 240
+rect 283351 -400 283407 240
+rect 283942 -400 283998 240
+rect 284533 -400 284589 240
+rect 285124 -400 285180 240
+rect 285715 -400 285771 240
+rect 286306 -400 286362 240
+rect 286897 -400 286953 240
+rect 287488 -400 287544 240
+rect 288079 -400 288135 240
+rect 288670 -400 288726 240
+rect 289261 -400 289317 240
+rect 289852 -400 289908 240
+rect 290443 -400 290499 240
+rect 291034 -400 291090 240
+rect 291625 -400 291681 240
 << metal3 >>
-rect -480 349494 240 349614
-rect 291760 349426 292480 349546
-rect -480 344802 240 344922
-rect 291760 344462 292480 344582
-rect -480 340110 240 340230
-rect 291760 339498 292480 339618
-rect -480 335418 240 335538
-rect 291760 334534 292480 334654
-rect -480 330726 240 330846
-rect 291760 329570 292480 329690
-rect -480 326034 240 326154
-rect 291760 324606 292480 324726
-rect -480 321342 240 321462
-rect 291760 319642 292480 319762
-rect -480 316650 240 316770
-rect 291760 314678 292480 314798
-rect -480 311958 240 312078
-rect 291760 309714 292480 309834
-rect -480 307266 240 307386
-rect 291760 304750 292480 304870
-rect -480 302574 240 302694
-rect 291760 299854 292480 299974
-rect -480 297882 240 298002
-rect 291760 294890 292480 295010
-rect -480 293190 240 293310
-rect 291760 289926 292480 290046
-rect -480 288498 240 288618
-rect 291760 284962 292480 285082
-rect -480 283806 240 283926
-rect 291760 279998 292480 280118
-rect -480 279114 240 279234
-rect 291760 275034 292480 275154
-rect -480 274422 240 274542
-rect 291760 270070 292480 270190
-rect -480 269730 240 269850
-rect -480 265038 240 265158
-rect 291760 265106 292480 265226
-rect -480 260346 240 260466
-rect 291760 260142 292480 260262
-rect -480 255654 240 255774
-rect 291760 255178 292480 255298
-rect -480 250962 240 251082
-rect 291760 250282 292480 250402
-rect -480 246270 240 246390
-rect 291760 245318 292480 245438
-rect -480 241578 240 241698
-rect 291760 240354 292480 240474
-rect -480 236886 240 237006
-rect 291760 235390 292480 235510
-rect -480 232194 240 232314
-rect 291760 230426 292480 230546
-rect -480 227502 240 227622
-rect 291760 225462 292480 225582
-rect -480 222810 240 222930
-rect 291760 220498 292480 220618
-rect -480 218118 240 218238
-rect 291760 215534 292480 215654
-rect -480 213426 240 213546
-rect 291760 210570 292480 210690
-rect -480 208734 240 208854
-rect 291760 205606 292480 205726
-rect -480 204042 240 204162
-rect 291760 200710 292480 200830
-rect -480 199350 240 199470
-rect 291760 195746 292480 195866
-rect -480 194658 240 194778
-rect 291760 190782 292480 190902
-rect -480 189966 240 190086
-rect 291760 185818 292480 185938
-rect -480 185274 240 185394
-rect 291760 180854 292480 180974
-rect -480 180582 240 180702
-rect -480 175890 240 176010
-rect 291760 175890 292480 176010
-rect -480 171198 240 171318
-rect 291760 170926 292480 171046
-rect -480 166506 240 166626
-rect 291760 165962 292480 166082
-rect -480 161814 240 161934
-rect 291760 160998 292480 161118
-rect -480 157122 240 157242
-rect 291760 156034 292480 156154
-rect -480 152430 240 152550
-rect 291760 151138 292480 151258
-rect -480 147738 240 147858
-rect 291760 146174 292480 146294
-rect -480 143046 240 143166
-rect 291760 141210 292480 141330
-rect -480 138354 240 138474
-rect 291760 136246 292480 136366
-rect -480 133662 240 133782
-rect 291760 131282 292480 131402
-rect -480 128970 240 129090
-rect 291760 126318 292480 126438
-rect -480 124278 240 124398
-rect 291760 121354 292480 121474
-rect -480 119586 240 119706
-rect 291760 116390 292480 116510
-rect -480 114894 240 115014
-rect 291760 111426 292480 111546
-rect -480 110202 240 110322
-rect 291760 106462 292480 106582
-rect -480 105510 240 105630
-rect 291760 101566 292480 101686
-rect -480 100818 240 100938
-rect 291760 96602 292480 96722
-rect -480 96126 240 96246
-rect 291760 91638 292480 91758
-rect -480 91434 240 91554
-rect -480 86742 240 86862
-rect 291760 86674 292480 86794
-rect -480 82050 240 82170
-rect 291760 81710 292480 81830
-rect -480 77358 240 77478
-rect 291760 76746 292480 76866
-rect -480 72666 240 72786
-rect 291760 71782 292480 71902
-rect -480 67974 240 68094
-rect 291760 66818 292480 66938
-rect -480 63282 240 63402
-rect 291760 61854 292480 61974
-rect -480 58590 240 58710
-rect 291760 56890 292480 57010
-rect -480 53898 240 54018
-rect 291760 51994 292480 52114
-rect -480 49206 240 49326
-rect 291760 47030 292480 47150
-rect -480 44514 240 44634
-rect 291760 42066 292480 42186
-rect -480 39822 240 39942
-rect 291760 37102 292480 37222
-rect -480 35130 240 35250
-rect 291760 32138 292480 32258
-rect -480 30438 240 30558
-rect 291760 27174 292480 27294
-rect -480 25746 240 25866
-rect 291760 22210 292480 22330
-rect -480 21054 240 21174
-rect 291760 17246 292480 17366
-rect -480 16362 240 16482
-rect 291760 12282 292480 12402
-rect -480 11670 240 11790
-rect 291760 7318 292480 7438
-rect -480 6978 240 7098
-rect 291760 2422 292480 2542
-rect -480 2286 240 2406
-<< metal4 >>
-rect -4288 355709 -3988 355720
-rect -4288 355591 -4197 355709
-rect -4079 355591 -3988 355709
-rect -4288 355549 -3988 355591
-rect -4288 355431 -4197 355549
-rect -4079 355431 -3988 355549
-rect -4288 -3463 -3988 355431
-rect 295950 355709 296250 355720
-rect 295950 355591 296041 355709
-rect 296159 355591 296250 355709
-rect 295950 355549 296250 355591
-rect 295950 355431 296041 355549
-rect 296159 355431 296250 355549
-rect -3818 355239 -3518 355250
-rect -3818 355121 -3727 355239
-rect -3609 355121 -3518 355239
-rect -3818 355079 -3518 355121
-rect -3818 354961 -3727 355079
-rect -3609 354961 -3518 355079
-rect -3818 -2993 -3518 354961
-rect 295480 355239 295780 355250
-rect 295480 355121 295571 355239
-rect 295689 355121 295780 355239
-rect 295480 355079 295780 355121
-rect 295480 354961 295571 355079
-rect 295689 354961 295780 355079
-rect -3348 354769 -3048 354780
-rect -3348 354651 -3257 354769
-rect -3139 354651 -3048 354769
-rect -3348 354609 -3048 354651
-rect -3348 354491 -3257 354609
-rect -3139 354491 -3048 354609
-rect -3348 -2523 -3048 354491
-rect 295010 354769 295310 354780
-rect 295010 354651 295101 354769
-rect 295219 354651 295310 354769
-rect 295010 354609 295310 354651
-rect 295010 354491 295101 354609
-rect 295219 354491 295310 354609
-rect -2878 354299 -2578 354310
-rect -2878 354181 -2787 354299
-rect -2669 354181 -2578 354299
-rect -2878 354139 -2578 354181
-rect -2878 354021 -2787 354139
-rect -2669 354021 -2578 354139
-rect -2878 -2053 -2578 354021
-rect 294540 354299 294840 354310
-rect 294540 354181 294631 354299
-rect 294749 354181 294840 354299
-rect 294540 354139 294840 354181
-rect 294540 354021 294631 354139
-rect 294749 354021 294840 354139
-rect -2408 353829 -2108 353840
-rect -2408 353711 -2317 353829
-rect -2199 353711 -2108 353829
-rect -2408 353669 -2108 353711
-rect -2408 353551 -2317 353669
-rect -2199 353551 -2108 353669
-rect -2408 -1583 -2108 353551
-rect 294070 353829 294370 353840
-rect 294070 353711 294161 353829
-rect 294279 353711 294370 353829
-rect 294070 353669 294370 353711
-rect 294070 353551 294161 353669
-rect 294279 353551 294370 353669
-rect -1938 353359 -1638 353370
-rect -1938 353241 -1847 353359
-rect -1729 353241 -1638 353359
-rect -1938 353199 -1638 353241
-rect -1938 353081 -1847 353199
-rect -1729 353081 -1638 353199
-rect -1938 -1113 -1638 353081
-rect 293600 353359 293900 353370
-rect 293600 353241 293691 353359
-rect 293809 353241 293900 353359
-rect 293600 353199 293900 353241
-rect 293600 353081 293691 353199
-rect 293809 353081 293900 353199
-rect -1468 352889 -1168 352900
-rect -1468 352771 -1377 352889
-rect -1259 352771 -1168 352889
-rect -1468 352729 -1168 352771
-rect -1468 352611 -1377 352729
-rect -1259 352611 -1168 352729
-rect -1468 -643 -1168 352611
-rect 293130 352889 293430 352900
-rect 293130 352771 293221 352889
-rect 293339 352771 293430 352889
-rect 293130 352729 293430 352771
-rect 293130 352611 293221 352729
-rect 293339 352611 293430 352729
-rect -998 352419 -698 352430
-rect -998 352301 -907 352419
-rect -789 352301 -698 352419
-rect -998 352259 -698 352301
-rect -998 352141 -907 352259
-rect -789 352141 -698 352259
-rect -998 -173 -698 352141
-rect -998 -291 -907 -173
-rect -789 -291 -698 -173
-rect -998 -333 -698 -291
-rect -998 -451 -907 -333
-rect -789 -451 -698 -333
-rect -998 -462 -698 -451
-rect 292660 352419 292960 352430
-rect 292660 352301 292751 352419
-rect 292869 352301 292960 352419
-rect 292660 352259 292960 352301
-rect 292660 352141 292751 352259
-rect 292869 352141 292960 352259
-rect 292660 -173 292960 352141
-rect 292660 -291 292751 -173
-rect 292869 -291 292960 -173
-rect 292660 -333 292960 -291
-rect 292660 -451 292751 -333
-rect 292869 -451 292960 -333
-rect 292660 -462 292960 -451
-rect -1468 -761 -1377 -643
-rect -1259 -761 -1168 -643
-rect -1468 -803 -1168 -761
-rect -1468 -921 -1377 -803
-rect -1259 -921 -1168 -803
-rect -1468 -932 -1168 -921
-rect 293130 -643 293430 352611
-rect 293130 -761 293221 -643
-rect 293339 -761 293430 -643
-rect 293130 -803 293430 -761
-rect 293130 -921 293221 -803
-rect 293339 -921 293430 -803
-rect 293130 -932 293430 -921
-rect -1938 -1231 -1847 -1113
-rect -1729 -1231 -1638 -1113
-rect -1938 -1273 -1638 -1231
-rect -1938 -1391 -1847 -1273
-rect -1729 -1391 -1638 -1273
-rect -1938 -1402 -1638 -1391
-rect 293600 -1113 293900 353081
-rect 293600 -1231 293691 -1113
-rect 293809 -1231 293900 -1113
-rect 293600 -1273 293900 -1231
-rect 293600 -1391 293691 -1273
-rect 293809 -1391 293900 -1273
-rect 293600 -1402 293900 -1391
-rect -2408 -1701 -2317 -1583
-rect -2199 -1701 -2108 -1583
-rect -2408 -1743 -2108 -1701
-rect -2408 -1861 -2317 -1743
-rect -2199 -1861 -2108 -1743
-rect -2408 -1872 -2108 -1861
-rect 294070 -1583 294370 353551
-rect 294070 -1701 294161 -1583
-rect 294279 -1701 294370 -1583
-rect 294070 -1743 294370 -1701
-rect 294070 -1861 294161 -1743
-rect 294279 -1861 294370 -1743
-rect 294070 -1872 294370 -1861
-rect -2878 -2171 -2787 -2053
-rect -2669 -2171 -2578 -2053
-rect -2878 -2213 -2578 -2171
-rect -2878 -2331 -2787 -2213
-rect -2669 -2331 -2578 -2213
-rect -2878 -2342 -2578 -2331
-rect 294540 -2053 294840 354021
-rect 294540 -2171 294631 -2053
-rect 294749 -2171 294840 -2053
-rect 294540 -2213 294840 -2171
-rect 294540 -2331 294631 -2213
-rect 294749 -2331 294840 -2213
-rect 294540 -2342 294840 -2331
-rect -3348 -2641 -3257 -2523
-rect -3139 -2641 -3048 -2523
-rect -3348 -2683 -3048 -2641
-rect -3348 -2801 -3257 -2683
-rect -3139 -2801 -3048 -2683
-rect -3348 -2812 -3048 -2801
-rect 295010 -2523 295310 354491
-rect 295010 -2641 295101 -2523
-rect 295219 -2641 295310 -2523
-rect 295010 -2683 295310 -2641
-rect 295010 -2801 295101 -2683
-rect 295219 -2801 295310 -2683
-rect 295010 -2812 295310 -2801
-rect -3818 -3111 -3727 -2993
-rect -3609 -3111 -3518 -2993
-rect -3818 -3153 -3518 -3111
-rect -3818 -3271 -3727 -3153
-rect -3609 -3271 -3518 -3153
-rect -3818 -3282 -3518 -3271
-rect 295480 -2993 295780 354961
-rect 295480 -3111 295571 -2993
-rect 295689 -3111 295780 -2993
-rect 295480 -3153 295780 -3111
-rect 295480 -3271 295571 -3153
-rect 295689 -3271 295780 -3153
-rect 295480 -3282 295780 -3271
-rect -4288 -3581 -4197 -3463
-rect -4079 -3581 -3988 -3463
-rect -4288 -3623 -3988 -3581
-rect -4288 -3741 -4197 -3623
-rect -4079 -3741 -3988 -3623
-rect -4288 -3752 -3988 -3741
-rect 295950 -3463 296250 355431
-rect 295950 -3581 296041 -3463
-rect 296159 -3581 296250 -3463
-rect 295950 -3623 296250 -3581
-rect 295950 -3741 296041 -3623
-rect 296159 -3741 296250 -3623
-rect 295950 -3752 296250 -3741
-<< via4 >>
-rect -4197 355591 -4079 355709
-rect -4197 355431 -4079 355549
-rect 296041 355591 296159 355709
-rect 296041 355431 296159 355549
-rect -3727 355121 -3609 355239
-rect -3727 354961 -3609 355079
-rect 295571 355121 295689 355239
-rect 295571 354961 295689 355079
-rect -3257 354651 -3139 354769
-rect -3257 354491 -3139 354609
-rect 295101 354651 295219 354769
-rect 295101 354491 295219 354609
-rect -2787 354181 -2669 354299
-rect -2787 354021 -2669 354139
-rect 294631 354181 294749 354299
-rect 294631 354021 294749 354139
-rect -2317 353711 -2199 353829
-rect -2317 353551 -2199 353669
-rect 294161 353711 294279 353829
-rect 294161 353551 294279 353669
-rect -1847 353241 -1729 353359
-rect -1847 353081 -1729 353199
-rect 293691 353241 293809 353359
-rect 293691 353081 293809 353199
-rect -1377 352771 -1259 352889
-rect -1377 352611 -1259 352729
-rect 293221 352771 293339 352889
-rect 293221 352611 293339 352729
-rect -907 352301 -789 352419
-rect -907 352141 -789 352259
-rect -907 -291 -789 -173
-rect -907 -451 -789 -333
-rect 292751 352301 292869 352419
-rect 292751 352141 292869 352259
-rect 292751 -291 292869 -173
-rect 292751 -451 292869 -333
-rect -1377 -761 -1259 -643
-rect -1377 -921 -1259 -803
-rect 293221 -761 293339 -643
-rect 293221 -921 293339 -803
-rect -1847 -1231 -1729 -1113
-rect -1847 -1391 -1729 -1273
-rect 293691 -1231 293809 -1113
-rect 293691 -1391 293809 -1273
-rect -2317 -1701 -2199 -1583
-rect -2317 -1861 -2199 -1743
-rect 294161 -1701 294279 -1583
-rect 294161 -1861 294279 -1743
-rect -2787 -2171 -2669 -2053
-rect -2787 -2331 -2669 -2213
-rect 294631 -2171 294749 -2053
-rect 294631 -2331 294749 -2213
-rect -3257 -2641 -3139 -2523
-rect -3257 -2801 -3139 -2683
-rect 295101 -2641 295219 -2523
-rect 295101 -2801 295219 -2683
-rect -3727 -3111 -3609 -2993
-rect -3727 -3271 -3609 -3153
-rect 295571 -3111 295689 -2993
-rect 295571 -3271 295689 -3153
-rect -4197 -3581 -4079 -3463
-rect -4197 -3741 -4079 -3623
-rect 296041 -3581 296159 -3463
-rect 296041 -3741 296159 -3623
-<< metal5 >>
-rect -4288 355720 -3988 355721
-rect 295950 355720 296250 355721
-rect -4288 355709 296250 355720
-rect -4288 355591 -4197 355709
-rect -4079 355591 296041 355709
-rect 296159 355591 296250 355709
-rect -4288 355549 296250 355591
-rect -4288 355431 -4197 355549
-rect -4079 355431 296041 355549
-rect 296159 355431 296250 355549
-rect -4288 355420 296250 355431
-rect -4288 355419 -3988 355420
-rect 295950 355419 296250 355420
-rect -3818 355250 -3518 355251
-rect 295480 355250 295780 355251
-rect -3818 355239 295780 355250
-rect -3818 355121 -3727 355239
-rect -3609 355121 295571 355239
-rect 295689 355121 295780 355239
-rect -3818 355079 295780 355121
-rect -3818 354961 -3727 355079
-rect -3609 354961 295571 355079
-rect 295689 354961 295780 355079
-rect -3818 354950 295780 354961
-rect -3818 354949 -3518 354950
-rect 295480 354949 295780 354950
-rect -3348 354780 -3048 354781
-rect 295010 354780 295310 354781
-rect -3348 354769 295310 354780
-rect -3348 354651 -3257 354769
-rect -3139 354651 295101 354769
-rect 295219 354651 295310 354769
-rect -3348 354609 295310 354651
-rect -3348 354491 -3257 354609
-rect -3139 354491 295101 354609
-rect 295219 354491 295310 354609
-rect -3348 354480 295310 354491
-rect -3348 354479 -3048 354480
-rect 295010 354479 295310 354480
-rect -2878 354310 -2578 354311
-rect 294540 354310 294840 354311
-rect -2878 354299 294840 354310
-rect -2878 354181 -2787 354299
-rect -2669 354181 294631 354299
-rect 294749 354181 294840 354299
-rect -2878 354139 294840 354181
-rect -2878 354021 -2787 354139
-rect -2669 354021 294631 354139
-rect 294749 354021 294840 354139
-rect -2878 354010 294840 354021
-rect -2878 354009 -2578 354010
-rect 294540 354009 294840 354010
-rect -2408 353840 -2108 353841
-rect 294070 353840 294370 353841
-rect -2408 353829 294370 353840
-rect -2408 353711 -2317 353829
-rect -2199 353711 294161 353829
-rect 294279 353711 294370 353829
-rect -2408 353669 294370 353711
-rect -2408 353551 -2317 353669
-rect -2199 353551 294161 353669
-rect 294279 353551 294370 353669
-rect -2408 353540 294370 353551
-rect -2408 353539 -2108 353540
-rect 294070 353539 294370 353540
-rect -1938 353370 -1638 353371
-rect 293600 353370 293900 353371
-rect -1938 353359 293900 353370
-rect -1938 353241 -1847 353359
-rect -1729 353241 293691 353359
-rect 293809 353241 293900 353359
-rect -1938 353199 293900 353241
-rect -1938 353081 -1847 353199
-rect -1729 353081 293691 353199
-rect 293809 353081 293900 353199
-rect -1938 353070 293900 353081
-rect -1938 353069 -1638 353070
-rect 293600 353069 293900 353070
-rect -1468 352900 -1168 352901
-rect 293130 352900 293430 352901
-rect -1468 352889 293430 352900
-rect -1468 352771 -1377 352889
-rect -1259 352771 293221 352889
-rect 293339 352771 293430 352889
-rect -1468 352729 293430 352771
-rect -1468 352611 -1377 352729
-rect -1259 352611 293221 352729
-rect 293339 352611 293430 352729
-rect -1468 352600 293430 352611
-rect -1468 352599 -1168 352600
-rect 293130 352599 293430 352600
-rect -998 352430 -698 352431
-rect 292660 352430 292960 352431
-rect -998 352419 292960 352430
-rect -998 352301 -907 352419
-rect -789 352301 292751 352419
-rect 292869 352301 292960 352419
-rect -998 352259 292960 352301
-rect -998 352141 -907 352259
-rect -789 352141 292751 352259
-rect 292869 352141 292960 352259
-rect -998 352130 292960 352141
-rect -998 352129 -698 352130
-rect 292660 352129 292960 352130
-rect -998 -162 -698 -161
-rect 292660 -162 292960 -161
-rect -998 -173 292960 -162
-rect -998 -291 -907 -173
-rect -789 -291 292751 -173
-rect 292869 -291 292960 -173
-rect -998 -333 292960 -291
-rect -998 -451 -907 -333
-rect -789 -451 292751 -333
-rect 292869 -451 292960 -333
-rect -998 -462 292960 -451
-rect -998 -463 -698 -462
-rect 292660 -463 292960 -462
-rect -1468 -632 -1168 -631
-rect 293130 -632 293430 -631
-rect -1468 -643 293430 -632
-rect -1468 -761 -1377 -643
-rect -1259 -761 293221 -643
-rect 293339 -761 293430 -643
-rect -1468 -803 293430 -761
-rect -1468 -921 -1377 -803
-rect -1259 -921 293221 -803
-rect 293339 -921 293430 -803
-rect -1468 -932 293430 -921
-rect -1468 -933 -1168 -932
-rect 293130 -933 293430 -932
-rect -1938 -1102 -1638 -1101
-rect 293600 -1102 293900 -1101
-rect -1938 -1113 293900 -1102
-rect -1938 -1231 -1847 -1113
-rect -1729 -1231 293691 -1113
-rect 293809 -1231 293900 -1113
-rect -1938 -1273 293900 -1231
-rect -1938 -1391 -1847 -1273
-rect -1729 -1391 293691 -1273
-rect 293809 -1391 293900 -1273
-rect -1938 -1402 293900 -1391
-rect -1938 -1403 -1638 -1402
-rect 293600 -1403 293900 -1402
-rect -2408 -1572 -2108 -1571
-rect 294070 -1572 294370 -1571
-rect -2408 -1583 294370 -1572
-rect -2408 -1701 -2317 -1583
-rect -2199 -1701 294161 -1583
-rect 294279 -1701 294370 -1583
-rect -2408 -1743 294370 -1701
-rect -2408 -1861 -2317 -1743
-rect -2199 -1861 294161 -1743
-rect 294279 -1861 294370 -1743
-rect -2408 -1872 294370 -1861
-rect -2408 -1873 -2108 -1872
-rect 294070 -1873 294370 -1872
-rect -2878 -2042 -2578 -2041
-rect 294540 -2042 294840 -2041
-rect -2878 -2053 294840 -2042
-rect -2878 -2171 -2787 -2053
-rect -2669 -2171 294631 -2053
-rect 294749 -2171 294840 -2053
-rect -2878 -2213 294840 -2171
-rect -2878 -2331 -2787 -2213
-rect -2669 -2331 294631 -2213
-rect 294749 -2331 294840 -2213
-rect -2878 -2342 294840 -2331
-rect -2878 -2343 -2578 -2342
-rect 294540 -2343 294840 -2342
-rect -3348 -2512 -3048 -2511
-rect 295010 -2512 295310 -2511
-rect -3348 -2523 295310 -2512
-rect -3348 -2641 -3257 -2523
-rect -3139 -2641 295101 -2523
-rect 295219 -2641 295310 -2523
-rect -3348 -2683 295310 -2641
-rect -3348 -2801 -3257 -2683
-rect -3139 -2801 295101 -2683
-rect 295219 -2801 295310 -2683
-rect -3348 -2812 295310 -2801
-rect -3348 -2813 -3048 -2812
-rect 295010 -2813 295310 -2812
-rect -3818 -2982 -3518 -2981
-rect 295480 -2982 295780 -2981
-rect -3818 -2993 295780 -2982
-rect -3818 -3111 -3727 -2993
-rect -3609 -3111 295571 -2993
-rect 295689 -3111 295780 -2993
-rect -3818 -3153 295780 -3111
-rect -3818 -3271 -3727 -3153
-rect -3609 -3271 295571 -3153
-rect 295689 -3271 295780 -3153
-rect -3818 -3282 295780 -3271
-rect -3818 -3283 -3518 -3282
-rect 295480 -3283 295780 -3282
-rect -4288 -3452 -3988 -3451
-rect 295950 -3452 296250 -3451
-rect -4288 -3463 296250 -3452
-rect -4288 -3581 -4197 -3463
-rect -4079 -3581 296041 -3463
-rect 296159 -3581 296250 -3463
-rect -4288 -3623 296250 -3581
-rect -4288 -3741 -4197 -3623
-rect -4079 -3741 296041 -3623
-rect 296159 -3741 296250 -3623
-rect -4288 -3752 296250 -3741
-rect -4288 -3753 -3988 -3752
-rect 295950 -3753 296250 -3752
+rect 8097 351150 10597 352000
+rect 34097 351150 36597 352000
+rect 60097 351150 62597 352000
+rect 82797 351150 85297 352000
+rect 85447 351150 86547 352000
+rect 86697 351150 87797 352000
+rect 87947 351150 90447 352000
+rect 108647 351150 111147 352000
+rect 111297 351150 112397 352000
+rect 112547 351150 113647 352000
+rect 113797 351150 116297 352000
+rect 159497 351150 161997 352000
+rect 162147 351150 163247 352000
+rect 163397 351150 164497 352000
+rect 164647 351150 167147 352000
+rect 206697 351150 209197 352000
+rect 232697 351150 235197 352000
+rect 255297 351170 257697 352000
+rect 260297 351170 262697 352000
+rect 283297 351150 285797 352000
+rect 0 340121 850 342621
+rect 291150 338992 292000 341492
+rect 0 321921 830 324321
+rect 291170 319892 292000 322292
+rect 0 316921 830 319321
+rect 291170 314892 292000 317292
+rect 291760 294736 292400 294792
+rect 291760 294145 292400 294201
+rect 291760 293554 292400 293610
+rect 291760 292963 292400 293019
+rect 291760 292372 292400 292428
+rect 291760 291781 292400 291837
+rect 0 279721 830 282121
+rect 0 274721 830 277121
+rect 291170 275281 292000 277681
+rect 291170 270281 292000 272681
+rect -400 255765 240 255821
+rect -400 255174 240 255230
+rect -400 254583 240 254639
+rect -400 253992 240 254048
+rect -400 253401 240 253457
+rect -400 252810 240 252866
+rect 291760 250025 292400 250081
+rect 291760 249434 292400 249490
+rect 291760 248843 292400 248899
+rect 291760 248252 292400 248308
+rect 291760 247661 292400 247717
+rect 291760 247070 292400 247126
+rect -400 234154 240 234210
+rect -400 233563 240 233619
+rect -400 232972 240 233028
+rect -400 232381 240 232437
+rect -400 231790 240 231846
+rect -400 231199 240 231255
+rect 291760 227814 292400 227870
+rect 291760 227223 292400 227279
+rect 291760 226632 292400 226688
+rect 291760 226041 292400 226097
+rect 291760 225450 292400 225506
+rect 291760 224859 292400 224915
+rect -400 212543 240 212599
+rect -400 211952 240 212008
+rect -400 211361 240 211417
+rect -400 210770 240 210826
+rect -400 210179 240 210235
+rect -400 209588 240 209644
+rect 291760 205603 292400 205659
+rect 291760 205012 292400 205068
+rect 291760 204421 292400 204477
+rect 291760 203830 292400 203886
+rect 291760 203239 292400 203295
+rect 291760 202648 292400 202704
+rect -400 190932 240 190988
+rect -400 190341 240 190397
+rect -400 189750 240 189806
+rect -400 189159 240 189215
+rect -400 188568 240 188624
+rect -400 187977 240 188033
+rect 291760 182392 292400 182448
+rect 291760 181801 292400 181857
+rect 291760 181210 292400 181266
+rect 291760 180619 292400 180675
+rect 291760 180028 292400 180084
+rect 291760 179437 292400 179493
+rect -400 169321 240 169377
+rect -400 168730 240 168786
+rect -400 168139 240 168195
+rect -400 167548 240 167604
+rect -400 166957 240 167013
+rect -400 166366 240 166422
+rect 291760 159781 292400 159837
+rect 291760 159190 292400 159246
+rect 291760 158599 292400 158655
+rect 291760 158008 292400 158064
+rect 291760 157417 292400 157473
+rect 291760 156826 292400 156882
+rect -400 147710 240 147766
+rect -400 147119 240 147175
+rect -400 146528 240 146584
+rect -400 145937 240 145993
+rect -400 145346 240 145402
+rect -400 144755 240 144811
+rect 291760 137570 292400 137626
+rect 291760 136979 292400 137035
+rect 291760 136388 292400 136444
+rect 291760 135797 292400 135853
+rect 291760 135206 292400 135262
+rect 291760 134615 292400 134671
+rect -400 126199 240 126255
+rect -400 125608 240 125664
+rect -400 125017 240 125073
+rect -400 124426 240 124482
+rect -400 123835 240 123891
+rect -400 123244 240 123300
+rect 291170 117615 292000 120015
+rect 291170 112615 292000 115015
+rect 0 107444 830 109844
+rect 0 102444 830 104844
+rect 291170 95715 292000 98115
+rect 291170 90715 292000 93115
+rect 0 86444 830 88844
+rect 0 81444 830 83844
+rect 291170 73415 292000 75815
+rect 291170 68415 292000 70815
+rect -400 62388 240 62444
+rect -400 61797 240 61853
+rect -400 61206 240 61262
+rect -400 60615 240 60671
+rect -400 60024 240 60080
+rect -400 59433 240 59489
+rect 291760 47559 292400 47615
+rect 291760 46968 292400 47024
+rect 291760 46377 292400 46433
+rect 291760 45786 292400 45842
+rect -400 40777 240 40833
+rect -400 40186 240 40242
+rect -400 39595 240 39651
+rect -400 39004 240 39060
+rect -400 38413 240 38469
+rect -400 37822 240 37878
+rect 291760 25230 292400 25286
+rect 291760 24639 292400 24695
+rect 291760 24048 292400 24104
+rect 291760 23457 292400 23513
+rect -400 19166 240 19222
+rect -400 18575 240 18631
+rect -400 17984 240 18040
+rect -400 17393 240 17449
+rect -400 16802 240 16858
+rect -400 16211 240 16267
+rect 291760 12001 292400 12057
+rect 291760 11410 292400 11466
+rect 291760 10819 292400 10875
+rect 291760 10228 292400 10284
+rect 291760 9637 292400 9693
+rect 291760 9046 292400 9102
+rect -400 8455 240 8511
+rect 291760 8455 292400 8511
+rect -400 7864 240 7920
+rect 291760 7864 292400 7920
+rect -400 7273 240 7329
+rect 291760 7273 292400 7329
+rect -400 6682 240 6738
+rect 291760 6682 292400 6738
+rect -400 6091 240 6147
+rect 291760 6091 292400 6147
+rect -400 5500 240 5556
+rect 291760 5500 292400 5556
+rect -400 4909 240 4965
+rect 291760 4909 292400 4965
+rect -400 4318 240 4374
+rect 291760 4318 292400 4374
+rect -400 3727 240 3783
+rect 291760 3727 292400 3783
+rect -400 3136 240 3192
+rect 291760 3136 292400 3192
+rect -400 2545 240 2601
+rect 291760 2545 292400 2601
+rect -400 1954 240 2010
+rect 291760 1954 292400 2010
+rect -400 1363 240 1419
+rect 291760 1363 292400 1419
+rect -400 772 240 828
+rect 291760 772 292400 828
+<< comment >>
+rect -50 352000 292050 352050
+rect -50 0 0 352000
+rect 292000 0 292050 352000
+rect -50 -50 292050 0
 << labels >>
-rlabel metal3 s 291760 141210 292480 141330 6 gpio_analog[0]
+flabel metal3 s 291760 134615 292400 134671 0 FreeSans 560 0 0 0 gpio_analog[0]
 port 0 nsew signal bidirectional
-rlabel metal3 s -480 279114 240 279234 4 gpio_analog[10]
+flabel metal3 s -400 190932 240 190988 0 FreeSans 560 0 0 0 gpio_analog[10]
 port 1 nsew signal bidirectional
-rlabel metal3 s -480 250962 240 251082 4 gpio_analog[11]
+flabel metal3 s -400 169321 240 169377 0 FreeSans 560 0 0 0 gpio_analog[11]
 port 2 nsew signal bidirectional
-rlabel metal3 s -480 222810 240 222930 4 gpio_analog[12]
+flabel metal3 s -400 147710 240 147766 0 FreeSans 560 0 0 0 gpio_analog[12]
 port 3 nsew signal bidirectional
-rlabel metal3 s -480 194658 240 194778 4 gpio_analog[13]
+flabel metal3 s -400 126199 240 126255 0 FreeSans 560 0 0 0 gpio_analog[13]
 port 4 nsew signal bidirectional
-rlabel metal3 s -480 166506 240 166626 4 gpio_analog[14]
+flabel metal3 s -400 62388 240 62444 0 FreeSans 560 0 0 0 gpio_analog[14]
 port 5 nsew signal bidirectional
-rlabel metal3 s -480 138354 240 138474 4 gpio_analog[15]
+flabel metal3 s -400 40777 240 40833 0 FreeSans 560 0 0 0 gpio_analog[15]
 port 6 nsew signal bidirectional
-rlabel metal3 s -480 110202 240 110322 4 gpio_analog[16]
+flabel metal3 s -400 19166 240 19222 0 FreeSans 560 0 0 0 gpio_analog[16]
 port 7 nsew signal bidirectional
-rlabel metal3 s -480 82050 240 82170 4 gpio_analog[17]
+flabel metal3 s -400 8455 240 8511 0 FreeSans 560 0 0 0 gpio_analog[17]
 port 8 nsew signal bidirectional
-rlabel metal3 s 291760 170926 292480 171046 6 gpio_analog[1]
+flabel metal3 s 291760 156826 292400 156882 0 FreeSans 560 0 0 0 gpio_analog[1]
 port 9 nsew signal bidirectional
-rlabel metal3 s 291760 200710 292480 200830 6 gpio_analog[2]
+flabel metal3 s 291760 179437 292400 179493 0 FreeSans 560 0 0 0 gpio_analog[2]
 port 10 nsew signal bidirectional
-rlabel metal3 s 291760 230426 292480 230546 6 gpio_analog[3]
+flabel metal3 s 291760 202648 292400 202704 0 FreeSans 560 0 0 0 gpio_analog[3]
 port 11 nsew signal bidirectional
-rlabel metal3 s 291760 260142 292480 260262 6 gpio_analog[4]
+flabel metal3 s 291760 224859 292400 224915 0 FreeSans 560 0 0 0 gpio_analog[4]
 port 12 nsew signal bidirectional
-rlabel metal3 s 291760 289926 292480 290046 6 gpio_analog[5]
+flabel metal3 s 291760 247070 292400 247126 0 FreeSans 560 0 0 0 gpio_analog[5]
 port 13 nsew signal bidirectional
-rlabel metal3 s 291760 319642 292480 319762 6 gpio_analog[6]
+flabel metal3 s 291760 291781 292400 291837 0 FreeSans 560 0 0 0 gpio_analog[6]
 port 14 nsew signal bidirectional
-rlabel metal3 s -480 344802 240 344922 4 gpio_analog[7]
+flabel metal3 s -400 255765 240 255821 0 FreeSans 560 0 0 0 gpio_analog[7]
 port 15 nsew signal bidirectional
-rlabel metal3 s -480 335418 240 335538 4 gpio_analog[8]
+flabel metal3 s -400 234154 240 234210 0 FreeSans 560 0 0 0 gpio_analog[8]
 port 16 nsew signal bidirectional
-rlabel metal3 s -480 307266 240 307386 4 gpio_analog[9]
+flabel metal3 s -400 212543 240 212599 0 FreeSans 560 0 0 0 gpio_analog[9]
 port 17 nsew signal bidirectional
-rlabel metal3 s 291760 146174 292480 146294 6 gpio_noesd[0]
+flabel metal3 s 291760 135206 292400 135262 0 FreeSans 560 0 0 0 gpio_noesd[0]
 port 18 nsew signal bidirectional
-rlabel metal3 s -480 274422 240 274542 4 gpio_noesd[10]
+flabel metal3 s -400 190341 240 190397 0 FreeSans 560 0 0 0 gpio_noesd[10]
 port 19 nsew signal bidirectional
-rlabel metal3 s -480 246270 240 246390 4 gpio_noesd[11]
+flabel metal3 s -400 168730 240 168786 0 FreeSans 560 0 0 0 gpio_noesd[11]
 port 20 nsew signal bidirectional
-rlabel metal3 s -480 218118 240 218238 4 gpio_noesd[12]
+flabel metal3 s -400 147119 240 147175 0 FreeSans 560 0 0 0 gpio_noesd[12]
 port 21 nsew signal bidirectional
-rlabel metal3 s -480 189966 240 190086 4 gpio_noesd[13]
+flabel metal3 s -400 125608 240 125664 0 FreeSans 560 0 0 0 gpio_noesd[13]
 port 22 nsew signal bidirectional
-rlabel metal3 s -480 161814 240 161934 4 gpio_noesd[14]
+flabel metal3 s -400 61797 240 61853 0 FreeSans 560 0 0 0 gpio_noesd[14]
 port 23 nsew signal bidirectional
-rlabel metal3 s -480 133662 240 133782 4 gpio_noesd[15]
+flabel metal3 s -400 40186 240 40242 0 FreeSans 560 0 0 0 gpio_noesd[15]
 port 24 nsew signal bidirectional
-rlabel metal3 s -480 105510 240 105630 4 gpio_noesd[16]
+flabel metal3 s -400 18575 240 18631 0 FreeSans 560 0 0 0 gpio_noesd[16]
 port 25 nsew signal bidirectional
-rlabel metal3 s -480 77358 240 77478 4 gpio_noesd[17]
+flabel metal3 s -400 7864 240 7920 0 FreeSans 560 0 0 0 gpio_noesd[17]
 port 26 nsew signal bidirectional
-rlabel metal3 s 291760 175890 292480 176010 6 gpio_noesd[1]
+flabel metal3 s 291760 157417 292400 157473 0 FreeSans 560 0 0 0 gpio_noesd[1]
 port 27 nsew signal bidirectional
-rlabel metal3 s 291760 205606 292480 205726 6 gpio_noesd[2]
+flabel metal3 s 291760 180028 292400 180084 0 FreeSans 560 0 0 0 gpio_noesd[2]
 port 28 nsew signal bidirectional
-rlabel metal3 s 291760 235390 292480 235510 6 gpio_noesd[3]
+flabel metal3 s 291760 203239 292400 203295 0 FreeSans 560 0 0 0 gpio_noesd[3]
 port 29 nsew signal bidirectional
-rlabel metal3 s 291760 265106 292480 265226 6 gpio_noesd[4]
+flabel metal3 s 291760 225450 292400 225506 0 FreeSans 560 0 0 0 gpio_noesd[4]
 port 30 nsew signal bidirectional
-rlabel metal3 s 291760 294890 292480 295010 6 gpio_noesd[5]
+flabel metal3 s 291760 247661 292400 247717 0 FreeSans 560 0 0 0 gpio_noesd[5]
 port 31 nsew signal bidirectional
-rlabel metal3 s 291760 324606 292480 324726 6 gpio_noesd[6]
+flabel metal3 s 291760 292372 292400 292428 0 FreeSans 560 0 0 0 gpio_noesd[6]
 port 32 nsew signal bidirectional
-rlabel metal3 s -480 340110 240 340230 4 gpio_noesd[7]
+flabel metal3 s -400 255174 240 255230 0 FreeSans 560 0 0 0 gpio_noesd[7]
 port 33 nsew signal bidirectional
-rlabel metal3 s -480 330726 240 330846 4 gpio_noesd[8]
+flabel metal3 s -400 233563 240 233619 0 FreeSans 560 0 0 0 gpio_noesd[8]
 port 34 nsew signal bidirectional
-rlabel metal3 s -480 302574 240 302694 4 gpio_noesd[9]
+flabel metal3 s -400 211952 240 212008 0 FreeSans 560 0 0 0 gpio_noesd[9]
 port 35 nsew signal bidirectional
-rlabel metal3 s 291760 349426 292480 349546 6 io_analog[0]
+flabel metal3 s 291150 338992 292000 341492 0 FreeSans 560 0 0 0 io_analog[0]
 port 36 nsew signal bidirectional
-rlabel metal3 s -480 349494 240 349614 4 io_analog[10]
+flabel metal3 s 0 340121 850 342621 0 FreeSans 560 0 0 0 io_analog[10]
 port 37 nsew signal bidirectional
-rlabel metal2 s 282205 351760 282261 352480 6 io_analog[1]
+flabel metal3 s 283297 351150 285797 352000 0 FreeSans 960 180 0 0 io_analog[1]
 port 38 nsew signal bidirectional
-rlabel metal2 s 262747 351760 262803 352480 6 io_analog[2]
+flabel metal3 s 232697 351150 235197 352000 0 FreeSans 960 180 0 0 io_analog[2]
 port 39 nsew signal bidirectional
-rlabel metal2 s 243289 351760 243345 352480 6 io_analog[3]
+flabel metal3 s 206697 351150 209197 352000 0 FreeSans 960 180 0 0 io_analog[3]
 port 40 nsew signal bidirectional
-rlabel metal2 s 184869 351760 184925 352480 6 io_analog[4]
+flabel metal3 s 164647 351150 167147 352000 0 FreeSans 960 180 0 0 io_analog[4]
 port 41 nsew signal bidirectional
-rlabel metal2 s 126495 351760 126551 352480 6 io_analog[5]
+flabel metal3 s 113797 351150 116297 352000 0 FreeSans 960 180 0 0 io_analog[5]
 port 42 nsew signal bidirectional
-rlabel metal2 s 68075 351760 68131 352480 6 io_analog[6]
+flabel metal3 s 87947 351150 90447 352000 0 FreeSans 960 180 0 0 io_analog[6]
 port 43 nsew signal bidirectional
-rlabel metal2 s 48617 351760 48673 352480 6 io_analog[7]
+flabel metal3 s 60097 351150 62597 352000 0 FreeSans 960 180 0 0 io_analog[7]
 port 44 nsew signal bidirectional
-rlabel metal2 s 29159 351760 29215 352480 6 io_analog[8]
+flabel metal3 s 34097 351150 36597 352000 0 FreeSans 960 180 0 0 io_analog[8]
 port 45 nsew signal bidirectional
-rlabel metal2 s 9701 351760 9757 352480 6 io_analog[9]
+flabel metal3 s 8097 351150 10597 352000 0 FreeSans 960 180 0 0 io_analog[9]
 port 46 nsew signal bidirectional
-rlabel metal2 s 223831 351760 223887 352480 6 io_clamp_high[0]
+flabel metal3 s 159497 351150 161997 352000 0 FreeSans 960 180 0 0 io_analog[4]
 port 47 nsew signal bidirectional
-rlabel metal2 s 165411 351760 165467 352480 6 io_clamp_high[1]
+flabel metal3 s 108647 351150 111147 352000 0 FreeSans 960 180 0 0 io_analog[5]
 port 48 nsew signal bidirectional
-rlabel metal2 s 107037 351760 107093 352480 6 io_clamp_high[2]
+flabel metal3 s 82797 351150 85297 352000 0 FreeSans 960 180 0 0 io_analog[6]
 port 49 nsew signal bidirectional
-rlabel metal2 s 204373 351760 204429 352480 6 io_clamp_low[0]
+flabel metal3 s 163397 351150 164497 352000 0 FreeSans 960 180 0 0 io_clamp_high[0]
 port 50 nsew signal bidirectional
-rlabel metal2 s 145953 351760 146009 352480 6 io_clamp_low[1]
+flabel metal3 s 112547 351150 113647 352000 0 FreeSans 960 180 0 0 io_clamp_high[1]
 port 51 nsew signal bidirectional
-rlabel metal2 s 87533 351760 87589 352480 6 io_clamp_low[2]
+flabel metal3 s 86697 351150 87797 352000 0 FreeSans 960 180 0 0 io_clamp_high[2]
 port 52 nsew signal bidirectional
-rlabel metal3 s 291760 7318 292480 7438 6 io_in[0]
-port 53 nsew signal input
-rlabel metal3 s 291760 12282 292480 12402 6 io_out[0]
-port 54 nsew signal tristate
-rlabel metal3 s 291760 245318 292480 245438 6 io_in[10]
-port 55 nsew signal input
-rlabel metal3 s 291760 250282 292480 250402 6 io_out[10]
-port 56 nsew signal tristate
-rlabel metal3 s 291760 275034 292480 275154 6 io_in[11]
+flabel metal3 s 162147 351150 163247 352000 0 FreeSans 960 180 0 0 io_clamp_low[0]
+port 53 nsew signal bidirectional
+flabel metal3 s 111297 351150 112397 352000 0 FreeSans 960 180 0 0 io_clamp_low[1]
+port 54 nsew signal bidirectional
+flabel metal3 s 85447 351150 86547 352000 0 FreeSans 960 180 0 0 io_clamp_low[2]
+port 55 nsew signal bidirectional
+flabel metal3 s 291760 1363 292400 1419 0 FreeSans 560 0 0 0 io_in[0]
+port 56 nsew signal input
+flabel metal3 s 291760 204421 292400 204477 0 FreeSans 560 0 0 0 io_in[10]
 port 57 nsew signal input
-rlabel metal3 s 291760 279998 292480 280118 6 io_out[11]
-port 58 nsew signal tristate
-rlabel metal3 s 291760 304750 292480 304870 6 io_in[12]
+flabel metal3 s 291760 226632 292400 226688 0 FreeSans 560 0 0 0 io_in[11]
+port 58 nsew signal input
+flabel metal3 s 291760 248843 292400 248899 0 FreeSans 560 0 0 0 io_in[12]
 port 59 nsew signal input
-rlabel metal3 s 291760 309714 292480 309834 6 io_out[12]
-port 60 nsew signal tristate
-rlabel metal3 s 291760 334534 292480 334654 6 io_in[13]
+flabel metal3 s 291760 293554 292400 293610 0 FreeSans 560 0 0 0 io_in[13]
+port 60 nsew signal input
+flabel metal3 s -400 253992 240 254048 0 FreeSans 560 0 0 0 io_in[14]
 port 61 nsew signal input
-rlabel metal3 s 291760 339498 292480 339618 6 io_out[13]
-port 62 nsew signal tristate
-rlabel metal3 s -480 321342 240 321462 4 io_in[14]
+flabel metal3 s -400 232381 240 232437 0 FreeSans 560 0 0 0 io_in[15]
+port 62 nsew signal input
+flabel metal3 s -400 210770 240 210826 0 FreeSans 560 0 0 0 io_in[16]
 port 63 nsew signal input
-rlabel metal3 s -480 316650 240 316770 4 io_out[14]
-port 64 nsew signal tristate
-rlabel metal3 s -480 293190 240 293310 4 io_in[15]
+flabel metal3 s -400 189159 240 189215 0 FreeSans 560 0 0 0 io_in[17]
+port 64 nsew signal input
+flabel metal3 s -400 167548 240 167604 0 FreeSans 560 0 0 0 io_in[18]
 port 65 nsew signal input
-rlabel metal3 s -480 288498 240 288618 4 io_out[15]
-port 66 nsew signal tristate
-rlabel metal3 s -480 265038 240 265158 4 io_in[16]
+flabel metal3 s -400 145937 240 145993 0 FreeSans 560 0 0 0 io_in[19]
+port 66 nsew signal input
+flabel metal3 s 291760 3727 292400 3783 0 FreeSans 560 0 0 0 io_in[1]
 port 67 nsew signal input
-rlabel metal3 s -480 260346 240 260466 4 io_out[16]
-port 68 nsew signal tristate
-rlabel metal3 s -480 236886 240 237006 4 io_in[17]
+flabel metal3 s -400 124426 240 124482 0 FreeSans 560 0 0 0 io_in[20]
+port 68 nsew signal input
+flabel metal3 s -400 60615 240 60671 0 FreeSans 560 0 0 0 io_in[21]
 port 69 nsew signal input
-rlabel metal3 s -480 232194 240 232314 4 io_out[17]
-port 70 nsew signal tristate
-rlabel metal3 s -480 208734 240 208854 4 io_in[18]
+flabel metal3 s -400 39004 240 39060 0 FreeSans 560 0 0 0 io_in[22]
+port 70 nsew signal input
+flabel metal3 s -400 17393 240 17449 0 FreeSans 560 0 0 0 io_in[23]
 port 71 nsew signal input
-rlabel metal3 s -480 204042 240 204162 4 io_out[18]
-port 72 nsew signal tristate
-rlabel metal3 s -480 180582 240 180702 4 io_in[19]
+flabel metal3 s -400 6682 240 6738 0 FreeSans 560 0 0 0 io_in[24]
+port 72 nsew signal input
+flabel metal3 s -400 4318 240 4374 0 FreeSans 560 0 0 0 io_in[25]
 port 73 nsew signal input
-rlabel metal3 s -480 175890 240 176010 4 io_out[19]
-port 74 nsew signal tristate
-rlabel metal3 s 291760 27174 292480 27294 6 io_in[1]
+flabel metal3 s -400 1954 240 2010 0 FreeSans 560 0 0 0 io_in[26]
+port 74 nsew signal input
+flabel metal3 s 291760 6091 292400 6147 0 FreeSans 560 0 0 0 io_in[2]
 port 75 nsew signal input
-rlabel metal3 s 291760 32138 292480 32258 6 io_out[1]
-port 76 nsew signal tristate
-rlabel metal3 s -480 152430 240 152550 4 io_in[20]
+flabel metal3 s 291760 8455 292400 8511 0 FreeSans 560 0 0 0 io_in[3]
+port 76 nsew signal input
+flabel metal3 s 291760 10819 292400 10875 0 FreeSans 560 0 0 0 io_in[4]
 port 77 nsew signal input
-rlabel metal3 s -480 147738 240 147858 4 io_out[20]
-port 78 nsew signal tristate
-rlabel metal3 s -480 124278 240 124398 4 io_in[21]
+flabel metal3 s 291760 24048 292400 24104 0 FreeSans 560 0 0 0 io_in[5]
+port 78 nsew signal input
+flabel metal3 s 291760 46377 292400 46433 0 FreeSans 560 0 0 0 io_in[6]
 port 79 nsew signal input
-rlabel metal3 s -480 119586 240 119706 4 io_out[21]
-port 80 nsew signal tristate
-rlabel metal3 s -480 96126 240 96246 4 io_in[22]
+flabel metal3 s 291760 136388 292400 136444 0 FreeSans 560 0 0 0 io_in[7]
+port 80 nsew signal input
+flabel metal3 s 291760 158599 292400 158655 0 FreeSans 560 0 0 0 io_in[8]
 port 81 nsew signal input
-rlabel metal3 s -480 91434 240 91554 4 io_out[22]
-port 82 nsew signal tristate
-rlabel metal3 s -480 67974 240 68094 4 io_in[23]
+flabel metal3 s 291760 181210 292400 181266 0 FreeSans 560 0 0 0 io_in[9]
+port 82 nsew signal input
+flabel metal3 s 291760 772 292400 828 0 FreeSans 560 0 0 0 io_in_3v3[0]
 port 83 nsew signal input
-rlabel metal3 s -480 63282 240 63402 4 io_out[23]
-port 84 nsew signal tristate
-rlabel metal3 s -480 49206 240 49326 4 io_in[24]
+flabel metal3 s 291760 203830 292400 203886 0 FreeSans 560 0 0 0 io_in_3v3[10]
+port 84 nsew signal input
+flabel metal3 s 291760 226041 292400 226097 0 FreeSans 560 0 0 0 io_in_3v3[11]
 port 85 nsew signal input
-rlabel metal3 s -480 44514 240 44634 4 io_out[24]
-port 86 nsew signal tristate
-rlabel metal3 s -480 30438 240 30558 4 io_in[25]
+flabel metal3 s 291760 248252 292400 248308 0 FreeSans 560 0 0 0 io_in_3v3[12]
+port 86 nsew signal input
+flabel metal3 s 291760 292963 292400 293019 0 FreeSans 560 0 0 0 io_in_3v3[13]
 port 87 nsew signal input
-rlabel metal3 s -480 25746 240 25866 4 io_out[25]
-port 88 nsew signal tristate
-rlabel metal3 s -480 11670 240 11790 4 io_in[26]
+flabel metal3 s -400 254583 240 254639 0 FreeSans 560 0 0 0 io_in_3v3[14]
+port 88 nsew signal input
+flabel metal3 s -400 232972 240 233028 0 FreeSans 560 0 0 0 io_in_3v3[15]
 port 89 nsew signal input
-rlabel metal3 s -480 6978 240 7098 4 io_out[26]
-port 90 nsew signal tristate
-rlabel metal3 s 291760 47030 292480 47150 6 io_in[2]
+flabel metal3 s -400 211361 240 211417 0 FreeSans 560 0 0 0 io_in_3v3[16]
+port 90 nsew signal input
+flabel metal3 s -400 189750 240 189806 0 FreeSans 560 0 0 0 io_in_3v3[17]
 port 91 nsew signal input
-rlabel metal3 s 291760 51994 292480 52114 6 io_out[2]
-port 92 nsew signal tristate
-rlabel metal3 s 291760 66818 292480 66938 6 io_in[3]
+flabel metal3 s -400 168139 240 168195 0 FreeSans 560 0 0 0 io_in_3v3[18]
+port 92 nsew signal input
+flabel metal3 s -400 146528 240 146584 0 FreeSans 560 0 0 0 io_in_3v3[19]
 port 93 nsew signal input
-rlabel metal3 s 291760 71782 292480 71902 6 io_out[3]
-port 94 nsew signal tristate
-rlabel metal3 s 291760 86674 292480 86794 6 io_in[4]
+flabel metal3 s 291760 3136 292400 3192 0 FreeSans 560 0 0 0 io_in_3v3[1]
+port 94 nsew signal input
+flabel metal3 s -400 125017 240 125073 0 FreeSans 560 0 0 0 io_in_3v3[20]
 port 95 nsew signal input
-rlabel metal3 s 291760 91638 292480 91758 6 io_out[4]
-port 96 nsew signal tristate
-rlabel metal3 s 291760 106462 292480 106582 6 io_in[5]
+flabel metal3 s -400 61206 240 61262 0 FreeSans 560 0 0 0 io_in_3v3[21]
+port 96 nsew signal input
+flabel metal3 s -400 39595 240 39651 0 FreeSans 560 0 0 0 io_in_3v3[22]
 port 97 nsew signal input
-rlabel metal3 s 291760 111426 292480 111546 6 io_out[5]
-port 98 nsew signal tristate
-rlabel metal3 s 291760 126318 292480 126438 6 io_in[6]
+flabel metal3 s -400 17984 240 18040 0 FreeSans 560 0 0 0 io_in_3v3[23]
+port 98 nsew signal input
+flabel metal3 s -400 7273 240 7329 0 FreeSans 560 0 0 0 io_in_3v3[24]
 port 99 nsew signal input
-rlabel metal3 s 291760 131282 292480 131402 6 io_out[6]
-port 100 nsew signal tristate
-rlabel metal3 s 291760 156034 292480 156154 6 io_in[7]
+flabel metal3 s -400 4909 240 4965 0 FreeSans 560 0 0 0 io_in_3v3[25]
+port 100 nsew signal input
+flabel metal3 s -400 2545 240 2601 0 FreeSans 560 0 0 0 io_in_3v3[26]
 port 101 nsew signal input
-rlabel metal3 s 291760 160998 292480 161118 6 io_out[7]
-port 102 nsew signal tristate
-rlabel metal3 s 291760 185818 292480 185938 6 io_in[8]
+flabel metal3 s 291760 5500 292400 5556 0 FreeSans 560 0 0 0 io_in_3v3[2]
+port 102 nsew signal input
+flabel metal3 s 291760 7864 292400 7920 0 FreeSans 560 0 0 0 io_in_3v3[3]
 port 103 nsew signal input
-rlabel metal3 s 291760 190782 292480 190902 6 io_out[8]
-port 104 nsew signal tristate
-rlabel metal3 s 291760 215534 292480 215654 6 io_in[9]
+flabel metal3 s 291760 10228 292400 10284 0 FreeSans 560 0 0 0 io_in_3v3[4]
+port 104 nsew signal input
+flabel metal3 s 291760 23457 292400 23513 0 FreeSans 560 0 0 0 io_in_3v3[5]
 port 105 nsew signal input
-rlabel metal3 s 291760 220498 292480 220618 6 io_out[9]
-port 106 nsew signal tristate
-rlabel metal3 s 291760 2422 292480 2542 6 io_in_3v3[0]
+flabel metal3 s 291760 45786 292400 45842 0 FreeSans 560 0 0 0 io_in_3v3[6]
+port 106 nsew signal input
+flabel metal3 s 291760 135797 292400 135853 0 FreeSans 560 0 0 0 io_in_3v3[7]
 port 107 nsew signal input
-rlabel metal3 s 291760 240354 292480 240474 6 io_in_3v3[10]
+flabel metal3 s 291760 158008 292400 158064 0 FreeSans 560 0 0 0 io_in_3v3[8]
 port 108 nsew signal input
-rlabel metal3 s 291760 270070 292480 270190 6 io_in_3v3[11]
+flabel metal3 s 291760 180619 292400 180675 0 FreeSans 560 0 0 0 io_in_3v3[9]
 port 109 nsew signal input
-rlabel metal3 s 291760 299854 292480 299974 6 io_in_3v3[12]
-port 110 nsew signal input
-rlabel metal3 s 291760 329570 292480 329690 6 io_in_3v3[13]
-port 111 nsew signal input
-rlabel metal3 s -480 326034 240 326154 4 io_in_3v3[14]
-port 112 nsew signal input
-rlabel metal3 s -480 297882 240 298002 4 io_in_3v3[15]
-port 113 nsew signal input
-rlabel metal3 s -480 269730 240 269850 4 io_in_3v3[16]
-port 114 nsew signal input
-rlabel metal3 s -480 241578 240 241698 4 io_in_3v3[17]
-port 115 nsew signal input
-rlabel metal3 s -480 213426 240 213546 4 io_in_3v3[18]
-port 116 nsew signal input
-rlabel metal3 s -480 185274 240 185394 4 io_in_3v3[19]
-port 117 nsew signal input
-rlabel metal3 s 291760 22210 292480 22330 6 io_in_3v3[1]
-port 118 nsew signal input
-rlabel metal3 s -480 157122 240 157242 4 io_in_3v3[20]
-port 119 nsew signal input
-rlabel metal3 s -480 128970 240 129090 4 io_in_3v3[21]
-port 120 nsew signal input
-rlabel metal3 s -480 100818 240 100938 4 io_in_3v3[22]
-port 121 nsew signal input
-rlabel metal3 s -480 72666 240 72786 4 io_in_3v3[23]
-port 122 nsew signal input
-rlabel metal3 s -480 53898 240 54018 4 io_in_3v3[24]
-port 123 nsew signal input
-rlabel metal3 s -480 35130 240 35250 4 io_in_3v3[25]
-port 124 nsew signal input
-rlabel metal3 s -480 16362 240 16482 4 io_in_3v3[26]
-port 125 nsew signal input
-rlabel metal3 s 291760 42066 292480 42186 6 io_in_3v3[2]
-port 126 nsew signal input
-rlabel metal3 s 291760 61854 292480 61974 6 io_in_3v3[3]
-port 127 nsew signal input
-rlabel metal3 s 291760 81710 292480 81830 6 io_in_3v3[4]
-port 128 nsew signal input
-rlabel metal3 s 291760 101566 292480 101686 6 io_in_3v3[5]
-port 129 nsew signal input
-rlabel metal3 s 291760 121354 292480 121474 6 io_in_3v3[6]
-port 130 nsew signal input
-rlabel metal3 s 291760 151138 292480 151258 6 io_in_3v3[7]
-port 131 nsew signal input
-rlabel metal3 s 291760 180854 292480 180974 6 io_in_3v3[8]
-port 132 nsew signal input
-rlabel metal3 s 291760 210570 292480 210690 6 io_in_3v3[9]
-port 133 nsew signal input
-rlabel metal3 s 291760 17246 292480 17366 6 io_oeb[0]
+flabel metal3 s 291760 2545 292400 2601 0 FreeSans 560 0 0 0 io_oeb[0]
+port 110 nsew signal tristate
+flabel metal3 s 291760 205603 292400 205659 0 FreeSans 560 0 0 0 io_oeb[10]
+port 111 nsew signal tristate
+flabel metal3 s 291760 227814 292400 227870 0 FreeSans 560 0 0 0 io_oeb[11]
+port 112 nsew signal tristate
+flabel metal3 s 291760 250025 292400 250081 0 FreeSans 560 0 0 0 io_oeb[12]
+port 113 nsew signal tristate
+flabel metal3 s 291760 294736 292400 294792 0 FreeSans 560 0 0 0 io_oeb[13]
+port 114 nsew signal tristate
+flabel metal3 s -400 252810 240 252866 0 FreeSans 560 0 0 0 io_oeb[14]
+port 115 nsew signal tristate
+flabel metal3 s -400 231199 240 231255 0 FreeSans 560 0 0 0 io_oeb[15]
+port 116 nsew signal tristate
+flabel metal3 s -400 209588 240 209644 0 FreeSans 560 0 0 0 io_oeb[16]
+port 117 nsew signal tristate
+flabel metal3 s -400 187977 240 188033 0 FreeSans 560 0 0 0 io_oeb[17]
+port 118 nsew signal tristate
+flabel metal3 s -400 166366 240 166422 0 FreeSans 560 0 0 0 io_oeb[18]
+port 119 nsew signal tristate
+flabel metal3 s -400 144755 240 144811 0 FreeSans 560 0 0 0 io_oeb[19]
+port 120 nsew signal tristate
+flabel metal3 s 291760 4909 292400 4965 0 FreeSans 560 0 0 0 io_oeb[1]
+port 121 nsew signal tristate
+flabel metal3 s -400 123244 240 123300 0 FreeSans 560 0 0 0 io_oeb[20]
+port 122 nsew signal tristate
+flabel metal3 s -400 59433 240 59489 0 FreeSans 560 0 0 0 io_oeb[21]
+port 123 nsew signal tristate
+flabel metal3 s -400 37822 240 37878 0 FreeSans 560 0 0 0 io_oeb[22]
+port 124 nsew signal tristate
+flabel metal3 s -400 16211 240 16267 0 FreeSans 560 0 0 0 io_oeb[23]
+port 125 nsew signal tristate
+flabel metal3 s -400 5500 240 5556 0 FreeSans 560 0 0 0 io_oeb[24]
+port 126 nsew signal tristate
+flabel metal3 s -400 3136 240 3192 0 FreeSans 560 0 0 0 io_oeb[25]
+port 127 nsew signal tristate
+flabel metal3 s -400 772 240 828 0 FreeSans 560 0 0 0 io_oeb[26]
+port 128 nsew signal tristate
+flabel metal3 s 291760 7273 292400 7329 0 FreeSans 560 0 0 0 io_oeb[2]
+port 129 nsew signal tristate
+flabel metal3 s 291760 9637 292400 9693 0 FreeSans 560 0 0 0 io_oeb[3]
+port 130 nsew signal tristate
+flabel metal3 s 291760 12001 292400 12057 0 FreeSans 560 0 0 0 io_oeb[4]
+port 131 nsew signal tristate
+flabel metal3 s 291760 25230 292400 25286 0 FreeSans 560 0 0 0 io_oeb[5]
+port 132 nsew signal tristate
+flabel metal3 s 291760 47559 292400 47615 0 FreeSans 560 0 0 0 io_oeb[6]
+port 133 nsew signal tristate
+flabel metal3 s 291760 137570 292400 137626 0 FreeSans 560 0 0 0 io_oeb[7]
 port 134 nsew signal tristate
-rlabel metal3 s 291760 255178 292480 255298 6 io_oeb[10]
+flabel metal3 s 291760 159781 292400 159837 0 FreeSans 560 0 0 0 io_oeb[8]
 port 135 nsew signal tristate
-rlabel metal3 s 291760 284962 292480 285082 6 io_oeb[11]
+flabel metal3 s 291760 182392 292400 182448 0 FreeSans 560 0 0 0 io_oeb[9]
 port 136 nsew signal tristate
-rlabel metal3 s 291760 314678 292480 314798 6 io_oeb[12]
+flabel metal3 s 291760 1954 292400 2010 0 FreeSans 560 0 0 0 io_out[0]
 port 137 nsew signal tristate
-rlabel metal3 s 291760 344462 292480 344582 6 io_oeb[13]
+flabel metal3 s 291760 205012 292400 205068 0 FreeSans 560 0 0 0 io_out[10]
 port 138 nsew signal tristate
-rlabel metal3 s -480 311958 240 312078 4 io_oeb[14]
+flabel metal3 s 291760 227223 292400 227279 0 FreeSans 560 0 0 0 io_out[11]
 port 139 nsew signal tristate
-rlabel metal3 s -480 283806 240 283926 4 io_oeb[15]
+flabel metal3 s 291760 249434 292400 249490 0 FreeSans 560 0 0 0 io_out[12]
 port 140 nsew signal tristate
-rlabel metal3 s -480 255654 240 255774 4 io_oeb[16]
+flabel metal3 s 291760 294145 292400 294201 0 FreeSans 560 0 0 0 io_out[13]
 port 141 nsew signal tristate
-rlabel metal3 s -480 227502 240 227622 4 io_oeb[17]
+flabel metal3 s -400 253401 240 253457 0 FreeSans 560 0 0 0 io_out[14]
 port 142 nsew signal tristate
-rlabel metal3 s -480 199350 240 199470 4 io_oeb[18]
+flabel metal3 s -400 231790 240 231846 0 FreeSans 560 0 0 0 io_out[15]
 port 143 nsew signal tristate
-rlabel metal3 s -480 171198 240 171318 4 io_oeb[19]
+flabel metal3 s -400 210179 240 210235 0 FreeSans 560 0 0 0 io_out[16]
 port 144 nsew signal tristate
-rlabel metal3 s 291760 37102 292480 37222 6 io_oeb[1]
+flabel metal3 s -400 188568 240 188624 0 FreeSans 560 0 0 0 io_out[17]
 port 145 nsew signal tristate
-rlabel metal3 s -480 143046 240 143166 4 io_oeb[20]
+flabel metal3 s -400 166957 240 167013 0 FreeSans 560 0 0 0 io_out[18]
 port 146 nsew signal tristate
-rlabel metal3 s -480 114894 240 115014 4 io_oeb[21]
+flabel metal3 s -400 145346 240 145402 0 FreeSans 560 0 0 0 io_out[19]
 port 147 nsew signal tristate
-rlabel metal3 s -480 86742 240 86862 4 io_oeb[22]
+flabel metal3 s 291760 4318 292400 4374 0 FreeSans 560 0 0 0 io_out[1]
 port 148 nsew signal tristate
-rlabel metal3 s -480 58590 240 58710 4 io_oeb[23]
+flabel metal3 s -400 123835 240 123891 0 FreeSans 560 0 0 0 io_out[20]
 port 149 nsew signal tristate
-rlabel metal3 s -480 39822 240 39942 4 io_oeb[24]
+flabel metal3 s -400 60024 240 60080 0 FreeSans 560 0 0 0 io_out[21]
 port 150 nsew signal tristate
-rlabel metal3 s -480 21054 240 21174 4 io_oeb[25]
+flabel metal3 s -400 38413 240 38469 0 FreeSans 560 0 0 0 io_out[22]
 port 151 nsew signal tristate
-rlabel metal3 s -480 2286 240 2406 4 io_oeb[26]
+flabel metal3 s -400 16802 240 16858 0 FreeSans 560 0 0 0 io_out[23]
 port 152 nsew signal tristate
-rlabel metal3 s 291760 56890 292480 57010 6 io_oeb[2]
+flabel metal3 s -400 6091 240 6147 0 FreeSans 560 0 0 0 io_out[24]
 port 153 nsew signal tristate
-rlabel metal3 s 291760 76746 292480 76866 6 io_oeb[3]
+flabel metal3 s -400 3727 240 3783 0 FreeSans 560 0 0 0 io_out[25]
 port 154 nsew signal tristate
-rlabel metal3 s 291760 96602 292480 96722 6 io_oeb[4]
+flabel metal3 s -400 1363 240 1419 0 FreeSans 560 0 0 0 io_out[26]
 port 155 nsew signal tristate
-rlabel metal3 s 291760 116390 292480 116510 6 io_oeb[5]
+flabel metal3 s 291760 6682 292400 6738 0 FreeSans 560 0 0 0 io_out[2]
 port 156 nsew signal tristate
-rlabel metal3 s 291760 136246 292480 136366 6 io_oeb[6]
+flabel metal3 s 291760 9046 292400 9102 0 FreeSans 560 0 0 0 io_out[3]
 port 157 nsew signal tristate
-rlabel metal3 s 291760 165962 292480 166082 6 io_oeb[7]
+flabel metal3 s 291760 11410 292400 11466 0 FreeSans 560 0 0 0 io_out[4]
 port 158 nsew signal tristate
-rlabel metal3 s 291760 195746 292480 195866 6 io_oeb[8]
+flabel metal3 s 291760 24639 292400 24695 0 FreeSans 560 0 0 0 io_out[5]
 port 159 nsew signal tristate
-rlabel metal3 s 291760 225462 292480 225582 6 io_oeb[9]
+flabel metal3 s 291760 46968 292400 47024 0 FreeSans 560 0 0 0 io_out[6]
 port 160 nsew signal tristate
-rlabel metal2 s 62923 -480 62979 240 8 la_data_in[0]
-port 161 nsew signal input
-rlabel metal2 s 240253 -480 240309 240 8 la_data_in[100]
-port 162 nsew signal input
-rlabel metal2 s 242001 -480 242057 240 8 la_data_in[101]
-port 163 nsew signal input
-rlabel metal2 s 243795 -480 243851 240 8 la_data_in[102]
+flabel metal3 s 291760 136979 292400 137035 0 FreeSans 560 0 0 0 io_out[7]
+port 161 nsew signal tristate
+flabel metal3 s 291760 159190 292400 159246 0 FreeSans 560 0 0 0 io_out[8]
+port 162 nsew signal tristate
+flabel metal3 s 291760 181801 292400 181857 0 FreeSans 560 0 0 0 io_out[9]
+port 163 nsew signal tristate
+flabel metal2 s 62908 -400 62964 240 0 FreeSans 560 90 0 0 la_data_in[0]
 port 164 nsew signal input
-rlabel metal2 s 245543 -480 245599 240 8 la_data_in[103]
+flabel metal2 s 240208 -400 240264 240 0 FreeSans 560 90 0 0 la_data_in[100]
 port 165 nsew signal input
-rlabel metal2 s 247337 -480 247393 240 8 la_data_in[104]
+flabel metal2 s 241981 -400 242037 240 0 FreeSans 560 90 0 0 la_data_in[101]
 port 166 nsew signal input
-rlabel metal2 s 249085 -480 249141 240 8 la_data_in[105]
+flabel metal2 s 243754 -400 243810 240 0 FreeSans 560 90 0 0 la_data_in[102]
 port 167 nsew signal input
-rlabel metal2 s 250879 -480 250935 240 8 la_data_in[106]
+flabel metal2 s 245527 -400 245583 240 0 FreeSans 560 90 0 0 la_data_in[103]
 port 168 nsew signal input
-rlabel metal2 s 252673 -480 252729 240 8 la_data_in[107]
+flabel metal2 s 247300 -400 247356 240 0 FreeSans 560 90 0 0 la_data_in[104]
 port 169 nsew signal input
-rlabel metal2 s 254421 -480 254477 240 8 la_data_in[108]
+flabel metal2 s 249073 -400 249129 240 0 FreeSans 560 90 0 0 la_data_in[105]
 port 170 nsew signal input
-rlabel metal2 s 256215 -480 256271 240 8 la_data_in[109]
+flabel metal2 s 250846 -400 250902 240 0 FreeSans 560 90 0 0 la_data_in[106]
 port 171 nsew signal input
-rlabel metal2 s 80633 -480 80689 240 8 la_data_in[10]
+flabel metal2 s 252619 -400 252675 240 0 FreeSans 560 90 0 0 la_data_in[107]
 port 172 nsew signal input
-rlabel metal2 s 257963 -480 258019 240 8 la_data_in[110]
+flabel metal2 s 254392 -400 254448 240 0 FreeSans 560 90 0 0 la_data_in[108]
 port 173 nsew signal input
-rlabel metal2 s 259757 -480 259813 240 8 la_data_in[111]
+flabel metal2 s 256165 -400 256221 240 0 FreeSans 560 90 0 0 la_data_in[109]
 port 174 nsew signal input
-rlabel metal2 s 261505 -480 261561 240 8 la_data_in[112]
+flabel metal2 s 80638 -400 80694 240 0 FreeSans 560 90 0 0 la_data_in[10]
 port 175 nsew signal input
-rlabel metal2 s 263299 -480 263355 240 8 la_data_in[113]
+flabel metal2 s 257938 -400 257994 240 0 FreeSans 560 90 0 0 la_data_in[110]
 port 176 nsew signal input
-rlabel metal2 s 265047 -480 265103 240 8 la_data_in[114]
+flabel metal2 s 259711 -400 259767 240 0 FreeSans 560 90 0 0 la_data_in[111]
 port 177 nsew signal input
-rlabel metal2 s 266841 -480 266897 240 8 la_data_in[115]
+flabel metal2 s 261484 -400 261540 240 0 FreeSans 560 90 0 0 la_data_in[112]
 port 178 nsew signal input
-rlabel metal2 s 268589 -480 268645 240 8 la_data_in[116]
+flabel metal2 s 263257 -400 263313 240 0 FreeSans 560 90 0 0 la_data_in[113]
 port 179 nsew signal input
-rlabel metal2 s 270383 -480 270439 240 8 la_data_in[117]
+flabel metal2 s 265030 -400 265086 240 0 FreeSans 560 90 0 0 la_data_in[114]
 port 180 nsew signal input
-rlabel metal2 s 272177 -480 272233 240 8 la_data_in[118]
+flabel metal2 s 266803 -400 266859 240 0 FreeSans 560 90 0 0 la_data_in[115]
 port 181 nsew signal input
-rlabel metal2 s 273925 -480 273981 240 8 la_data_in[119]
+flabel metal2 s 268576 -400 268632 240 0 FreeSans 560 90 0 0 la_data_in[116]
 port 182 nsew signal input
-rlabel metal2 s 82427 -480 82483 240 8 la_data_in[11]
+flabel metal2 s 270349 -400 270405 240 0 FreeSans 560 90 0 0 la_data_in[117]
 port 183 nsew signal input
-rlabel metal2 s 275719 -480 275775 240 8 la_data_in[120]
+flabel metal2 s 272122 -400 272178 240 0 FreeSans 560 90 0 0 la_data_in[118]
 port 184 nsew signal input
-rlabel metal2 s 277467 -480 277523 240 8 la_data_in[121]
+flabel metal2 s 273895 -400 273951 240 0 FreeSans 560 90 0 0 la_data_in[119]
 port 185 nsew signal input
-rlabel metal2 s 279261 -480 279317 240 8 la_data_in[122]
+flabel metal2 s 82411 -400 82467 240 0 FreeSans 560 90 0 0 la_data_in[11]
 port 186 nsew signal input
-rlabel metal2 s 281009 -480 281065 240 8 la_data_in[123]
+flabel metal2 s 275668 -400 275724 240 0 FreeSans 560 90 0 0 la_data_in[120]
 port 187 nsew signal input
-rlabel metal2 s 282803 -480 282859 240 8 la_data_in[124]
+flabel metal2 s 277441 -400 277497 240 0 FreeSans 560 90 0 0 la_data_in[121]
 port 188 nsew signal input
-rlabel metal2 s 284551 -480 284607 240 8 la_data_in[125]
+flabel metal2 s 279214 -400 279270 240 0 FreeSans 560 90 0 0 la_data_in[122]
 port 189 nsew signal input
-rlabel metal2 s 286345 -480 286401 240 8 la_data_in[126]
+flabel metal2 s 280987 -400 281043 240 0 FreeSans 560 90 0 0 la_data_in[123]
 port 190 nsew signal input
-rlabel metal2 s 288139 -480 288195 240 8 la_data_in[127]
+flabel metal2 s 282760 -400 282816 240 0 FreeSans 560 90 0 0 la_data_in[124]
 port 191 nsew signal input
-rlabel metal2 s 84175 -480 84231 240 8 la_data_in[12]
+flabel metal2 s 284533 -400 284589 240 0 FreeSans 560 90 0 0 la_data_in[125]
 port 192 nsew signal input
-rlabel metal2 s 85969 -480 86025 240 8 la_data_in[13]
+flabel metal2 s 286306 -400 286362 240 0 FreeSans 560 90 0 0 la_data_in[126]
 port 193 nsew signal input
-rlabel metal2 s 87717 -480 87773 240 8 la_data_in[14]
+flabel metal2 s 288079 -400 288135 240 0 FreeSans 560 90 0 0 la_data_in[127]
 port 194 nsew signal input
-rlabel metal2 s 89511 -480 89567 240 8 la_data_in[15]
+flabel metal2 s 84184 -400 84240 240 0 FreeSans 560 90 0 0 la_data_in[12]
 port 195 nsew signal input
-rlabel metal2 s 91259 -480 91315 240 8 la_data_in[16]
+flabel metal2 s 85957 -400 86013 240 0 FreeSans 560 90 0 0 la_data_in[13]
 port 196 nsew signal input
-rlabel metal2 s 93053 -480 93109 240 8 la_data_in[17]
+flabel metal2 s 87730 -400 87786 240 0 FreeSans 560 90 0 0 la_data_in[14]
 port 197 nsew signal input
-rlabel metal2 s 94847 -480 94903 240 8 la_data_in[18]
+flabel metal2 s 89503 -400 89559 240 0 FreeSans 560 90 0 0 la_data_in[15]
 port 198 nsew signal input
-rlabel metal2 s 96595 -480 96651 240 8 la_data_in[19]
+flabel metal2 s 91276 -400 91332 240 0 FreeSans 560 90 0 0 la_data_in[16]
 port 199 nsew signal input
-rlabel metal2 s 64671 -480 64727 240 8 la_data_in[1]
+flabel metal2 s 93049 -400 93105 240 0 FreeSans 560 90 0 0 la_data_in[17]
 port 200 nsew signal input
-rlabel metal2 s 98389 -480 98445 240 8 la_data_in[20]
+flabel metal2 s 94822 -400 94878 240 0 FreeSans 560 90 0 0 la_data_in[18]
 port 201 nsew signal input
-rlabel metal2 s 100137 -480 100193 240 8 la_data_in[21]
+flabel metal2 s 96595 -400 96651 240 0 FreeSans 560 90 0 0 la_data_in[19]
 port 202 nsew signal input
-rlabel metal2 s 101931 -480 101987 240 8 la_data_in[22]
+flabel metal2 s 64681 -400 64737 240 0 FreeSans 560 90 0 0 la_data_in[1]
 port 203 nsew signal input
-rlabel metal2 s 103679 -480 103735 240 8 la_data_in[23]
+flabel metal2 s 98368 -400 98424 240 0 FreeSans 560 90 0 0 la_data_in[20]
 port 204 nsew signal input
-rlabel metal2 s 105473 -480 105529 240 8 la_data_in[24]
+flabel metal2 s 100141 -400 100197 240 0 FreeSans 560 90 0 0 la_data_in[21]
 port 205 nsew signal input
-rlabel metal2 s 107221 -480 107277 240 8 la_data_in[25]
+flabel metal2 s 101914 -400 101970 240 0 FreeSans 560 90 0 0 la_data_in[22]
 port 206 nsew signal input
-rlabel metal2 s 109015 -480 109071 240 8 la_data_in[26]
+flabel metal2 s 103687 -400 103743 240 0 FreeSans 560 90 0 0 la_data_in[23]
 port 207 nsew signal input
-rlabel metal2 s 110763 -480 110819 240 8 la_data_in[27]
+flabel metal2 s 105460 -400 105516 240 0 FreeSans 560 90 0 0 la_data_in[24]
 port 208 nsew signal input
-rlabel metal2 s 112557 -480 112613 240 8 la_data_in[28]
+flabel metal2 s 107233 -400 107289 240 0 FreeSans 560 90 0 0 la_data_in[25]
 port 209 nsew signal input
-rlabel metal2 s 114351 -480 114407 240 8 la_data_in[29]
+flabel metal2 s 109006 -400 109062 240 0 FreeSans 560 90 0 0 la_data_in[26]
 port 210 nsew signal input
-rlabel metal2 s 66465 -480 66521 240 8 la_data_in[2]
+flabel metal2 s 110779 -400 110835 240 0 FreeSans 560 90 0 0 la_data_in[27]
 port 211 nsew signal input
-rlabel metal2 s 116099 -480 116155 240 8 la_data_in[30]
+flabel metal2 s 112552 -400 112608 240 0 FreeSans 560 90 0 0 la_data_in[28]
 port 212 nsew signal input
-rlabel metal2 s 117893 -480 117949 240 8 la_data_in[31]
+flabel metal2 s 114325 -400 114381 240 0 FreeSans 560 90 0 0 la_data_in[29]
 port 213 nsew signal input
-rlabel metal2 s 119641 -480 119697 240 8 la_data_in[32]
+flabel metal2 s 66454 -400 66510 240 0 FreeSans 560 90 0 0 la_data_in[2]
 port 214 nsew signal input
-rlabel metal2 s 121435 -480 121491 240 8 la_data_in[33]
+flabel metal2 s 116098 -400 116154 240 0 FreeSans 560 90 0 0 la_data_in[30]
 port 215 nsew signal input
-rlabel metal2 s 123183 -480 123239 240 8 la_data_in[34]
+flabel metal2 s 117871 -400 117927 240 0 FreeSans 560 90 0 0 la_data_in[31]
 port 216 nsew signal input
-rlabel metal2 s 124977 -480 125033 240 8 la_data_in[35]
+flabel metal2 s 119644 -400 119700 240 0 FreeSans 560 90 0 0 la_data_in[32]
 port 217 nsew signal input
-rlabel metal2 s 126725 -480 126781 240 8 la_data_in[36]
+flabel metal2 s 121417 -400 121473 240 0 FreeSans 560 90 0 0 la_data_in[33]
 port 218 nsew signal input
-rlabel metal2 s 128519 -480 128575 240 8 la_data_in[37]
+flabel metal2 s 123190 -400 123246 240 0 FreeSans 560 90 0 0 la_data_in[34]
 port 219 nsew signal input
-rlabel metal2 s 130313 -480 130369 240 8 la_data_in[38]
+flabel metal2 s 124963 -400 125019 240 0 FreeSans 560 90 0 0 la_data_in[35]
 port 220 nsew signal input
-rlabel metal2 s 132061 -480 132117 240 8 la_data_in[39]
+flabel metal2 s 126736 -400 126792 240 0 FreeSans 560 90 0 0 la_data_in[36]
 port 221 nsew signal input
-rlabel metal2 s 68213 -480 68269 240 8 la_data_in[3]
+flabel metal2 s 128509 -400 128565 240 0 FreeSans 560 90 0 0 la_data_in[37]
 port 222 nsew signal input
-rlabel metal2 s 133855 -480 133911 240 8 la_data_in[40]
+flabel metal2 s 130282 -400 130338 240 0 FreeSans 560 90 0 0 la_data_in[38]
 port 223 nsew signal input
-rlabel metal2 s 135603 -480 135659 240 8 la_data_in[41]
+flabel metal2 s 132055 -400 132111 240 0 FreeSans 560 90 0 0 la_data_in[39]
 port 224 nsew signal input
-rlabel metal2 s 137397 -480 137453 240 8 la_data_in[42]
+flabel metal2 s 68227 -400 68283 240 0 FreeSans 560 90 0 0 la_data_in[3]
 port 225 nsew signal input
-rlabel metal2 s 139145 -480 139201 240 8 la_data_in[43]
+flabel metal2 s 133828 -400 133884 240 0 FreeSans 560 90 0 0 la_data_in[40]
 port 226 nsew signal input
-rlabel metal2 s 140939 -480 140995 240 8 la_data_in[44]
+flabel metal2 s 135601 -400 135657 240 0 FreeSans 560 90 0 0 la_data_in[41]
 port 227 nsew signal input
-rlabel metal2 s 142687 -480 142743 240 8 la_data_in[45]
+flabel metal2 s 137374 -400 137430 240 0 FreeSans 560 90 0 0 la_data_in[42]
 port 228 nsew signal input
-rlabel metal2 s 144481 -480 144537 240 8 la_data_in[46]
+flabel metal2 s 139147 -400 139203 240 0 FreeSans 560 90 0 0 la_data_in[43]
 port 229 nsew signal input
-rlabel metal2 s 146275 -480 146331 240 8 la_data_in[47]
+flabel metal2 s 140920 -400 140976 240 0 FreeSans 560 90 0 0 la_data_in[44]
 port 230 nsew signal input
-rlabel metal2 s 148023 -480 148079 240 8 la_data_in[48]
+flabel metal2 s 142693 -400 142749 240 0 FreeSans 560 90 0 0 la_data_in[45]
 port 231 nsew signal input
-rlabel metal2 s 149817 -480 149873 240 8 la_data_in[49]
+flabel metal2 s 144466 -400 144522 240 0 FreeSans 560 90 0 0 la_data_in[46]
 port 232 nsew signal input
-rlabel metal2 s 70007 -480 70063 240 8 la_data_in[4]
+flabel metal2 s 146239 -400 146295 240 0 FreeSans 560 90 0 0 la_data_in[47]
 port 233 nsew signal input
-rlabel metal2 s 151565 -480 151621 240 8 la_data_in[50]
+flabel metal2 s 148012 -400 148068 240 0 FreeSans 560 90 0 0 la_data_in[48]
 port 234 nsew signal input
-rlabel metal2 s 153359 -480 153415 240 8 la_data_in[51]
+flabel metal2 s 149785 -400 149841 240 0 FreeSans 560 90 0 0 la_data_in[49]
 port 235 nsew signal input
-rlabel metal2 s 155107 -480 155163 240 8 la_data_in[52]
+flabel metal2 s 70000 -400 70056 240 0 FreeSans 560 90 0 0 la_data_in[4]
 port 236 nsew signal input
-rlabel metal2 s 156901 -480 156957 240 8 la_data_in[53]
+flabel metal2 s 151558 -400 151614 240 0 FreeSans 560 90 0 0 la_data_in[50]
 port 237 nsew signal input
-rlabel metal2 s 158649 -480 158705 240 8 la_data_in[54]
+flabel metal2 s 153331 -400 153387 240 0 FreeSans 560 90 0 0 la_data_in[51]
 port 238 nsew signal input
-rlabel metal2 s 160443 -480 160499 240 8 la_data_in[55]
+flabel metal2 s 155104 -400 155160 240 0 FreeSans 560 90 0 0 la_data_in[52]
 port 239 nsew signal input
-rlabel metal2 s 162191 -480 162247 240 8 la_data_in[56]
+flabel metal2 s 156877 -400 156933 240 0 FreeSans 560 90 0 0 la_data_in[53]
 port 240 nsew signal input
-rlabel metal2 s 163985 -480 164041 240 8 la_data_in[57]
+flabel metal2 s 158650 -400 158706 240 0 FreeSans 560 90 0 0 la_data_in[54]
 port 241 nsew signal input
-rlabel metal2 s 165779 -480 165835 240 8 la_data_in[58]
+flabel metal2 s 160423 -400 160479 240 0 FreeSans 560 90 0 0 la_data_in[55]
 port 242 nsew signal input
-rlabel metal2 s 167527 -480 167583 240 8 la_data_in[59]
+flabel metal2 s 162196 -400 162252 240 0 FreeSans 560 90 0 0 la_data_in[56]
 port 243 nsew signal input
-rlabel metal2 s 71755 -480 71811 240 8 la_data_in[5]
+flabel metal2 s 163969 -400 164025 240 0 FreeSans 560 90 0 0 la_data_in[57]
 port 244 nsew signal input
-rlabel metal2 s 169321 -480 169377 240 8 la_data_in[60]
+flabel metal2 s 165742 -400 165798 240 0 FreeSans 560 90 0 0 la_data_in[58]
 port 245 nsew signal input
-rlabel metal2 s 171069 -480 171125 240 8 la_data_in[61]
+flabel metal2 s 167515 -400 167571 240 0 FreeSans 560 90 0 0 la_data_in[59]
 port 246 nsew signal input
-rlabel metal2 s 172863 -480 172919 240 8 la_data_in[62]
+flabel metal2 s 71773 -400 71829 240 0 FreeSans 560 90 0 0 la_data_in[5]
 port 247 nsew signal input
-rlabel metal2 s 174611 -480 174667 240 8 la_data_in[63]
+flabel metal2 s 169288 -400 169344 240 0 FreeSans 560 90 0 0 la_data_in[60]
 port 248 nsew signal input
-rlabel metal2 s 176405 -480 176461 240 8 la_data_in[64]
+flabel metal2 s 171061 -400 171117 240 0 FreeSans 560 90 0 0 la_data_in[61]
 port 249 nsew signal input
-rlabel metal2 s 178153 -480 178209 240 8 la_data_in[65]
+flabel metal2 s 172834 -400 172890 240 0 FreeSans 560 90 0 0 la_data_in[62]
 port 250 nsew signal input
-rlabel metal2 s 179947 -480 180003 240 8 la_data_in[66]
+flabel metal2 s 174607 -400 174663 240 0 FreeSans 560 90 0 0 la_data_in[63]
 port 251 nsew signal input
-rlabel metal2 s 181741 -480 181797 240 8 la_data_in[67]
+flabel metal2 s 176380 -400 176436 240 0 FreeSans 560 90 0 0 la_data_in[64]
 port 252 nsew signal input
-rlabel metal2 s 183489 -480 183545 240 8 la_data_in[68]
+flabel metal2 s 178153 -400 178209 240 0 FreeSans 560 90 0 0 la_data_in[65]
 port 253 nsew signal input
-rlabel metal2 s 185283 -480 185339 240 8 la_data_in[69]
+flabel metal2 s 179926 -400 179982 240 0 FreeSans 560 90 0 0 la_data_in[66]
 port 254 nsew signal input
-rlabel metal2 s 73549 -480 73605 240 8 la_data_in[6]
+flabel metal2 s 181699 -400 181755 240 0 FreeSans 560 90 0 0 la_data_in[67]
 port 255 nsew signal input
-rlabel metal2 s 187031 -480 187087 240 8 la_data_in[70]
+flabel metal2 s 183472 -400 183528 240 0 FreeSans 560 90 0 0 la_data_in[68]
 port 256 nsew signal input
-rlabel metal2 s 188825 -480 188881 240 8 la_data_in[71]
+flabel metal2 s 185245 -400 185301 240 0 FreeSans 560 90 0 0 la_data_in[69]
 port 257 nsew signal input
-rlabel metal2 s 190573 -480 190629 240 8 la_data_in[72]
+flabel metal2 s 73546 -400 73602 240 0 FreeSans 560 90 0 0 la_data_in[6]
 port 258 nsew signal input
-rlabel metal2 s 192367 -480 192423 240 8 la_data_in[73]
+flabel metal2 s 187018 -400 187074 240 0 FreeSans 560 90 0 0 la_data_in[70]
 port 259 nsew signal input
-rlabel metal2 s 194115 -480 194171 240 8 la_data_in[74]
+flabel metal2 s 188791 -400 188847 240 0 FreeSans 560 90 0 0 la_data_in[71]
 port 260 nsew signal input
-rlabel metal2 s 195909 -480 195965 240 8 la_data_in[75]
+flabel metal2 s 190564 -400 190620 240 0 FreeSans 560 90 0 0 la_data_in[72]
 port 261 nsew signal input
-rlabel metal2 s 197657 -480 197713 240 8 la_data_in[76]
+flabel metal2 s 192337 -400 192393 240 0 FreeSans 560 90 0 0 la_data_in[73]
 port 262 nsew signal input
-rlabel metal2 s 199451 -480 199507 240 8 la_data_in[77]
+flabel metal2 s 194110 -400 194166 240 0 FreeSans 560 90 0 0 la_data_in[74]
 port 263 nsew signal input
-rlabel metal2 s 201245 -480 201301 240 8 la_data_in[78]
+flabel metal2 s 195883 -400 195939 240 0 FreeSans 560 90 0 0 la_data_in[75]
 port 264 nsew signal input
-rlabel metal2 s 202993 -480 203049 240 8 la_data_in[79]
+flabel metal2 s 197656 -400 197712 240 0 FreeSans 560 90 0 0 la_data_in[76]
 port 265 nsew signal input
-rlabel metal2 s 75297 -480 75353 240 8 la_data_in[7]
+flabel metal2 s 199429 -400 199485 240 0 FreeSans 560 90 0 0 la_data_in[77]
 port 266 nsew signal input
-rlabel metal2 s 204787 -480 204843 240 8 la_data_in[80]
+flabel metal2 s 201202 -400 201258 240 0 FreeSans 560 90 0 0 la_data_in[78]
 port 267 nsew signal input
-rlabel metal2 s 206535 -480 206591 240 8 la_data_in[81]
+flabel metal2 s 202975 -400 203031 240 0 FreeSans 560 90 0 0 la_data_in[79]
 port 268 nsew signal input
-rlabel metal2 s 208329 -480 208385 240 8 la_data_in[82]
+flabel metal2 s 75319 -400 75375 240 0 FreeSans 560 90 0 0 la_data_in[7]
 port 269 nsew signal input
-rlabel metal2 s 210077 -480 210133 240 8 la_data_in[83]
+flabel metal2 s 204748 -400 204804 240 0 FreeSans 560 90 0 0 la_data_in[80]
 port 270 nsew signal input
-rlabel metal2 s 211871 -480 211927 240 8 la_data_in[84]
+flabel metal2 s 206521 -400 206577 240 0 FreeSans 560 90 0 0 la_data_in[81]
 port 271 nsew signal input
-rlabel metal2 s 213619 -480 213675 240 8 la_data_in[85]
+flabel metal2 s 208294 -400 208350 240 0 FreeSans 560 90 0 0 la_data_in[82]
 port 272 nsew signal input
-rlabel metal2 s 215413 -480 215469 240 8 la_data_in[86]
+flabel metal2 s 210067 -400 210123 240 0 FreeSans 560 90 0 0 la_data_in[83]
 port 273 nsew signal input
-rlabel metal2 s 217207 -480 217263 240 8 la_data_in[87]
+flabel metal2 s 211840 -400 211896 240 0 FreeSans 560 90 0 0 la_data_in[84]
 port 274 nsew signal input
-rlabel metal2 s 218955 -480 219011 240 8 la_data_in[88]
+flabel metal2 s 213613 -400 213669 240 0 FreeSans 560 90 0 0 la_data_in[85]
 port 275 nsew signal input
-rlabel metal2 s 220749 -480 220805 240 8 la_data_in[89]
+flabel metal2 s 215386 -400 215442 240 0 FreeSans 560 90 0 0 la_data_in[86]
 port 276 nsew signal input
-rlabel metal2 s 77091 -480 77147 240 8 la_data_in[8]
+flabel metal2 s 217159 -400 217215 240 0 FreeSans 560 90 0 0 la_data_in[87]
 port 277 nsew signal input
-rlabel metal2 s 222497 -480 222553 240 8 la_data_in[90]
+flabel metal2 s 218932 -400 218988 240 0 FreeSans 560 90 0 0 la_data_in[88]
 port 278 nsew signal input
-rlabel metal2 s 224291 -480 224347 240 8 la_data_in[91]
+flabel metal2 s 220705 -400 220761 240 0 FreeSans 560 90 0 0 la_data_in[89]
 port 279 nsew signal input
-rlabel metal2 s 226039 -480 226095 240 8 la_data_in[92]
+flabel metal2 s 77092 -400 77148 240 0 FreeSans 560 90 0 0 la_data_in[8]
 port 280 nsew signal input
-rlabel metal2 s 227833 -480 227889 240 8 la_data_in[93]
+flabel metal2 s 222478 -400 222534 240 0 FreeSans 560 90 0 0 la_data_in[90]
 port 281 nsew signal input
-rlabel metal2 s 229581 -480 229637 240 8 la_data_in[94]
+flabel metal2 s 224251 -400 224307 240 0 FreeSans 560 90 0 0 la_data_in[91]
 port 282 nsew signal input
-rlabel metal2 s 231375 -480 231431 240 8 la_data_in[95]
+flabel metal2 s 226024 -400 226080 240 0 FreeSans 560 90 0 0 la_data_in[92]
 port 283 nsew signal input
-rlabel metal2 s 233123 -480 233179 240 8 la_data_in[96]
+flabel metal2 s 227797 -400 227853 240 0 FreeSans 560 90 0 0 la_data_in[93]
 port 284 nsew signal input
-rlabel metal2 s 234917 -480 234973 240 8 la_data_in[97]
+flabel metal2 s 229570 -400 229626 240 0 FreeSans 560 90 0 0 la_data_in[94]
 port 285 nsew signal input
-rlabel metal2 s 236711 -480 236767 240 8 la_data_in[98]
+flabel metal2 s 231343 -400 231399 240 0 FreeSans 560 90 0 0 la_data_in[95]
 port 286 nsew signal input
-rlabel metal2 s 238459 -480 238515 240 8 la_data_in[99]
+flabel metal2 s 233116 -400 233172 240 0 FreeSans 560 90 0 0 la_data_in[96]
 port 287 nsew signal input
-rlabel metal2 s 78885 -480 78941 240 8 la_data_in[9]
+flabel metal2 s 234889 -400 234945 240 0 FreeSans 560 90 0 0 la_data_in[97]
 port 288 nsew signal input
-rlabel metal2 s 63475 -480 63531 240 8 la_data_out[0]
-port 289 nsew signal tristate
-rlabel metal2 s 240851 -480 240907 240 8 la_data_out[100]
-port 290 nsew signal tristate
-rlabel metal2 s 242599 -480 242655 240 8 la_data_out[101]
-port 291 nsew signal tristate
-rlabel metal2 s 244393 -480 244449 240 8 la_data_out[102]
+flabel metal2 s 236662 -400 236718 240 0 FreeSans 560 90 0 0 la_data_in[98]
+port 289 nsew signal input
+flabel metal2 s 238435 -400 238491 240 0 FreeSans 560 90 0 0 la_data_in[99]
+port 290 nsew signal input
+flabel metal2 s 78865 -400 78921 240 0 FreeSans 560 90 0 0 la_data_in[9]
+port 291 nsew signal input
+flabel metal2 s 63499 -400 63555 240 0 FreeSans 560 90 0 0 la_data_out[0]
 port 292 nsew signal tristate
-rlabel metal2 s 246141 -480 246197 240 8 la_data_out[103]
+flabel metal2 s 240799 -400 240855 240 0 FreeSans 560 90 0 0 la_data_out[100]
 port 293 nsew signal tristate
-rlabel metal2 s 247935 -480 247991 240 8 la_data_out[104]
+flabel metal2 s 242572 -400 242628 240 0 FreeSans 560 90 0 0 la_data_out[101]
 port 294 nsew signal tristate
-rlabel metal2 s 249683 -480 249739 240 8 la_data_out[105]
+flabel metal2 s 244345 -400 244401 240 0 FreeSans 560 90 0 0 la_data_out[102]
 port 295 nsew signal tristate
-rlabel metal2 s 251477 -480 251533 240 8 la_data_out[106]
+flabel metal2 s 246118 -400 246174 240 0 FreeSans 560 90 0 0 la_data_out[103]
 port 296 nsew signal tristate
-rlabel metal2 s 253225 -480 253281 240 8 la_data_out[107]
+flabel metal2 s 247891 -400 247947 240 0 FreeSans 560 90 0 0 la_data_out[104]
 port 297 nsew signal tristate
-rlabel metal2 s 255019 -480 255075 240 8 la_data_out[108]
+flabel metal2 s 249664 -400 249720 240 0 FreeSans 560 90 0 0 la_data_out[105]
 port 298 nsew signal tristate
-rlabel metal2 s 256767 -480 256823 240 8 la_data_out[109]
+flabel metal2 s 251437 -400 251493 240 0 FreeSans 560 90 0 0 la_data_out[106]
 port 299 nsew signal tristate
-rlabel metal2 s 81231 -480 81287 240 8 la_data_out[10]
+flabel metal2 s 253210 -400 253266 240 0 FreeSans 560 90 0 0 la_data_out[107]
 port 300 nsew signal tristate
-rlabel metal2 s 258561 -480 258617 240 8 la_data_out[110]
+flabel metal2 s 254983 -400 255039 240 0 FreeSans 560 90 0 0 la_data_out[108]
 port 301 nsew signal tristate
-rlabel metal2 s 260355 -480 260411 240 8 la_data_out[111]
+flabel metal2 s 256756 -400 256812 240 0 FreeSans 560 90 0 0 la_data_out[109]
 port 302 nsew signal tristate
-rlabel metal2 s 262103 -480 262159 240 8 la_data_out[112]
+flabel metal2 s 81229 -400 81285 240 0 FreeSans 560 90 0 0 la_data_out[10]
 port 303 nsew signal tristate
-rlabel metal2 s 263897 -480 263953 240 8 la_data_out[113]
+flabel metal2 s 258529 -400 258585 240 0 FreeSans 560 90 0 0 la_data_out[110]
 port 304 nsew signal tristate
-rlabel metal2 s 265645 -480 265701 240 8 la_data_out[114]
+flabel metal2 s 260302 -400 260358 240 0 FreeSans 560 90 0 0 la_data_out[111]
 port 305 nsew signal tristate
-rlabel metal2 s 267439 -480 267495 240 8 la_data_out[115]
+flabel metal2 s 262075 -400 262131 240 0 FreeSans 560 90 0 0 la_data_out[112]
 port 306 nsew signal tristate
-rlabel metal2 s 269187 -480 269243 240 8 la_data_out[116]
+flabel metal2 s 263848 -400 263904 240 0 FreeSans 560 90 0 0 la_data_out[113]
 port 307 nsew signal tristate
-rlabel metal2 s 270981 -480 271037 240 8 la_data_out[117]
+flabel metal2 s 265621 -400 265677 240 0 FreeSans 560 90 0 0 la_data_out[114]
 port 308 nsew signal tristate
-rlabel metal2 s 272729 -480 272785 240 8 la_data_out[118]
+flabel metal2 s 267394 -400 267450 240 0 FreeSans 560 90 0 0 la_data_out[115]
 port 309 nsew signal tristate
-rlabel metal2 s 274523 -480 274579 240 8 la_data_out[119]
+flabel metal2 s 269167 -400 269223 240 0 FreeSans 560 90 0 0 la_data_out[116]
 port 310 nsew signal tristate
-rlabel metal2 s 83025 -480 83081 240 8 la_data_out[11]
+flabel metal2 s 270940 -400 270996 240 0 FreeSans 560 90 0 0 la_data_out[117]
 port 311 nsew signal tristate
-rlabel metal2 s 276317 -480 276373 240 8 la_data_out[120]
+flabel metal2 s 272713 -400 272769 240 0 FreeSans 560 90 0 0 la_data_out[118]
 port 312 nsew signal tristate
-rlabel metal2 s 278065 -480 278121 240 8 la_data_out[121]
+flabel metal2 s 274486 -400 274542 240 0 FreeSans 560 90 0 0 la_data_out[119]
 port 313 nsew signal tristate
-rlabel metal2 s 279859 -480 279915 240 8 la_data_out[122]
+flabel metal2 s 83002 -400 83058 240 0 FreeSans 560 90 0 0 la_data_out[11]
 port 314 nsew signal tristate
-rlabel metal2 s 281607 -480 281663 240 8 la_data_out[123]
+flabel metal2 s 276259 -400 276315 240 0 FreeSans 560 90 0 0 la_data_out[120]
 port 315 nsew signal tristate
-rlabel metal2 s 283401 -480 283457 240 8 la_data_out[124]
+flabel metal2 s 278032 -400 278088 240 0 FreeSans 560 90 0 0 la_data_out[121]
 port 316 nsew signal tristate
-rlabel metal2 s 285149 -480 285205 240 8 la_data_out[125]
+flabel metal2 s 279805 -400 279861 240 0 FreeSans 560 90 0 0 la_data_out[122]
 port 317 nsew signal tristate
-rlabel metal2 s 286943 -480 286999 240 8 la_data_out[126]
+flabel metal2 s 281578 -400 281634 240 0 FreeSans 560 90 0 0 la_data_out[123]
 port 318 nsew signal tristate
-rlabel metal2 s 288691 -480 288747 240 8 la_data_out[127]
+flabel metal2 s 283351 -400 283407 240 0 FreeSans 560 90 0 0 la_data_out[124]
 port 319 nsew signal tristate
-rlabel metal2 s 84773 -480 84829 240 8 la_data_out[12]
+flabel metal2 s 285124 -400 285180 240 0 FreeSans 560 90 0 0 la_data_out[125]
 port 320 nsew signal tristate
-rlabel metal2 s 86567 -480 86623 240 8 la_data_out[13]
+flabel metal2 s 286897 -400 286953 240 0 FreeSans 560 90 0 0 la_data_out[126]
 port 321 nsew signal tristate
-rlabel metal2 s 88315 -480 88371 240 8 la_data_out[14]
+flabel metal2 s 288670 -400 288726 240 0 FreeSans 560 90 0 0 la_data_out[127]
 port 322 nsew signal tristate
-rlabel metal2 s 90109 -480 90165 240 8 la_data_out[15]
+flabel metal2 s 84775 -400 84831 240 0 FreeSans 560 90 0 0 la_data_out[12]
 port 323 nsew signal tristate
-rlabel metal2 s 91857 -480 91913 240 8 la_data_out[16]
+flabel metal2 s 86548 -400 86604 240 0 FreeSans 560 90 0 0 la_data_out[13]
 port 324 nsew signal tristate
-rlabel metal2 s 93651 -480 93707 240 8 la_data_out[17]
+flabel metal2 s 88321 -400 88377 240 0 FreeSans 560 90 0 0 la_data_out[14]
 port 325 nsew signal tristate
-rlabel metal2 s 95399 -480 95455 240 8 la_data_out[18]
+flabel metal2 s 90094 -400 90150 240 0 FreeSans 560 90 0 0 la_data_out[15]
 port 326 nsew signal tristate
-rlabel metal2 s 97193 -480 97249 240 8 la_data_out[19]
+flabel metal2 s 91867 -400 91923 240 0 FreeSans 560 90 0 0 la_data_out[16]
 port 327 nsew signal tristate
-rlabel metal2 s 65269 -480 65325 240 8 la_data_out[1]
+flabel metal2 s 93640 -400 93696 240 0 FreeSans 560 90 0 0 la_data_out[17]
 port 328 nsew signal tristate
-rlabel metal2 s 98941 -480 98997 240 8 la_data_out[20]
+flabel metal2 s 95413 -400 95469 240 0 FreeSans 560 90 0 0 la_data_out[18]
 port 329 nsew signal tristate
-rlabel metal2 s 100735 -480 100791 240 8 la_data_out[21]
+flabel metal2 s 97186 -400 97242 240 0 FreeSans 560 90 0 0 la_data_out[19]
 port 330 nsew signal tristate
-rlabel metal2 s 102529 -480 102585 240 8 la_data_out[22]
+flabel metal2 s 65272 -400 65328 240 0 FreeSans 560 90 0 0 la_data_out[1]
 port 331 nsew signal tristate
-rlabel metal2 s 104277 -480 104333 240 8 la_data_out[23]
+flabel metal2 s 98959 -400 99015 240 0 FreeSans 560 90 0 0 la_data_out[20]
 port 332 nsew signal tristate
-rlabel metal2 s 106071 -480 106127 240 8 la_data_out[24]
+flabel metal2 s 100732 -400 100788 240 0 FreeSans 560 90 0 0 la_data_out[21]
 port 333 nsew signal tristate
-rlabel metal2 s 107819 -480 107875 240 8 la_data_out[25]
+flabel metal2 s 102505 -400 102561 240 0 FreeSans 560 90 0 0 la_data_out[22]
 port 334 nsew signal tristate
-rlabel metal2 s 109613 -480 109669 240 8 la_data_out[26]
+flabel metal2 s 104278 -400 104334 240 0 FreeSans 560 90 0 0 la_data_out[23]
 port 335 nsew signal tristate
-rlabel metal2 s 111361 -480 111417 240 8 la_data_out[27]
+flabel metal2 s 106051 -400 106107 240 0 FreeSans 560 90 0 0 la_data_out[24]
 port 336 nsew signal tristate
-rlabel metal2 s 113155 -480 113211 240 8 la_data_out[28]
+flabel metal2 s 107824 -400 107880 240 0 FreeSans 560 90 0 0 la_data_out[25]
 port 337 nsew signal tristate
-rlabel metal2 s 114903 -480 114959 240 8 la_data_out[29]
+flabel metal2 s 109597 -400 109653 240 0 FreeSans 560 90 0 0 la_data_out[26]
 port 338 nsew signal tristate
-rlabel metal2 s 67063 -480 67119 240 8 la_data_out[2]
+flabel metal2 s 111370 -400 111426 240 0 FreeSans 560 90 0 0 la_data_out[27]
 port 339 nsew signal tristate
-rlabel metal2 s 116697 -480 116753 240 8 la_data_out[30]
+flabel metal2 s 113143 -400 113199 240 0 FreeSans 560 90 0 0 la_data_out[28]
 port 340 nsew signal tristate
-rlabel metal2 s 118491 -480 118547 240 8 la_data_out[31]
+flabel metal2 s 114916 -400 114972 240 0 FreeSans 560 90 0 0 la_data_out[29]
 port 341 nsew signal tristate
-rlabel metal2 s 120239 -480 120295 240 8 la_data_out[32]
+flabel metal2 s 67045 -400 67101 240 0 FreeSans 560 90 0 0 la_data_out[2]
 port 342 nsew signal tristate
-rlabel metal2 s 122033 -480 122089 240 8 la_data_out[33]
+flabel metal2 s 116689 -400 116745 240 0 FreeSans 560 90 0 0 la_data_out[30]
 port 343 nsew signal tristate
-rlabel metal2 s 123781 -480 123837 240 8 la_data_out[34]
+flabel metal2 s 118462 -400 118518 240 0 FreeSans 560 90 0 0 la_data_out[31]
 port 344 nsew signal tristate
-rlabel metal2 s 125575 -480 125631 240 8 la_data_out[35]
+flabel metal2 s 120235 -400 120291 240 0 FreeSans 560 90 0 0 la_data_out[32]
 port 345 nsew signal tristate
-rlabel metal2 s 127323 -480 127379 240 8 la_data_out[36]
+flabel metal2 s 122008 -400 122064 240 0 FreeSans 560 90 0 0 la_data_out[33]
 port 346 nsew signal tristate
-rlabel metal2 s 129117 -480 129173 240 8 la_data_out[37]
+flabel metal2 s 123781 -400 123837 240 0 FreeSans 560 90 0 0 la_data_out[34]
 port 347 nsew signal tristate
-rlabel metal2 s 130865 -480 130921 240 8 la_data_out[38]
+flabel metal2 s 125554 -400 125610 240 0 FreeSans 560 90 0 0 la_data_out[35]
 port 348 nsew signal tristate
-rlabel metal2 s 132659 -480 132715 240 8 la_data_out[39]
+flabel metal2 s 127327 -400 127383 240 0 FreeSans 560 90 0 0 la_data_out[36]
 port 349 nsew signal tristate
-rlabel metal2 s 68811 -480 68867 240 8 la_data_out[3]
+flabel metal2 s 129100 -400 129156 240 0 FreeSans 560 90 0 0 la_data_out[37]
 port 350 nsew signal tristate
-rlabel metal2 s 134407 -480 134463 240 8 la_data_out[40]
+flabel metal2 s 130873 -400 130929 240 0 FreeSans 560 90 0 0 la_data_out[38]
 port 351 nsew signal tristate
-rlabel metal2 s 136201 -480 136257 240 8 la_data_out[41]
+flabel metal2 s 132646 -400 132702 240 0 FreeSans 560 90 0 0 la_data_out[39]
 port 352 nsew signal tristate
-rlabel metal2 s 137995 -480 138051 240 8 la_data_out[42]
+flabel metal2 s 68818 -400 68874 240 0 FreeSans 560 90 0 0 la_data_out[3]
 port 353 nsew signal tristate
-rlabel metal2 s 139743 -480 139799 240 8 la_data_out[43]
+flabel metal2 s 134419 -400 134475 240 0 FreeSans 560 90 0 0 la_data_out[40]
 port 354 nsew signal tristate
-rlabel metal2 s 141537 -480 141593 240 8 la_data_out[44]
+flabel metal2 s 136192 -400 136248 240 0 FreeSans 560 90 0 0 la_data_out[41]
 port 355 nsew signal tristate
-rlabel metal2 s 143285 -480 143341 240 8 la_data_out[45]
+flabel metal2 s 137965 -400 138021 240 0 FreeSans 560 90 0 0 la_data_out[42]
 port 356 nsew signal tristate
-rlabel metal2 s 145079 -480 145135 240 8 la_data_out[46]
+flabel metal2 s 139738 -400 139794 240 0 FreeSans 560 90 0 0 la_data_out[43]
 port 357 nsew signal tristate
-rlabel metal2 s 146827 -480 146883 240 8 la_data_out[47]
+flabel metal2 s 141511 -400 141567 240 0 FreeSans 560 90 0 0 la_data_out[44]
 port 358 nsew signal tristate
-rlabel metal2 s 148621 -480 148677 240 8 la_data_out[48]
+flabel metal2 s 143284 -400 143340 240 0 FreeSans 560 90 0 0 la_data_out[45]
 port 359 nsew signal tristate
-rlabel metal2 s 150369 -480 150425 240 8 la_data_out[49]
+flabel metal2 s 145057 -400 145113 240 0 FreeSans 560 90 0 0 la_data_out[46]
 port 360 nsew signal tristate
-rlabel metal2 s 70605 -480 70661 240 8 la_data_out[4]
+flabel metal2 s 146830 -400 146886 240 0 FreeSans 560 90 0 0 la_data_out[47]
 port 361 nsew signal tristate
-rlabel metal2 s 152163 -480 152219 240 8 la_data_out[50]
+flabel metal2 s 148603 -400 148659 240 0 FreeSans 560 90 0 0 la_data_out[48]
 port 362 nsew signal tristate
-rlabel metal2 s 153957 -480 154013 240 8 la_data_out[51]
+flabel metal2 s 150376 -400 150432 240 0 FreeSans 560 90 0 0 la_data_out[49]
 port 363 nsew signal tristate
-rlabel metal2 s 155705 -480 155761 240 8 la_data_out[52]
+flabel metal2 s 70591 -400 70647 240 0 FreeSans 560 90 0 0 la_data_out[4]
 port 364 nsew signal tristate
-rlabel metal2 s 157499 -480 157555 240 8 la_data_out[53]
+flabel metal2 s 152149 -400 152205 240 0 FreeSans 560 90 0 0 la_data_out[50]
 port 365 nsew signal tristate
-rlabel metal2 s 159247 -480 159303 240 8 la_data_out[54]
+flabel metal2 s 153922 -400 153978 240 0 FreeSans 560 90 0 0 la_data_out[51]
 port 366 nsew signal tristate
-rlabel metal2 s 161041 -480 161097 240 8 la_data_out[55]
+flabel metal2 s 155695 -400 155751 240 0 FreeSans 560 90 0 0 la_data_out[52]
 port 367 nsew signal tristate
-rlabel metal2 s 162789 -480 162845 240 8 la_data_out[56]
+flabel metal2 s 157468 -400 157524 240 0 FreeSans 560 90 0 0 la_data_out[53]
 port 368 nsew signal tristate
-rlabel metal2 s 164583 -480 164639 240 8 la_data_out[57]
+flabel metal2 s 159241 -400 159297 240 0 FreeSans 560 90 0 0 la_data_out[54]
 port 369 nsew signal tristate
-rlabel metal2 s 166331 -480 166387 240 8 la_data_out[58]
+flabel metal2 s 161014 -400 161070 240 0 FreeSans 560 90 0 0 la_data_out[55]
 port 370 nsew signal tristate
-rlabel metal2 s 168125 -480 168181 240 8 la_data_out[59]
+flabel metal2 s 162787 -400 162843 240 0 FreeSans 560 90 0 0 la_data_out[56]
 port 371 nsew signal tristate
-rlabel metal2 s 72353 -480 72409 240 8 la_data_out[5]
+flabel metal2 s 164560 -400 164616 240 0 FreeSans 560 90 0 0 la_data_out[57]
 port 372 nsew signal tristate
-rlabel metal2 s 169919 -480 169975 240 8 la_data_out[60]
+flabel metal2 s 166333 -400 166389 240 0 FreeSans 560 90 0 0 la_data_out[58]
 port 373 nsew signal tristate
-rlabel metal2 s 171667 -480 171723 240 8 la_data_out[61]
+flabel metal2 s 168106 -400 168162 240 0 FreeSans 560 90 0 0 la_data_out[59]
 port 374 nsew signal tristate
-rlabel metal2 s 173461 -480 173517 240 8 la_data_out[62]
+flabel metal2 s 72364 -400 72420 240 0 FreeSans 560 90 0 0 la_data_out[5]
 port 375 nsew signal tristate
-rlabel metal2 s 175209 -480 175265 240 8 la_data_out[63]
+flabel metal2 s 169879 -400 169935 240 0 FreeSans 560 90 0 0 la_data_out[60]
 port 376 nsew signal tristate
-rlabel metal2 s 177003 -480 177059 240 8 la_data_out[64]
+flabel metal2 s 171652 -400 171708 240 0 FreeSans 560 90 0 0 la_data_out[61]
 port 377 nsew signal tristate
-rlabel metal2 s 178751 -480 178807 240 8 la_data_out[65]
+flabel metal2 s 173425 -400 173481 240 0 FreeSans 560 90 0 0 la_data_out[62]
 port 378 nsew signal tristate
-rlabel metal2 s 180545 -480 180601 240 8 la_data_out[66]
+flabel metal2 s 175198 -400 175254 240 0 FreeSans 560 90 0 0 la_data_out[63]
 port 379 nsew signal tristate
-rlabel metal2 s 182293 -480 182349 240 8 la_data_out[67]
+flabel metal2 s 176971 -400 177027 240 0 FreeSans 560 90 0 0 la_data_out[64]
 port 380 nsew signal tristate
-rlabel metal2 s 184087 -480 184143 240 8 la_data_out[68]
+flabel metal2 s 178744 -400 178800 240 0 FreeSans 560 90 0 0 la_data_out[65]
 port 381 nsew signal tristate
-rlabel metal2 s 185835 -480 185891 240 8 la_data_out[69]
+flabel metal2 s 180517 -400 180573 240 0 FreeSans 560 90 0 0 la_data_out[66]
 port 382 nsew signal tristate
-rlabel metal2 s 74147 -480 74203 240 8 la_data_out[6]
+flabel metal2 s 182290 -400 182346 240 0 FreeSans 560 90 0 0 la_data_out[67]
 port 383 nsew signal tristate
-rlabel metal2 s 187629 -480 187685 240 8 la_data_out[70]
+flabel metal2 s 184063 -400 184119 240 0 FreeSans 560 90 0 0 la_data_out[68]
 port 384 nsew signal tristate
-rlabel metal2 s 189423 -480 189479 240 8 la_data_out[71]
+flabel metal2 s 185836 -400 185892 240 0 FreeSans 560 90 0 0 la_data_out[69]
 port 385 nsew signal tristate
-rlabel metal2 s 191171 -480 191227 240 8 la_data_out[72]
+flabel metal2 s 74137 -400 74193 240 0 FreeSans 560 90 0 0 la_data_out[6]
 port 386 nsew signal tristate
-rlabel metal2 s 192965 -480 193021 240 8 la_data_out[73]
+flabel metal2 s 187609 -400 187665 240 0 FreeSans 560 90 0 0 la_data_out[70]
 port 387 nsew signal tristate
-rlabel metal2 s 194713 -480 194769 240 8 la_data_out[74]
+flabel metal2 s 189382 -400 189438 240 0 FreeSans 560 90 0 0 la_data_out[71]
 port 388 nsew signal tristate
-rlabel metal2 s 196507 -480 196563 240 8 la_data_out[75]
+flabel metal2 s 191155 -400 191211 240 0 FreeSans 560 90 0 0 la_data_out[72]
 port 389 nsew signal tristate
-rlabel metal2 s 198255 -480 198311 240 8 la_data_out[76]
+flabel metal2 s 192928 -400 192984 240 0 FreeSans 560 90 0 0 la_data_out[73]
 port 390 nsew signal tristate
-rlabel metal2 s 200049 -480 200105 240 8 la_data_out[77]
+flabel metal2 s 194701 -400 194757 240 0 FreeSans 560 90 0 0 la_data_out[74]
 port 391 nsew signal tristate
-rlabel metal2 s 201797 -480 201853 240 8 la_data_out[78]
+flabel metal2 s 196474 -400 196530 240 0 FreeSans 560 90 0 0 la_data_out[75]
 port 392 nsew signal tristate
-rlabel metal2 s 203591 -480 203647 240 8 la_data_out[79]
+flabel metal2 s 198247 -400 198303 240 0 FreeSans 560 90 0 0 la_data_out[76]
 port 393 nsew signal tristate
-rlabel metal2 s 75895 -480 75951 240 8 la_data_out[7]
+flabel metal2 s 200020 -400 200076 240 0 FreeSans 560 90 0 0 la_data_out[77]
 port 394 nsew signal tristate
-rlabel metal2 s 205385 -480 205441 240 8 la_data_out[80]
+flabel metal2 s 201793 -400 201849 240 0 FreeSans 560 90 0 0 la_data_out[78]
 port 395 nsew signal tristate
-rlabel metal2 s 207133 -480 207189 240 8 la_data_out[81]
+flabel metal2 s 203566 -400 203622 240 0 FreeSans 560 90 0 0 la_data_out[79]
 port 396 nsew signal tristate
-rlabel metal2 s 208927 -480 208983 240 8 la_data_out[82]
+flabel metal2 s 75910 -400 75966 240 0 FreeSans 560 90 0 0 la_data_out[7]
 port 397 nsew signal tristate
-rlabel metal2 s 210675 -480 210731 240 8 la_data_out[83]
+flabel metal2 s 205339 -400 205395 240 0 FreeSans 560 90 0 0 la_data_out[80]
 port 398 nsew signal tristate
-rlabel metal2 s 212469 -480 212525 240 8 la_data_out[84]
+flabel metal2 s 207112 -400 207168 240 0 FreeSans 560 90 0 0 la_data_out[81]
 port 399 nsew signal tristate
-rlabel metal2 s 214217 -480 214273 240 8 la_data_out[85]
+flabel metal2 s 208885 -400 208941 240 0 FreeSans 560 90 0 0 la_data_out[82]
 port 400 nsew signal tristate
-rlabel metal2 s 216011 -480 216067 240 8 la_data_out[86]
+flabel metal2 s 210658 -400 210714 240 0 FreeSans 560 90 0 0 la_data_out[83]
 port 401 nsew signal tristate
-rlabel metal2 s 217759 -480 217815 240 8 la_data_out[87]
+flabel metal2 s 212431 -400 212487 240 0 FreeSans 560 90 0 0 la_data_out[84]
 port 402 nsew signal tristate
-rlabel metal2 s 219553 -480 219609 240 8 la_data_out[88]
+flabel metal2 s 214204 -400 214260 240 0 FreeSans 560 90 0 0 la_data_out[85]
 port 403 nsew signal tristate
-rlabel metal2 s 221301 -480 221357 240 8 la_data_out[89]
+flabel metal2 s 215977 -400 216033 240 0 FreeSans 560 90 0 0 la_data_out[86]
 port 404 nsew signal tristate
-rlabel metal2 s 77689 -480 77745 240 8 la_data_out[8]
+flabel metal2 s 217750 -400 217806 240 0 FreeSans 560 90 0 0 la_data_out[87]
 port 405 nsew signal tristate
-rlabel metal2 s 223095 -480 223151 240 8 la_data_out[90]
+flabel metal2 s 219523 -400 219579 240 0 FreeSans 560 90 0 0 la_data_out[88]
 port 406 nsew signal tristate
-rlabel metal2 s 224889 -480 224945 240 8 la_data_out[91]
+flabel metal2 s 221296 -400 221352 240 0 FreeSans 560 90 0 0 la_data_out[89]
 port 407 nsew signal tristate
-rlabel metal2 s 226637 -480 226693 240 8 la_data_out[92]
+flabel metal2 s 77683 -400 77739 240 0 FreeSans 560 90 0 0 la_data_out[8]
 port 408 nsew signal tristate
-rlabel metal2 s 228431 -480 228487 240 8 la_data_out[93]
+flabel metal2 s 223069 -400 223125 240 0 FreeSans 560 90 0 0 la_data_out[90]
 port 409 nsew signal tristate
-rlabel metal2 s 230179 -480 230235 240 8 la_data_out[94]
+flabel metal2 s 224842 -400 224898 240 0 FreeSans 560 90 0 0 la_data_out[91]
 port 410 nsew signal tristate
-rlabel metal2 s 231973 -480 232029 240 8 la_data_out[95]
+flabel metal2 s 226615 -400 226671 240 0 FreeSans 560 90 0 0 la_data_out[92]
 port 411 nsew signal tristate
-rlabel metal2 s 233721 -480 233777 240 8 la_data_out[96]
+flabel metal2 s 228388 -400 228444 240 0 FreeSans 560 90 0 0 la_data_out[93]
 port 412 nsew signal tristate
-rlabel metal2 s 235515 -480 235571 240 8 la_data_out[97]
+flabel metal2 s 230161 -400 230217 240 0 FreeSans 560 90 0 0 la_data_out[94]
 port 413 nsew signal tristate
-rlabel metal2 s 237263 -480 237319 240 8 la_data_out[98]
+flabel metal2 s 231934 -400 231990 240 0 FreeSans 560 90 0 0 la_data_out[95]
 port 414 nsew signal tristate
-rlabel metal2 s 239057 -480 239113 240 8 la_data_out[99]
+flabel metal2 s 233707 -400 233763 240 0 FreeSans 560 90 0 0 la_data_out[96]
 port 415 nsew signal tristate
-rlabel metal2 s 79437 -480 79493 240 8 la_data_out[9]
+flabel metal2 s 235480 -400 235536 240 0 FreeSans 560 90 0 0 la_data_out[97]
 port 416 nsew signal tristate
-rlabel metal2 s 64073 -480 64129 240 8 la_oenb[0]
-port 417 nsew signal input
-rlabel metal2 s 241403 -480 241459 240 8 la_oenb[100]
-port 418 nsew signal input
-rlabel metal2 s 243197 -480 243253 240 8 la_oenb[101]
-port 419 nsew signal input
-rlabel metal2 s 244945 -480 245001 240 8 la_oenb[102]
+flabel metal2 s 237253 -400 237309 240 0 FreeSans 560 90 0 0 la_data_out[98]
+port 417 nsew signal tristate
+flabel metal2 s 239026 -400 239082 240 0 FreeSans 560 90 0 0 la_data_out[99]
+port 418 nsew signal tristate
+flabel metal2 s 79456 -400 79512 240 0 FreeSans 560 90 0 0 la_data_out[9]
+port 419 nsew signal tristate
+flabel metal2 s 64090 -400 64146 240 0 FreeSans 560 90 0 0 la_oenb[0]
 port 420 nsew signal input
-rlabel metal2 s 246739 -480 246795 240 8 la_oenb[103]
+flabel metal2 s 241390 -400 241446 240 0 FreeSans 560 90 0 0 la_oenb[100]
 port 421 nsew signal input
-rlabel metal2 s 248533 -480 248589 240 8 la_oenb[104]
+flabel metal2 s 243163 -400 243219 240 0 FreeSans 560 90 0 0 la_oenb[101]
 port 422 nsew signal input
-rlabel metal2 s 250281 -480 250337 240 8 la_oenb[105]
+flabel metal2 s 244936 -400 244992 240 0 FreeSans 560 90 0 0 la_oenb[102]
 port 423 nsew signal input
-rlabel metal2 s 252075 -480 252131 240 8 la_oenb[106]
+flabel metal2 s 246709 -400 246765 240 0 FreeSans 560 90 0 0 la_oenb[103]
 port 424 nsew signal input
-rlabel metal2 s 253823 -480 253879 240 8 la_oenb[107]
+flabel metal2 s 248482 -400 248538 240 0 FreeSans 560 90 0 0 la_oenb[104]
 port 425 nsew signal input
-rlabel metal2 s 255617 -480 255673 240 8 la_oenb[108]
+flabel metal2 s 250255 -400 250311 240 0 FreeSans 560 90 0 0 la_oenb[105]
 port 426 nsew signal input
-rlabel metal2 s 257365 -480 257421 240 8 la_oenb[109]
+flabel metal2 s 252028 -400 252084 240 0 FreeSans 560 90 0 0 la_oenb[106]
 port 427 nsew signal input
-rlabel metal2 s 81829 -480 81885 240 8 la_oenb[10]
+flabel metal2 s 253801 -400 253857 240 0 FreeSans 560 90 0 0 la_oenb[107]
 port 428 nsew signal input
-rlabel metal2 s 259159 -480 259215 240 8 la_oenb[110]
+flabel metal2 s 255574 -400 255630 240 0 FreeSans 560 90 0 0 la_oenb[108]
 port 429 nsew signal input
-rlabel metal2 s 260907 -480 260963 240 8 la_oenb[111]
+flabel metal2 s 257347 -400 257403 240 0 FreeSans 560 90 0 0 la_oenb[109]
 port 430 nsew signal input
-rlabel metal2 s 262701 -480 262757 240 8 la_oenb[112]
+flabel metal2 s 81820 -400 81876 240 0 FreeSans 560 90 0 0 la_oenb[10]
 port 431 nsew signal input
-rlabel metal2 s 264495 -480 264551 240 8 la_oenb[113]
+flabel metal2 s 259120 -400 259176 240 0 FreeSans 560 90 0 0 la_oenb[110]
 port 432 nsew signal input
-rlabel metal2 s 266243 -480 266299 240 8 la_oenb[114]
+flabel metal2 s 260893 -400 260949 240 0 FreeSans 560 90 0 0 la_oenb[111]
 port 433 nsew signal input
-rlabel metal2 s 268037 -480 268093 240 8 la_oenb[115]
+flabel metal2 s 262666 -400 262722 240 0 FreeSans 560 90 0 0 la_oenb[112]
 port 434 nsew signal input
-rlabel metal2 s 269785 -480 269841 240 8 la_oenb[116]
+flabel metal2 s 264439 -400 264495 240 0 FreeSans 560 90 0 0 la_oenb[113]
 port 435 nsew signal input
-rlabel metal2 s 271579 -480 271635 240 8 la_oenb[117]
+flabel metal2 s 266212 -400 266268 240 0 FreeSans 560 90 0 0 la_oenb[114]
 port 436 nsew signal input
-rlabel metal2 s 273327 -480 273383 240 8 la_oenb[118]
+flabel metal2 s 267985 -400 268041 240 0 FreeSans 560 90 0 0 la_oenb[115]
 port 437 nsew signal input
-rlabel metal2 s 275121 -480 275177 240 8 la_oenb[119]
+flabel metal2 s 269758 -400 269814 240 0 FreeSans 560 90 0 0 la_oenb[116]
 port 438 nsew signal input
-rlabel metal2 s 83577 -480 83633 240 8 la_oenb[11]
+flabel metal2 s 271531 -400 271587 240 0 FreeSans 560 90 0 0 la_oenb[117]
 port 439 nsew signal input
-rlabel metal2 s 276869 -480 276925 240 8 la_oenb[120]
+flabel metal2 s 273304 -400 273360 240 0 FreeSans 560 90 0 0 la_oenb[118]
 port 440 nsew signal input
-rlabel metal2 s 278663 -480 278719 240 8 la_oenb[121]
+flabel metal2 s 275077 -400 275133 240 0 FreeSans 560 90 0 0 la_oenb[119]
 port 441 nsew signal input
-rlabel metal2 s 280411 -480 280467 240 8 la_oenb[122]
+flabel metal2 s 83593 -400 83649 240 0 FreeSans 560 90 0 0 la_oenb[11]
 port 442 nsew signal input
-rlabel metal2 s 282205 -480 282261 240 8 la_oenb[123]
+flabel metal2 s 276850 -400 276906 240 0 FreeSans 560 90 0 0 la_oenb[120]
 port 443 nsew signal input
-rlabel metal2 s 283999 -480 284055 240 8 la_oenb[124]
+flabel metal2 s 278623 -400 278679 240 0 FreeSans 560 90 0 0 la_oenb[121]
 port 444 nsew signal input
-rlabel metal2 s 285747 -480 285803 240 8 la_oenb[125]
+flabel metal2 s 280396 -400 280452 240 0 FreeSans 560 90 0 0 la_oenb[122]
 port 445 nsew signal input
-rlabel metal2 s 287541 -480 287597 240 8 la_oenb[126]
+flabel metal2 s 282169 -400 282225 240 0 FreeSans 560 90 0 0 la_oenb[123]
 port 446 nsew signal input
-rlabel metal2 s 289289 -480 289345 240 8 la_oenb[127]
+flabel metal2 s 283942 -400 283998 240 0 FreeSans 560 90 0 0 la_oenb[124]
 port 447 nsew signal input
-rlabel metal2 s 85371 -480 85427 240 8 la_oenb[12]
+flabel metal2 s 285715 -400 285771 240 0 FreeSans 560 90 0 0 la_oenb[125]
 port 448 nsew signal input
-rlabel metal2 s 87119 -480 87175 240 8 la_oenb[13]
+flabel metal2 s 287488 -400 287544 240 0 FreeSans 560 90 0 0 la_oenb[126]
 port 449 nsew signal input
-rlabel metal2 s 88913 -480 88969 240 8 la_oenb[14]
+flabel metal2 s 289261 -400 289317 240 0 FreeSans 560 90 0 0 la_oenb[127]
 port 450 nsew signal input
-rlabel metal2 s 90707 -480 90763 240 8 la_oenb[15]
+flabel metal2 s 85366 -400 85422 240 0 FreeSans 560 90 0 0 la_oenb[12]
 port 451 nsew signal input
-rlabel metal2 s 92455 -480 92511 240 8 la_oenb[16]
+flabel metal2 s 87139 -400 87195 240 0 FreeSans 560 90 0 0 la_oenb[13]
 port 452 nsew signal input
-rlabel metal2 s 94249 -480 94305 240 8 la_oenb[17]
+flabel metal2 s 88912 -400 88968 240 0 FreeSans 560 90 0 0 la_oenb[14]
 port 453 nsew signal input
-rlabel metal2 s 95997 -480 96053 240 8 la_oenb[18]
+flabel metal2 s 90685 -400 90741 240 0 FreeSans 560 90 0 0 la_oenb[15]
 port 454 nsew signal input
-rlabel metal2 s 97791 -480 97847 240 8 la_oenb[19]
+flabel metal2 s 92458 -400 92514 240 0 FreeSans 560 90 0 0 la_oenb[16]
 port 455 nsew signal input
-rlabel metal2 s 65867 -480 65923 240 8 la_oenb[1]
+flabel metal2 s 94231 -400 94287 240 0 FreeSans 560 90 0 0 la_oenb[17]
 port 456 nsew signal input
-rlabel metal2 s 99539 -480 99595 240 8 la_oenb[20]
+flabel metal2 s 96004 -400 96060 240 0 FreeSans 560 90 0 0 la_oenb[18]
 port 457 nsew signal input
-rlabel metal2 s 101333 -480 101389 240 8 la_oenb[21]
+flabel metal2 s 97777 -400 97833 240 0 FreeSans 560 90 0 0 la_oenb[19]
 port 458 nsew signal input
-rlabel metal2 s 103081 -480 103137 240 8 la_oenb[22]
+flabel metal2 s 65863 -400 65919 240 0 FreeSans 560 90 0 0 la_oenb[1]
 port 459 nsew signal input
-rlabel metal2 s 104875 -480 104931 240 8 la_oenb[23]
+flabel metal2 s 99550 -400 99606 240 0 FreeSans 560 90 0 0 la_oenb[20]
 port 460 nsew signal input
-rlabel metal2 s 106669 -480 106725 240 8 la_oenb[24]
+flabel metal2 s 101323 -400 101379 240 0 FreeSans 560 90 0 0 la_oenb[21]
 port 461 nsew signal input
-rlabel metal2 s 108417 -480 108473 240 8 la_oenb[25]
+flabel metal2 s 103096 -400 103152 240 0 FreeSans 560 90 0 0 la_oenb[22]
 port 462 nsew signal input
-rlabel metal2 s 110211 -480 110267 240 8 la_oenb[26]
+flabel metal2 s 104869 -400 104925 240 0 FreeSans 560 90 0 0 la_oenb[23]
 port 463 nsew signal input
-rlabel metal2 s 111959 -480 112015 240 8 la_oenb[27]
+flabel metal2 s 106642 -400 106698 240 0 FreeSans 560 90 0 0 la_oenb[24]
 port 464 nsew signal input
-rlabel metal2 s 113753 -480 113809 240 8 la_oenb[28]
+flabel metal2 s 108415 -400 108471 240 0 FreeSans 560 90 0 0 la_oenb[25]
 port 465 nsew signal input
-rlabel metal2 s 115501 -480 115557 240 8 la_oenb[29]
+flabel metal2 s 110188 -400 110244 240 0 FreeSans 560 90 0 0 la_oenb[26]
 port 466 nsew signal input
-rlabel metal2 s 67615 -480 67671 240 8 la_oenb[2]
+flabel metal2 s 111961 -400 112017 240 0 FreeSans 560 90 0 0 la_oenb[27]
 port 467 nsew signal input
-rlabel metal2 s 117295 -480 117351 240 8 la_oenb[30]
+flabel metal2 s 113734 -400 113790 240 0 FreeSans 560 90 0 0 la_oenb[28]
 port 468 nsew signal input
-rlabel metal2 s 119043 -480 119099 240 8 la_oenb[31]
+flabel metal2 s 115507 -400 115563 240 0 FreeSans 560 90 0 0 la_oenb[29]
 port 469 nsew signal input
-rlabel metal2 s 120837 -480 120893 240 8 la_oenb[32]
+flabel metal2 s 67636 -400 67692 240 0 FreeSans 560 90 0 0 la_oenb[2]
 port 470 nsew signal input
-rlabel metal2 s 122585 -480 122641 240 8 la_oenb[33]
+flabel metal2 s 117280 -400 117336 240 0 FreeSans 560 90 0 0 la_oenb[30]
 port 471 nsew signal input
-rlabel metal2 s 124379 -480 124435 240 8 la_oenb[34]
+flabel metal2 s 119053 -400 119109 240 0 FreeSans 560 90 0 0 la_oenb[31]
 port 472 nsew signal input
-rlabel metal2 s 126173 -480 126229 240 8 la_oenb[35]
+flabel metal2 s 120826 -400 120882 240 0 FreeSans 560 90 0 0 la_oenb[32]
 port 473 nsew signal input
-rlabel metal2 s 127921 -480 127977 240 8 la_oenb[36]
+flabel metal2 s 122599 -400 122655 240 0 FreeSans 560 90 0 0 la_oenb[33]
 port 474 nsew signal input
-rlabel metal2 s 129715 -480 129771 240 8 la_oenb[37]
+flabel metal2 s 124372 -400 124428 240 0 FreeSans 560 90 0 0 la_oenb[34]
 port 475 nsew signal input
-rlabel metal2 s 131463 -480 131519 240 8 la_oenb[38]
+flabel metal2 s 126145 -400 126201 240 0 FreeSans 560 90 0 0 la_oenb[35]
 port 476 nsew signal input
-rlabel metal2 s 133257 -480 133313 240 8 la_oenb[39]
+flabel metal2 s 127918 -400 127974 240 0 FreeSans 560 90 0 0 la_oenb[36]
 port 477 nsew signal input
-rlabel metal2 s 69409 -480 69465 240 8 la_oenb[3]
+flabel metal2 s 129691 -400 129747 240 0 FreeSans 560 90 0 0 la_oenb[37]
 port 478 nsew signal input
-rlabel metal2 s 135005 -480 135061 240 8 la_oenb[40]
+flabel metal2 s 131464 -400 131520 240 0 FreeSans 560 90 0 0 la_oenb[38]
 port 479 nsew signal input
-rlabel metal2 s 136799 -480 136855 240 8 la_oenb[41]
+flabel metal2 s 133237 -400 133293 240 0 FreeSans 560 90 0 0 la_oenb[39]
 port 480 nsew signal input
-rlabel metal2 s 138547 -480 138603 240 8 la_oenb[42]
+flabel metal2 s 69409 -400 69465 240 0 FreeSans 560 90 0 0 la_oenb[3]
 port 481 nsew signal input
-rlabel metal2 s 140341 -480 140397 240 8 la_oenb[43]
+flabel metal2 s 135010 -400 135066 240 0 FreeSans 560 90 0 0 la_oenb[40]
 port 482 nsew signal input
-rlabel metal2 s 142135 -480 142191 240 8 la_oenb[44]
+flabel metal2 s 136783 -400 136839 240 0 FreeSans 560 90 0 0 la_oenb[41]
 port 483 nsew signal input
-rlabel metal2 s 143883 -480 143939 240 8 la_oenb[45]
+flabel metal2 s 138556 -400 138612 240 0 FreeSans 560 90 0 0 la_oenb[42]
 port 484 nsew signal input
-rlabel metal2 s 145677 -480 145733 240 8 la_oenb[46]
+flabel metal2 s 140329 -400 140385 240 0 FreeSans 560 90 0 0 la_oenb[43]
 port 485 nsew signal input
-rlabel metal2 s 147425 -480 147481 240 8 la_oenb[47]
+flabel metal2 s 142102 -400 142158 240 0 FreeSans 560 90 0 0 la_oenb[44]
 port 486 nsew signal input
-rlabel metal2 s 149219 -480 149275 240 8 la_oenb[48]
+flabel metal2 s 143875 -400 143931 240 0 FreeSans 560 90 0 0 la_oenb[45]
 port 487 nsew signal input
-rlabel metal2 s 150967 -480 151023 240 8 la_oenb[49]
+flabel metal2 s 145648 -400 145704 240 0 FreeSans 560 90 0 0 la_oenb[46]
 port 488 nsew signal input
-rlabel metal2 s 71203 -480 71259 240 8 la_oenb[4]
+flabel metal2 s 147421 -400 147477 240 0 FreeSans 560 90 0 0 la_oenb[47]
 port 489 nsew signal input
-rlabel metal2 s 152761 -480 152817 240 8 la_oenb[50]
+flabel metal2 s 149194 -400 149250 240 0 FreeSans 560 90 0 0 la_oenb[48]
 port 490 nsew signal input
-rlabel metal2 s 154509 -480 154565 240 8 la_oenb[51]
+flabel metal2 s 150967 -400 151023 240 0 FreeSans 560 90 0 0 la_oenb[49]
 port 491 nsew signal input
-rlabel metal2 s 156303 -480 156359 240 8 la_oenb[52]
+flabel metal2 s 71182 -400 71238 240 0 FreeSans 560 90 0 0 la_oenb[4]
 port 492 nsew signal input
-rlabel metal2 s 158097 -480 158153 240 8 la_oenb[53]
+flabel metal2 s 152740 -400 152796 240 0 FreeSans 560 90 0 0 la_oenb[50]
 port 493 nsew signal input
-rlabel metal2 s 159845 -480 159901 240 8 la_oenb[54]
+flabel metal2 s 154513 -400 154569 240 0 FreeSans 560 90 0 0 la_oenb[51]
 port 494 nsew signal input
-rlabel metal2 s 161639 -480 161695 240 8 la_oenb[55]
+flabel metal2 s 156286 -400 156342 240 0 FreeSans 560 90 0 0 la_oenb[52]
 port 495 nsew signal input
-rlabel metal2 s 163387 -480 163443 240 8 la_oenb[56]
+flabel metal2 s 158059 -400 158115 240 0 FreeSans 560 90 0 0 la_oenb[53]
 port 496 nsew signal input
-rlabel metal2 s 165181 -480 165237 240 8 la_oenb[57]
+flabel metal2 s 159832 -400 159888 240 0 FreeSans 560 90 0 0 la_oenb[54]
 port 497 nsew signal input
-rlabel metal2 s 166929 -480 166985 240 8 la_oenb[58]
+flabel metal2 s 161605 -400 161661 240 0 FreeSans 560 90 0 0 la_oenb[55]
 port 498 nsew signal input
-rlabel metal2 s 168723 -480 168779 240 8 la_oenb[59]
+flabel metal2 s 163378 -400 163434 240 0 FreeSans 560 90 0 0 la_oenb[56]
 port 499 nsew signal input
-rlabel metal2 s 72951 -480 73007 240 8 la_oenb[5]
+flabel metal2 s 165151 -400 165207 240 0 FreeSans 560 90 0 0 la_oenb[57]
 port 500 nsew signal input
-rlabel metal2 s 170471 -480 170527 240 8 la_oenb[60]
+flabel metal2 s 166924 -400 166980 240 0 FreeSans 560 90 0 0 la_oenb[58]
 port 501 nsew signal input
-rlabel metal2 s 172265 -480 172321 240 8 la_oenb[61]
+flabel metal2 s 168697 -400 168753 240 0 FreeSans 560 90 0 0 la_oenb[59]
 port 502 nsew signal input
-rlabel metal2 s 174013 -480 174069 240 8 la_oenb[62]
+flabel metal2 s 72955 -400 73011 240 0 FreeSans 560 90 0 0 la_oenb[5]
 port 503 nsew signal input
-rlabel metal2 s 175807 -480 175863 240 8 la_oenb[63]
+flabel metal2 s 170470 -400 170526 240 0 FreeSans 560 90 0 0 la_oenb[60]
 port 504 nsew signal input
-rlabel metal2 s 177601 -480 177657 240 8 la_oenb[64]
+flabel metal2 s 172243 -400 172299 240 0 FreeSans 560 90 0 0 la_oenb[61]
 port 505 nsew signal input
-rlabel metal2 s 179349 -480 179405 240 8 la_oenb[65]
+flabel metal2 s 174016 -400 174072 240 0 FreeSans 560 90 0 0 la_oenb[62]
 port 506 nsew signal input
-rlabel metal2 s 181143 -480 181199 240 8 la_oenb[66]
+flabel metal2 s 175789 -400 175845 240 0 FreeSans 560 90 0 0 la_oenb[63]
 port 507 nsew signal input
-rlabel metal2 s 182891 -480 182947 240 8 la_oenb[67]
+flabel metal2 s 177562 -400 177618 240 0 FreeSans 560 90 0 0 la_oenb[64]
 port 508 nsew signal input
-rlabel metal2 s 184685 -480 184741 240 8 la_oenb[68]
+flabel metal2 s 179335 -400 179391 240 0 FreeSans 560 90 0 0 la_oenb[65]
 port 509 nsew signal input
-rlabel metal2 s 186433 -480 186489 240 8 la_oenb[69]
+flabel metal2 s 181108 -400 181164 240 0 FreeSans 560 90 0 0 la_oenb[66]
 port 510 nsew signal input
-rlabel metal2 s 74745 -480 74801 240 8 la_oenb[6]
+flabel metal2 s 182881 -400 182937 240 0 FreeSans 560 90 0 0 la_oenb[67]
 port 511 nsew signal input
-rlabel metal2 s 188227 -480 188283 240 8 la_oenb[70]
+flabel metal2 s 184654 -400 184710 240 0 FreeSans 560 90 0 0 la_oenb[68]
 port 512 nsew signal input
-rlabel metal2 s 189975 -480 190031 240 8 la_oenb[71]
+flabel metal2 s 186427 -400 186483 240 0 FreeSans 560 90 0 0 la_oenb[69]
 port 513 nsew signal input
-rlabel metal2 s 191769 -480 191825 240 8 la_oenb[72]
+flabel metal2 s 74728 -400 74784 240 0 FreeSans 560 90 0 0 la_oenb[6]
 port 514 nsew signal input
-rlabel metal2 s 193563 -480 193619 240 8 la_oenb[73]
+flabel metal2 s 188200 -400 188256 240 0 FreeSans 560 90 0 0 la_oenb[70]
 port 515 nsew signal input
-rlabel metal2 s 195311 -480 195367 240 8 la_oenb[74]
+flabel metal2 s 189973 -400 190029 240 0 FreeSans 560 90 0 0 la_oenb[71]
 port 516 nsew signal input
-rlabel metal2 s 197105 -480 197161 240 8 la_oenb[75]
+flabel metal2 s 191746 -400 191802 240 0 FreeSans 560 90 0 0 la_oenb[72]
 port 517 nsew signal input
-rlabel metal2 s 198853 -480 198909 240 8 la_oenb[76]
+flabel metal2 s 193519 -400 193575 240 0 FreeSans 560 90 0 0 la_oenb[73]
 port 518 nsew signal input
-rlabel metal2 s 200647 -480 200703 240 8 la_oenb[77]
+flabel metal2 s 195292 -400 195348 240 0 FreeSans 560 90 0 0 la_oenb[74]
 port 519 nsew signal input
-rlabel metal2 s 202395 -480 202451 240 8 la_oenb[78]
+flabel metal2 s 197065 -400 197121 240 0 FreeSans 560 90 0 0 la_oenb[75]
 port 520 nsew signal input
-rlabel metal2 s 204189 -480 204245 240 8 la_oenb[79]
+flabel metal2 s 198838 -400 198894 240 0 FreeSans 560 90 0 0 la_oenb[76]
 port 521 nsew signal input
-rlabel metal2 s 76493 -480 76549 240 8 la_oenb[7]
+flabel metal2 s 200611 -400 200667 240 0 FreeSans 560 90 0 0 la_oenb[77]
 port 522 nsew signal input
-rlabel metal2 s 205937 -480 205993 240 8 la_oenb[80]
+flabel metal2 s 202384 -400 202440 240 0 FreeSans 560 90 0 0 la_oenb[78]
 port 523 nsew signal input
-rlabel metal2 s 207731 -480 207787 240 8 la_oenb[81]
+flabel metal2 s 204157 -400 204213 240 0 FreeSans 560 90 0 0 la_oenb[79]
 port 524 nsew signal input
-rlabel metal2 s 209479 -480 209535 240 8 la_oenb[82]
+flabel metal2 s 76501 -400 76557 240 0 FreeSans 560 90 0 0 la_oenb[7]
 port 525 nsew signal input
-rlabel metal2 s 211273 -480 211329 240 8 la_oenb[83]
+flabel metal2 s 205930 -400 205986 240 0 FreeSans 560 90 0 0 la_oenb[80]
 port 526 nsew signal input
-rlabel metal2 s 213067 -480 213123 240 8 la_oenb[84]
+flabel metal2 s 207703 -400 207759 240 0 FreeSans 560 90 0 0 la_oenb[81]
 port 527 nsew signal input
-rlabel metal2 s 214815 -480 214871 240 8 la_oenb[85]
+flabel metal2 s 209476 -400 209532 240 0 FreeSans 560 90 0 0 la_oenb[82]
 port 528 nsew signal input
-rlabel metal2 s 216609 -480 216665 240 8 la_oenb[86]
+flabel metal2 s 211249 -400 211305 240 0 FreeSans 560 90 0 0 la_oenb[83]
 port 529 nsew signal input
-rlabel metal2 s 218357 -480 218413 240 8 la_oenb[87]
+flabel metal2 s 213022 -400 213078 240 0 FreeSans 560 90 0 0 la_oenb[84]
 port 530 nsew signal input
-rlabel metal2 s 220151 -480 220207 240 8 la_oenb[88]
+flabel metal2 s 214795 -400 214851 240 0 FreeSans 560 90 0 0 la_oenb[85]
 port 531 nsew signal input
-rlabel metal2 s 221899 -480 221955 240 8 la_oenb[89]
+flabel metal2 s 216568 -400 216624 240 0 FreeSans 560 90 0 0 la_oenb[86]
 port 532 nsew signal input
-rlabel metal2 s 78287 -480 78343 240 8 la_oenb[8]
+flabel metal2 s 218341 -400 218397 240 0 FreeSans 560 90 0 0 la_oenb[87]
 port 533 nsew signal input
-rlabel metal2 s 223693 -480 223749 240 8 la_oenb[90]
+flabel metal2 s 220114 -400 220170 240 0 FreeSans 560 90 0 0 la_oenb[88]
 port 534 nsew signal input
-rlabel metal2 s 225441 -480 225497 240 8 la_oenb[91]
+flabel metal2 s 221887 -400 221943 240 0 FreeSans 560 90 0 0 la_oenb[89]
 port 535 nsew signal input
-rlabel metal2 s 227235 -480 227291 240 8 la_oenb[92]
+flabel metal2 s 78274 -400 78330 240 0 FreeSans 560 90 0 0 la_oenb[8]
 port 536 nsew signal input
-rlabel metal2 s 229029 -480 229085 240 8 la_oenb[93]
+flabel metal2 s 223660 -400 223716 240 0 FreeSans 560 90 0 0 la_oenb[90]
 port 537 nsew signal input
-rlabel metal2 s 230777 -480 230833 240 8 la_oenb[94]
+flabel metal2 s 225433 -400 225489 240 0 FreeSans 560 90 0 0 la_oenb[91]
 port 538 nsew signal input
-rlabel metal2 s 232571 -480 232627 240 8 la_oenb[95]
+flabel metal2 s 227206 -400 227262 240 0 FreeSans 560 90 0 0 la_oenb[92]
 port 539 nsew signal input
-rlabel metal2 s 234319 -480 234375 240 8 la_oenb[96]
+flabel metal2 s 228979 -400 229035 240 0 FreeSans 560 90 0 0 la_oenb[93]
 port 540 nsew signal input
-rlabel metal2 s 236113 -480 236169 240 8 la_oenb[97]
+flabel metal2 s 230752 -400 230808 240 0 FreeSans 560 90 0 0 la_oenb[94]
 port 541 nsew signal input
-rlabel metal2 s 237861 -480 237917 240 8 la_oenb[98]
+flabel metal2 s 232525 -400 232581 240 0 FreeSans 560 90 0 0 la_oenb[95]
 port 542 nsew signal input
-rlabel metal2 s 239655 -480 239711 240 8 la_oenb[99]
+flabel metal2 s 234298 -400 234354 240 0 FreeSans 560 90 0 0 la_oenb[96]
 port 543 nsew signal input
-rlabel metal2 s 80035 -480 80091 240 8 la_oenb[9]
+flabel metal2 s 236071 -400 236127 240 0 FreeSans 560 90 0 0 la_oenb[97]
 port 544 nsew signal input
-rlabel metal2 s 289887 -480 289943 240 8 user_clock2
+flabel metal2 s 237844 -400 237900 240 0 FreeSans 560 90 0 0 la_oenb[98]
 port 545 nsew signal input
-rlabel metal2 s 290485 -480 290541 240 8 user_irq[0]
-port 546 nsew signal tristate
-rlabel metal2 s 291083 -480 291139 240 8 user_irq[1]
-port 547 nsew signal tristate
-rlabel metal2 s 291681 -480 291737 240 8 user_irq[2]
-port 548 nsew signal tristate
-rlabel metal2 s 271 -480 327 240 8 wb_clk_i
-port 549 nsew signal input
-rlabel metal2 s 823 -480 879 240 8 wb_rst_i
-port 550 nsew signal input
-rlabel metal2 s 1421 -480 1477 240 8 wbs_ack_o
+flabel metal2 s 239617 -400 239673 240 0 FreeSans 560 90 0 0 la_oenb[99]
+port 546 nsew signal input
+flabel metal2 s 80047 -400 80103 240 0 FreeSans 560 90 0 0 la_oenb[9]
+port 547 nsew signal input
+flabel metal2 s 289852 -400 289908 240 0 FreeSans 560 90 0 0 user_clock2
+port 548 nsew signal input
+flabel metal2 s 290443 -400 290499 240 0 FreeSans 560 90 0 0 user_irq[0]
+port 549 nsew signal tristate
+flabel metal2 s 291034 -400 291090 240 0 FreeSans 560 90 0 0 user_irq[1]
+port 550 nsew signal tristate
+flabel metal2 s 291625 -400 291681 240 0 FreeSans 560 90 0 0 user_irq[2]
 port 551 nsew signal tristate
-rlabel metal2 s 3813 -480 3869 240 8 wbs_adr_i[0]
-port 552 nsew signal input
-rlabel metal2 s 23915 -480 23971 240 8 wbs_adr_i[10]
-port 553 nsew signal input
-rlabel metal2 s 25663 -480 25719 240 8 wbs_adr_i[11]
-port 554 nsew signal input
-rlabel metal2 s 27457 -480 27513 240 8 wbs_adr_i[12]
-port 555 nsew signal input
-rlabel metal2 s 29205 -480 29261 240 8 wbs_adr_i[13]
-port 556 nsew signal input
-rlabel metal2 s 30999 -480 31055 240 8 wbs_adr_i[14]
-port 557 nsew signal input
-rlabel metal2 s 32747 -480 32803 240 8 wbs_adr_i[15]
-port 558 nsew signal input
-rlabel metal2 s 34541 -480 34597 240 8 wbs_adr_i[16]
-port 559 nsew signal input
-rlabel metal2 s 36289 -480 36345 240 8 wbs_adr_i[17]
-port 560 nsew signal input
-rlabel metal2 s 38083 -480 38139 240 8 wbs_adr_i[18]
-port 561 nsew signal input
-rlabel metal2 s 39831 -480 39887 240 8 wbs_adr_i[19]
-port 562 nsew signal input
-rlabel metal2 s 6159 -480 6215 240 8 wbs_adr_i[1]
-port 563 nsew signal input
-rlabel metal2 s 41625 -480 41681 240 8 wbs_adr_i[20]
-port 564 nsew signal input
-rlabel metal2 s 43419 -480 43475 240 8 wbs_adr_i[21]
-port 565 nsew signal input
-rlabel metal2 s 45167 -480 45223 240 8 wbs_adr_i[22]
-port 566 nsew signal input
-rlabel metal2 s 46961 -480 47017 240 8 wbs_adr_i[23]
-port 567 nsew signal input
-rlabel metal2 s 48709 -480 48765 240 8 wbs_adr_i[24]
-port 568 nsew signal input
-rlabel metal2 s 50503 -480 50559 240 8 wbs_adr_i[25]
-port 569 nsew signal input
-rlabel metal2 s 52251 -480 52307 240 8 wbs_adr_i[26]
-port 570 nsew signal input
-rlabel metal2 s 54045 -480 54101 240 8 wbs_adr_i[27]
-port 571 nsew signal input
-rlabel metal2 s 55793 -480 55849 240 8 wbs_adr_i[28]
+flabel metal3 s 291170 319892 292000 322292 0 FreeSans 560 0 0 0 vccd1
+port 552 nsew signal bidirectional
+flabel metal3 s 291170 314892 292000 317292 0 FreeSans 560 0 0 0 vccd1
+port 553 nsew signal bidirectional
+flabel metal3 s 0 321921 830 324321 0 FreeSans 560 0 0 0 vccd2
+port 554 nsew signal bidirectional
+flabel metal3 s 0 316921 830 319321 0 FreeSans 560 0 0 0 vccd2
+port 555 nsew signal bidirectional
+flabel metal3 s 291170 270281 292000 272681 0 FreeSans 560 0 0 0 vdda1
+port 556 nsew signal bidirectional
+flabel metal3 s 291170 275281 292000 277681 0 FreeSans 560 0 0 0 vdda1
+port 557 nsew signal bidirectional
+flabel metal3 s 291170 117615 292000 120015 0 FreeSans 560 0 0 0 vdda1
+port 558 nsew signal bidirectional
+flabel metal3 s 291170 112615 292000 115015 0 FreeSans 560 0 0 0 vdda1
+port 559 nsew signal bidirectional
+flabel metal3 s 0 102444 830 104844 0 FreeSans 560 0 0 0 vdda2
+port 560 nsew signal bidirectional
+flabel metal3 s 0 107444 830 109844 0 FreeSans 560 0 0 0 vdda2
+port 561 nsew signal bidirectional
+flabel metal3 s 260297 351170 262697 352000 0 FreeSans 960 180 0 0 vssa1
+port 562 nsew signal bidirectional
+flabel metal3 s 255297 351170 257697 352000 0 FreeSans 960 180 0 0 vssa1
+port 563 nsew signal bidirectional
+flabel metal3 s 291170 73415 292000 75815 0 FreeSans 560 0 0 0 vssa1
+port 564 nsew signal bidirectional
+flabel metal3 s 291170 68415 292000 70815 0 FreeSans 560 0 0 0 vssa1
+port 565 nsew signal bidirectional
+flabel metal3 s 0 279721 830 282121 0 FreeSans 560 0 0 0 vssa2
+port 566 nsew signal bidirectional
+flabel metal3 s 0 274721 830 277121 0 FreeSans 560 0 0 0 vssa2
+port 567 nsew signal bidirectional
+flabel metal3 s 291170 95715 292000 98115 0 FreeSans 560 0 0 0 vssd1
+port 568 nsew signal bidirectional
+flabel metal3 s 291170 90715 292000 93115 0 FreeSans 560 0 0 0 vssd1
+port 569 nsew signal bidirectional
+flabel metal3 s 0 86444 830 88844 0 FreeSans 560 0 0 0 vssd2
+port 570 nsew signal bidirectional
+flabel metal3 s 0 81444 830 83844 0 FreeSans 560 0 0 0 vssd2
+port 571 nsew signal bidirectional
+flabel metal2 s 262 -400 318 240 0 FreeSans 560 90 0 0 wb_clk_i
 port 572 nsew signal input
-rlabel metal2 s 57587 -480 57643 240 8 wbs_adr_i[29]
+flabel metal2 s 853 -400 909 240 0 FreeSans 560 90 0 0 wb_rst_i
 port 573 nsew signal input
-rlabel metal2 s 8505 -480 8561 240 8 wbs_adr_i[2]
-port 574 nsew signal input
-rlabel metal2 s 59381 -480 59437 240 8 wbs_adr_i[30]
+flabel metal2 s 1444 -400 1500 240 0 FreeSans 560 90 0 0 wbs_ack_o
+port 574 nsew signal tristate
+flabel metal2 s 3808 -400 3864 240 0 FreeSans 560 90 0 0 wbs_adr_i[0]
 port 575 nsew signal input
-rlabel metal2 s 61129 -480 61185 240 8 wbs_adr_i[31]
+flabel metal2 s 23902 -400 23958 240 0 FreeSans 560 90 0 0 wbs_adr_i[10]
 port 576 nsew signal input
-rlabel metal2 s 10897 -480 10953 240 8 wbs_adr_i[3]
+flabel metal2 s 25675 -400 25731 240 0 FreeSans 560 90 0 0 wbs_adr_i[11]
 port 577 nsew signal input
-rlabel metal2 s 13243 -480 13299 240 8 wbs_adr_i[4]
+flabel metal2 s 27448 -400 27504 240 0 FreeSans 560 90 0 0 wbs_adr_i[12]
 port 578 nsew signal input
-rlabel metal2 s 15037 -480 15093 240 8 wbs_adr_i[5]
+flabel metal2 s 29221 -400 29277 240 0 FreeSans 560 90 0 0 wbs_adr_i[13]
 port 579 nsew signal input
-rlabel metal2 s 16785 -480 16841 240 8 wbs_adr_i[6]
+flabel metal2 s 30994 -400 31050 240 0 FreeSans 560 90 0 0 wbs_adr_i[14]
 port 580 nsew signal input
-rlabel metal2 s 18579 -480 18635 240 8 wbs_adr_i[7]
+flabel metal2 s 32767 -400 32823 240 0 FreeSans 560 90 0 0 wbs_adr_i[15]
 port 581 nsew signal input
-rlabel metal2 s 20327 -480 20383 240 8 wbs_adr_i[8]
+flabel metal2 s 34540 -400 34596 240 0 FreeSans 560 90 0 0 wbs_adr_i[16]
 port 582 nsew signal input
-rlabel metal2 s 22121 -480 22177 240 8 wbs_adr_i[9]
+flabel metal2 s 36313 -400 36369 240 0 FreeSans 560 90 0 0 wbs_adr_i[17]
 port 583 nsew signal input
-rlabel metal2 s 2019 -480 2075 240 8 wbs_cyc_i
+flabel metal2 s 38086 -400 38142 240 0 FreeSans 560 90 0 0 wbs_adr_i[18]
 port 584 nsew signal input
-rlabel metal2 s 4365 -480 4421 240 8 wbs_dat_i[0]
+flabel metal2 s 39859 -400 39915 240 0 FreeSans 560 90 0 0 wbs_adr_i[19]
 port 585 nsew signal input
-rlabel metal2 s 24467 -480 24523 240 8 wbs_dat_i[10]
+flabel metal2 s 6172 -400 6228 240 0 FreeSans 560 90 0 0 wbs_adr_i[1]
 port 586 nsew signal input
-rlabel metal2 s 26261 -480 26317 240 8 wbs_dat_i[11]
+flabel metal2 s 41632 -400 41688 240 0 FreeSans 560 90 0 0 wbs_adr_i[20]
 port 587 nsew signal input
-rlabel metal2 s 28009 -480 28065 240 8 wbs_dat_i[12]
+flabel metal2 s 43405 -400 43461 240 0 FreeSans 560 90 0 0 wbs_adr_i[21]
 port 588 nsew signal input
-rlabel metal2 s 29803 -480 29859 240 8 wbs_dat_i[13]
+flabel metal2 s 45178 -400 45234 240 0 FreeSans 560 90 0 0 wbs_adr_i[22]
 port 589 nsew signal input
-rlabel metal2 s 31597 -480 31653 240 8 wbs_dat_i[14]
+flabel metal2 s 46951 -400 47007 240 0 FreeSans 560 90 0 0 wbs_adr_i[23]
 port 590 nsew signal input
-rlabel metal2 s 33345 -480 33401 240 8 wbs_dat_i[15]
+flabel metal2 s 48724 -400 48780 240 0 FreeSans 560 90 0 0 wbs_adr_i[24]
 port 591 nsew signal input
-rlabel metal2 s 35139 -480 35195 240 8 wbs_dat_i[16]
+flabel metal2 s 50497 -400 50553 240 0 FreeSans 560 90 0 0 wbs_adr_i[25]
 port 592 nsew signal input
-rlabel metal2 s 36887 -480 36943 240 8 wbs_dat_i[17]
+flabel metal2 s 52270 -400 52326 240 0 FreeSans 560 90 0 0 wbs_adr_i[26]
 port 593 nsew signal input
-rlabel metal2 s 38681 -480 38737 240 8 wbs_dat_i[18]
+flabel metal2 s 54043 -400 54099 240 0 FreeSans 560 90 0 0 wbs_adr_i[27]
 port 594 nsew signal input
-rlabel metal2 s 40429 -480 40485 240 8 wbs_dat_i[19]
+flabel metal2 s 55816 -400 55872 240 0 FreeSans 560 90 0 0 wbs_adr_i[28]
 port 595 nsew signal input
-rlabel metal2 s 6757 -480 6813 240 8 wbs_dat_i[1]
+flabel metal2 s 57589 -400 57645 240 0 FreeSans 560 90 0 0 wbs_adr_i[29]
 port 596 nsew signal input
-rlabel metal2 s 42223 -480 42279 240 8 wbs_dat_i[20]
+flabel metal2 s 8536 -400 8592 240 0 FreeSans 560 90 0 0 wbs_adr_i[2]
 port 597 nsew signal input
-rlabel metal2 s 43971 -480 44027 240 8 wbs_dat_i[21]
+flabel metal2 s 59362 -400 59418 240 0 FreeSans 560 90 0 0 wbs_adr_i[30]
 port 598 nsew signal input
-rlabel metal2 s 45765 -480 45821 240 8 wbs_dat_i[22]
+flabel metal2 s 61135 -400 61191 240 0 FreeSans 560 90 0 0 wbs_adr_i[31]
 port 599 nsew signal input
-rlabel metal2 s 47559 -480 47615 240 8 wbs_dat_i[23]
+flabel metal2 s 10900 -400 10956 240 0 FreeSans 560 90 0 0 wbs_adr_i[3]
 port 600 nsew signal input
-rlabel metal2 s 49307 -480 49363 240 8 wbs_dat_i[24]
+flabel metal2 s 13264 -400 13320 240 0 FreeSans 560 90 0 0 wbs_adr_i[4]
 port 601 nsew signal input
-rlabel metal2 s 51101 -480 51157 240 8 wbs_dat_i[25]
+flabel metal2 s 15037 -400 15093 240 0 FreeSans 560 90 0 0 wbs_adr_i[5]
 port 602 nsew signal input
-rlabel metal2 s 52849 -480 52905 240 8 wbs_dat_i[26]
+flabel metal2 s 16810 -400 16866 240 0 FreeSans 560 90 0 0 wbs_adr_i[6]
 port 603 nsew signal input
-rlabel metal2 s 54643 -480 54699 240 8 wbs_dat_i[27]
+flabel metal2 s 18583 -400 18639 240 0 FreeSans 560 90 0 0 wbs_adr_i[7]
 port 604 nsew signal input
-rlabel metal2 s 56391 -480 56447 240 8 wbs_dat_i[28]
+flabel metal2 s 20356 -400 20412 240 0 FreeSans 560 90 0 0 wbs_adr_i[8]
 port 605 nsew signal input
-rlabel metal2 s 58185 -480 58241 240 8 wbs_dat_i[29]
+flabel metal2 s 22129 -400 22185 240 0 FreeSans 560 90 0 0 wbs_adr_i[9]
 port 606 nsew signal input
-rlabel metal2 s 9103 -480 9159 240 8 wbs_dat_i[2]
+flabel metal2 s 2035 -400 2091 240 0 FreeSans 560 90 0 0 wbs_cyc_i
 port 607 nsew signal input
-rlabel metal2 s 59933 -480 59989 240 8 wbs_dat_i[30]
+flabel metal2 s 4399 -400 4455 240 0 FreeSans 560 90 0 0 wbs_dat_i[0]
 port 608 nsew signal input
-rlabel metal2 s 61727 -480 61783 240 8 wbs_dat_i[31]
+flabel metal2 s 24493 -400 24549 240 0 FreeSans 560 90 0 0 wbs_dat_i[10]
 port 609 nsew signal input
-rlabel metal2 s 11495 -480 11551 240 8 wbs_dat_i[3]
+flabel metal2 s 26266 -400 26322 240 0 FreeSans 560 90 0 0 wbs_dat_i[11]
 port 610 nsew signal input
-rlabel metal2 s 13841 -480 13897 240 8 wbs_dat_i[4]
+flabel metal2 s 28039 -400 28095 240 0 FreeSans 560 90 0 0 wbs_dat_i[12]
 port 611 nsew signal input
-rlabel metal2 s 15635 -480 15691 240 8 wbs_dat_i[5]
+flabel metal2 s 29812 -400 29868 240 0 FreeSans 560 90 0 0 wbs_dat_i[13]
 port 612 nsew signal input
-rlabel metal2 s 17383 -480 17439 240 8 wbs_dat_i[6]
+flabel metal2 s 31585 -400 31641 240 0 FreeSans 560 90 0 0 wbs_dat_i[14]
 port 613 nsew signal input
-rlabel metal2 s 19177 -480 19233 240 8 wbs_dat_i[7]
+flabel metal2 s 33358 -400 33414 240 0 FreeSans 560 90 0 0 wbs_dat_i[15]
 port 614 nsew signal input
-rlabel metal2 s 20925 -480 20981 240 8 wbs_dat_i[8]
+flabel metal2 s 35131 -400 35187 240 0 FreeSans 560 90 0 0 wbs_dat_i[16]
 port 615 nsew signal input
-rlabel metal2 s 22719 -480 22775 240 8 wbs_dat_i[9]
+flabel metal2 s 36904 -400 36960 240 0 FreeSans 560 90 0 0 wbs_dat_i[17]
 port 616 nsew signal input
-rlabel metal2 s 4963 -480 5019 240 8 wbs_dat_o[0]
-port 617 nsew signal tristate
-rlabel metal2 s 25065 -480 25121 240 8 wbs_dat_o[10]
-port 618 nsew signal tristate
-rlabel metal2 s 26859 -480 26915 240 8 wbs_dat_o[11]
-port 619 nsew signal tristate
-rlabel metal2 s 28607 -480 28663 240 8 wbs_dat_o[12]
-port 620 nsew signal tristate
-rlabel metal2 s 30401 -480 30457 240 8 wbs_dat_o[13]
-port 621 nsew signal tristate
-rlabel metal2 s 32149 -480 32205 240 8 wbs_dat_o[14]
-port 622 nsew signal tristate
-rlabel metal2 s 33943 -480 33999 240 8 wbs_dat_o[15]
-port 623 nsew signal tristate
-rlabel metal2 s 35737 -480 35793 240 8 wbs_dat_o[16]
-port 624 nsew signal tristate
-rlabel metal2 s 37485 -480 37541 240 8 wbs_dat_o[17]
-port 625 nsew signal tristate
-rlabel metal2 s 39279 -480 39335 240 8 wbs_dat_o[18]
-port 626 nsew signal tristate
-rlabel metal2 s 41027 -480 41083 240 8 wbs_dat_o[19]
-port 627 nsew signal tristate
-rlabel metal2 s 7355 -480 7411 240 8 wbs_dat_o[1]
-port 628 nsew signal tristate
-rlabel metal2 s 42821 -480 42877 240 8 wbs_dat_o[20]
-port 629 nsew signal tristate
-rlabel metal2 s 44569 -480 44625 240 8 wbs_dat_o[21]
-port 630 nsew signal tristate
-rlabel metal2 s 46363 -480 46419 240 8 wbs_dat_o[22]
-port 631 nsew signal tristate
-rlabel metal2 s 48111 -480 48167 240 8 wbs_dat_o[23]
-port 632 nsew signal tristate
-rlabel metal2 s 49905 -480 49961 240 8 wbs_dat_o[24]
-port 633 nsew signal tristate
-rlabel metal2 s 51653 -480 51709 240 8 wbs_dat_o[25]
-port 634 nsew signal tristate
-rlabel metal2 s 53447 -480 53503 240 8 wbs_dat_o[26]
-port 635 nsew signal tristate
-rlabel metal2 s 55241 -480 55297 240 8 wbs_dat_o[27]
-port 636 nsew signal tristate
-rlabel metal2 s 56989 -480 57045 240 8 wbs_dat_o[28]
-port 637 nsew signal tristate
-rlabel metal2 s 58783 -480 58839 240 8 wbs_dat_o[29]
-port 638 nsew signal tristate
-rlabel metal2 s 9701 -480 9757 240 8 wbs_dat_o[2]
-port 639 nsew signal tristate
-rlabel metal2 s 60531 -480 60587 240 8 wbs_dat_o[30]
+flabel metal2 s 38677 -400 38733 240 0 FreeSans 560 90 0 0 wbs_dat_i[18]
+port 617 nsew signal input
+flabel metal2 s 40450 -400 40506 240 0 FreeSans 560 90 0 0 wbs_dat_i[19]
+port 618 nsew signal input
+flabel metal2 s 6763 -400 6819 240 0 FreeSans 560 90 0 0 wbs_dat_i[1]
+port 619 nsew signal input
+flabel metal2 s 42223 -400 42279 240 0 FreeSans 560 90 0 0 wbs_dat_i[20]
+port 620 nsew signal input
+flabel metal2 s 43996 -400 44052 240 0 FreeSans 560 90 0 0 wbs_dat_i[21]
+port 621 nsew signal input
+flabel metal2 s 45769 -400 45825 240 0 FreeSans 560 90 0 0 wbs_dat_i[22]
+port 622 nsew signal input
+flabel metal2 s 47542 -400 47598 240 0 FreeSans 560 90 0 0 wbs_dat_i[23]
+port 623 nsew signal input
+flabel metal2 s 49315 -400 49371 240 0 FreeSans 560 90 0 0 wbs_dat_i[24]
+port 624 nsew signal input
+flabel metal2 s 51088 -400 51144 240 0 FreeSans 560 90 0 0 wbs_dat_i[25]
+port 625 nsew signal input
+flabel metal2 s 52861 -400 52917 240 0 FreeSans 560 90 0 0 wbs_dat_i[26]
+port 626 nsew signal input
+flabel metal2 s 54634 -400 54690 240 0 FreeSans 560 90 0 0 wbs_dat_i[27]
+port 627 nsew signal input
+flabel metal2 s 56407 -400 56463 240 0 FreeSans 560 90 0 0 wbs_dat_i[28]
+port 628 nsew signal input
+flabel metal2 s 58180 -400 58236 240 0 FreeSans 560 90 0 0 wbs_dat_i[29]
+port 629 nsew signal input
+flabel metal2 s 9127 -400 9183 240 0 FreeSans 560 90 0 0 wbs_dat_i[2]
+port 630 nsew signal input
+flabel metal2 s 59953 -400 60009 240 0 FreeSans 560 90 0 0 wbs_dat_i[30]
+port 631 nsew signal input
+flabel metal2 s 61726 -400 61782 240 0 FreeSans 560 90 0 0 wbs_dat_i[31]
+port 632 nsew signal input
+flabel metal2 s 11491 -400 11547 240 0 FreeSans 560 90 0 0 wbs_dat_i[3]
+port 633 nsew signal input
+flabel metal2 s 13855 -400 13911 240 0 FreeSans 560 90 0 0 wbs_dat_i[4]
+port 634 nsew signal input
+flabel metal2 s 15628 -400 15684 240 0 FreeSans 560 90 0 0 wbs_dat_i[5]
+port 635 nsew signal input
+flabel metal2 s 17401 -400 17457 240 0 FreeSans 560 90 0 0 wbs_dat_i[6]
+port 636 nsew signal input
+flabel metal2 s 19174 -400 19230 240 0 FreeSans 560 90 0 0 wbs_dat_i[7]
+port 637 nsew signal input
+flabel metal2 s 20947 -400 21003 240 0 FreeSans 560 90 0 0 wbs_dat_i[8]
+port 638 nsew signal input
+flabel metal2 s 22720 -400 22776 240 0 FreeSans 560 90 0 0 wbs_dat_i[9]
+port 639 nsew signal input
+flabel metal2 s 4990 -400 5046 240 0 FreeSans 560 90 0 0 wbs_dat_o[0]
 port 640 nsew signal tristate
-rlabel metal2 s 62325 -480 62381 240 8 wbs_dat_o[31]
+flabel metal2 s 25084 -400 25140 240 0 FreeSans 560 90 0 0 wbs_dat_o[10]
 port 641 nsew signal tristate
-rlabel metal2 s 12093 -480 12149 240 8 wbs_dat_o[3]
+flabel metal2 s 26857 -400 26913 240 0 FreeSans 560 90 0 0 wbs_dat_o[11]
 port 642 nsew signal tristate
-rlabel metal2 s 14439 -480 14495 240 8 wbs_dat_o[4]
+flabel metal2 s 28630 -400 28686 240 0 FreeSans 560 90 0 0 wbs_dat_o[12]
 port 643 nsew signal tristate
-rlabel metal2 s 16187 -480 16243 240 8 wbs_dat_o[5]
+flabel metal2 s 30403 -400 30459 240 0 FreeSans 560 90 0 0 wbs_dat_o[13]
 port 644 nsew signal tristate
-rlabel metal2 s 17981 -480 18037 240 8 wbs_dat_o[6]
+flabel metal2 s 32176 -400 32232 240 0 FreeSans 560 90 0 0 wbs_dat_o[14]
 port 645 nsew signal tristate
-rlabel metal2 s 19775 -480 19831 240 8 wbs_dat_o[7]
+flabel metal2 s 33949 -400 34005 240 0 FreeSans 560 90 0 0 wbs_dat_o[15]
 port 646 nsew signal tristate
-rlabel metal2 s 21523 -480 21579 240 8 wbs_dat_o[8]
+flabel metal2 s 35722 -400 35778 240 0 FreeSans 560 90 0 0 wbs_dat_o[16]
 port 647 nsew signal tristate
-rlabel metal2 s 23317 -480 23373 240 8 wbs_dat_o[9]
+flabel metal2 s 37495 -400 37551 240 0 FreeSans 560 90 0 0 wbs_dat_o[17]
 port 648 nsew signal tristate
-rlabel metal2 s 5561 -480 5617 240 8 wbs_sel_i[0]
-port 649 nsew signal input
-rlabel metal2 s 7953 -480 8009 240 8 wbs_sel_i[1]
-port 650 nsew signal input
-rlabel metal2 s 10299 -480 10355 240 8 wbs_sel_i[2]
-port 651 nsew signal input
-rlabel metal2 s 12645 -480 12701 240 8 wbs_sel_i[3]
-port 652 nsew signal input
-rlabel metal2 s 2617 -480 2673 240 8 wbs_stb_i
-port 653 nsew signal input
-rlabel metal2 s 3215 -480 3271 240 8 wbs_we_i
-port 654 nsew signal input
-rlabel metal4 s 292660 -462 292960 352430 6 vccd1
-port 655 nsew power bidirectional
-rlabel metal4 s -998 -462 -698 352430 4 vccd1.extra1
-port 656 nsew power bidirectional
-rlabel metal5 s -998 352130 292960 352430 6 vccd1.extra2
-port 657 nsew power bidirectional
-rlabel metal5 s -998 -462 292960 -162 8 vccd1.extra3
-port 658 nsew power bidirectional
-rlabel metal4 s 293130 -932 293430 352900 6 vssd1
-port 659 nsew ground bidirectional
-rlabel metal4 s -1468 -932 -1168 352900 4 vssd1.extra1
-port 660 nsew ground bidirectional
-rlabel metal5 s -1468 352600 293430 352900 6 vssd1.extra2
-port 661 nsew ground bidirectional
-rlabel metal5 s -1468 -932 293430 -632 8 vssd1.extra3
-port 662 nsew ground bidirectional
-rlabel metal4 s 293600 -1402 293900 353370 6 vccd2
-port 663 nsew power bidirectional
-rlabel metal4 s -1938 -1402 -1638 353370 4 vccd2.extra1
-port 664 nsew power bidirectional
-rlabel metal5 s -1938 353070 293900 353370 6 vccd2.extra2
-port 665 nsew power bidirectional
-rlabel metal5 s -1938 -1402 293900 -1102 8 vccd2.extra3
-port 666 nsew power bidirectional
-rlabel metal4 s 294070 -1872 294370 353840 6 vssd2
-port 667 nsew ground bidirectional
-rlabel metal4 s -2408 -1872 -2108 353840 4 vssd2.extra1
-port 668 nsew ground bidirectional
-rlabel metal5 s -2408 353540 294370 353840 6 vssd2.extra2
-port 669 nsew ground bidirectional
-rlabel metal5 s -2408 -1872 294370 -1572 8 vssd2.extra3
-port 670 nsew ground bidirectional
-rlabel metal4 s 294540 -2342 294840 354310 6 vdda1
-port 671 nsew power bidirectional
-rlabel metal4 s -2878 -2342 -2578 354310 4 vdda1.extra1
-port 672 nsew power bidirectional
-rlabel metal5 s -2878 354010 294840 354310 6 vdda1.extra2
-port 673 nsew power bidirectional
-rlabel metal5 s -2878 -2342 294840 -2042 8 vdda1.extra3
-port 674 nsew power bidirectional
-rlabel metal4 s 295010 -2812 295310 354780 6 vssa1
-port 675 nsew ground bidirectional
-rlabel metal4 s -3348 -2812 -3048 354780 4 vssa1.extra1
-port 676 nsew ground bidirectional
-rlabel metal5 s -3348 354480 295310 354780 6 vssa1.extra2
-port 677 nsew ground bidirectional
-rlabel metal5 s -3348 -2812 295310 -2512 8 vssa1.extra3
-port 678 nsew ground bidirectional
-rlabel metal4 s 295480 -3282 295780 355250 6 vdda2
-port 679 nsew power bidirectional
-rlabel metal4 s -3818 -3282 -3518 355250 4 vdda2.extra1
-port 680 nsew power bidirectional
-rlabel metal5 s -3818 354950 295780 355250 6 vdda2.extra2
-port 681 nsew power bidirectional
-rlabel metal5 s -3818 -3282 295780 -2982 8 vdda2.extra3
-port 682 nsew power bidirectional
-rlabel metal4 s 295950 -3752 296250 355720 6 vssa2
-port 683 nsew ground bidirectional
-rlabel metal4 s -4288 -3752 -3988 355720 4 vssa2.extra1
-port 684 nsew ground bidirectional
-rlabel metal5 s -4288 355420 296250 355720 6 vssa2.extra2
-port 685 nsew ground bidirectional
-rlabel metal5 s -4288 -3752 296250 -3452 8 vssa2.extra3
-port 686 nsew ground bidirectional
+flabel metal2 s 39268 -400 39324 240 0 FreeSans 560 90 0 0 wbs_dat_o[18]
+port 649 nsew signal tristate
+flabel metal2 s 41041 -400 41097 240 0 FreeSans 560 90 0 0 wbs_dat_o[19]
+port 650 nsew signal tristate
+flabel metal2 s 7354 -400 7410 240 0 FreeSans 560 90 0 0 wbs_dat_o[1]
+port 651 nsew signal tristate
+flabel metal2 s 42814 -400 42870 240 0 FreeSans 560 90 0 0 wbs_dat_o[20]
+port 652 nsew signal tristate
+flabel metal2 s 44587 -400 44643 240 0 FreeSans 560 90 0 0 wbs_dat_o[21]
+port 653 nsew signal tristate
+flabel metal2 s 46360 -400 46416 240 0 FreeSans 560 90 0 0 wbs_dat_o[22]
+port 654 nsew signal tristate
+flabel metal2 s 48133 -400 48189 240 0 FreeSans 560 90 0 0 wbs_dat_o[23]
+port 655 nsew signal tristate
+flabel metal2 s 49906 -400 49962 240 0 FreeSans 560 90 0 0 wbs_dat_o[24]
+port 656 nsew signal tristate
+flabel metal2 s 51679 -400 51735 240 0 FreeSans 560 90 0 0 wbs_dat_o[25]
+port 657 nsew signal tristate
+flabel metal2 s 53452 -400 53508 240 0 FreeSans 560 90 0 0 wbs_dat_o[26]
+port 658 nsew signal tristate
+flabel metal2 s 55225 -400 55281 240 0 FreeSans 560 90 0 0 wbs_dat_o[27]
+port 659 nsew signal tristate
+flabel metal2 s 56998 -400 57054 240 0 FreeSans 560 90 0 0 wbs_dat_o[28]
+port 660 nsew signal tristate
+flabel metal2 s 58771 -400 58827 240 0 FreeSans 560 90 0 0 wbs_dat_o[29]
+port 661 nsew signal tristate
+flabel metal2 s 9718 -400 9774 240 0 FreeSans 560 90 0 0 wbs_dat_o[2]
+port 662 nsew signal tristate
+flabel metal2 s 60544 -400 60600 240 0 FreeSans 560 90 0 0 wbs_dat_o[30]
+port 663 nsew signal tristate
+flabel metal2 s 62317 -400 62373 240 0 FreeSans 560 90 0 0 wbs_dat_o[31]
+port 664 nsew signal tristate
+flabel metal2 s 12082 -400 12138 240 0 FreeSans 560 90 0 0 wbs_dat_o[3]
+port 665 nsew signal tristate
+flabel metal2 s 14446 -400 14502 240 0 FreeSans 560 90 0 0 wbs_dat_o[4]
+port 666 nsew signal tristate
+flabel metal2 s 16219 -400 16275 240 0 FreeSans 560 90 0 0 wbs_dat_o[5]
+port 667 nsew signal tristate
+flabel metal2 s 17992 -400 18048 240 0 FreeSans 560 90 0 0 wbs_dat_o[6]
+port 668 nsew signal tristate
+flabel metal2 s 19765 -400 19821 240 0 FreeSans 560 90 0 0 wbs_dat_o[7]
+port 669 nsew signal tristate
+flabel metal2 s 21538 -400 21594 240 0 FreeSans 560 90 0 0 wbs_dat_o[8]
+port 670 nsew signal tristate
+flabel metal2 s 23311 -400 23367 240 0 FreeSans 560 90 0 0 wbs_dat_o[9]
+port 671 nsew signal tristate
+flabel metal2 s 5581 -400 5637 240 0 FreeSans 560 90 0 0 wbs_sel_i[0]
+port 672 nsew signal input
+flabel metal2 s 7945 -400 8001 240 0 FreeSans 560 90 0 0 wbs_sel_i[1]
+port 673 nsew signal input
+flabel metal2 s 10309 -400 10365 240 0 FreeSans 560 90 0 0 wbs_sel_i[2]
+port 674 nsew signal input
+flabel metal2 s 12673 -400 12729 240 0 FreeSans 560 90 0 0 wbs_sel_i[3]
+port 675 nsew signal input
+flabel metal2 s 2626 -400 2682 240 0 FreeSans 560 90 0 0 wbs_stb_i
+port 676 nsew signal input
+flabel metal2 s 3217 -400 3273 240 0 FreeSans 560 90 0 0 wbs_we_i
+port 677 nsew signal input
 << properties >>
 string FIXED_BBOX 0 0 292000 352000
 << end >>
diff --git a/netgen/comp.out b/netgen/comp.out
deleted file mode 100644
index 691707f..0000000
--- a/netgen/comp.out
+++ /dev/null
@@ -1,138 +0,0 @@
-Flattening unmatched subcell sky130_fd_pr__cap_mim_m3_2_W5U4AW in circuit example_por (0)(1 instance)
-Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ in circuit example_por (0)(1 instance)
-Flattening unmatched subcell sky130_fd_pr__nfet_g5v0d10v5_TGFUGS in circuit example_por (0)(1 instance)
-Flattening unmatched subcell sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 in circuit example_por (0)(1 instance)
-Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_3YBPVB in circuit example_por (0)(4 instances)
-Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_YUHPXE in circuit example_por (0)(1 instance)
-Flattening unmatched subcell sky130_fd_pr__nfet_g5v0d10v5_PKVMTM in circuit example_por (0)(1 instance)
-Flattening unmatched subcell sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC in circuit example_por (0)(1 instance)
-Flattening unmatched subcell sky130_fd_pr__cap_mim_m3_1_WRT4AW in circuit example_por (0)(1 instance)
-Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_YEUEBV in circuit example_por (0)(1 instance)
-Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_YUHPBG in circuit example_por (0)(1 instance)
-Equate elements:  no current cell.
-Equate elements:  no current cell.
-Equate elements:  no current cell.
-Equate elements:  no current cell.
-Equate elements:  no current cell.
-Equate elements:  no current cell.
-Equate elements:  no current cell.
-Class sky130_fd_sc_hvl__buf_8:  Merged 18 devices.
-Class sky130_fd_sc_hvl__buf_8:  Merged 18 devices.
-
-Subcircuit summary:
-Circuit 1: sky130_fd_sc_hvl__buf_8         |Circuit 2: sky130_fd_sc_hvl__buf_8         
--------------------------------------------|-------------------------------------------
-sky130_fd_pr__pfet_g5v0d10v5 (2)           |sky130_fd_pr__pfet_g5v0d10v5 (2)           
-sky130_fd_pr__nfet_g5v0d10v5 (2)           |sky130_fd_pr__nfet_g5v0d10v5 (2)           
-Number of devices: 4                       |Number of devices: 4                       
-Number of nets: 7                          |Number of nets: 7                          
----------------------------------------------------------------------------------------
-Circuits match uniquely.
-Netlists match uniquely.
-
-Subcircuit pins:
-Circuit 1: sky130_fd_sc_hvl__buf_8         |Circuit 2: sky130_fd_sc_hvl__buf_8         
--------------------------------------------|-------------------------------------------
-A                                          |A                                          
-VPWR                                       |VPWR                                       
-VPB                                        |VPB                                        
-X                                          |X                                          
-VGND                                       |VGND                                       
-VNB                                        |VNB                                        
----------------------------------------------------------------------------------------
-Cell pin lists are equivalent.
-Device classes sky130_fd_sc_hvl__buf_8 and sky130_fd_sc_hvl__buf_8 are equivalent.
-
-Subcircuit summary:
-Circuit 1: sky130_fd_sc_hvl__schmittbuf_1  |Circuit 2: sky130_fd_sc_hvl__schmittbuf_1  
--------------------------------------------|-------------------------------------------
-sky130_fd_pr__res_generic_pd__hv (1)       |sky130_fd_pr__res_generic_pd__hv (1)       
-sky130_fd_pr__pfet_g5v0d10v5 (4)           |sky130_fd_pr__pfet_g5v0d10v5 (4)           
-sky130_fd_pr__nfet_g5v0d10v5 (4)           |sky130_fd_pr__nfet_g5v0d10v5 (4)           
-sky130_fd_pr__res_generic_nd__hv (1)       |sky130_fd_pr__res_generic_nd__hv (1)       
-Number of devices: 10                      |Number of devices: 10                      
-Number of nets: 11                         |Number of nets: 11                         
----------------------------------------------------------------------------------------
-Circuits match uniquely.
-Netlists match uniquely.
-
-Subcircuit pins:
-Circuit 1: sky130_fd_sc_hvl__schmittbuf_1  |Circuit 2: sky130_fd_sc_hvl__schmittbuf_1  
--------------------------------------------|-------------------------------------------
-A                                          |A                                          
-VPB                                        |VPB                                        
-VNB                                        |VNB                                        
-VGND                                       |VGND                                       
-VPWR                                       |VPWR                                       
-X                                          |X                                          
----------------------------------------------------------------------------------------
-Cell pin lists are equivalent.
-Device classes sky130_fd_sc_hvl__schmittbuf_1 and sky130_fd_sc_hvl__schmittbuf_1 are equivalent.
-Class sky130_fd_sc_hvl__inv_8:  Merged 14 devices.
-Class sky130_fd_sc_hvl__inv_8:  Merged 14 devices.
-
-Subcircuit summary:
-Circuit 1: sky130_fd_sc_hvl__inv_8         |Circuit 2: sky130_fd_sc_hvl__inv_8         
--------------------------------------------|-------------------------------------------
-sky130_fd_pr__pfet_g5v0d10v5 (1)           |sky130_fd_pr__pfet_g5v0d10v5 (1)           
-sky130_fd_pr__nfet_g5v0d10v5 (1)           |sky130_fd_pr__nfet_g5v0d10v5 (1)           
-Number of devices: 2                       |Number of devices: 2                       
-Number of nets: 6                          |Number of nets: 6                          
----------------------------------------------------------------------------------------
-Circuits match uniquely.
-Netlists match uniquely.
-
-Subcircuit pins:
-Circuit 1: sky130_fd_sc_hvl__inv_8         |Circuit 2: sky130_fd_sc_hvl__inv_8         
--------------------------------------------|-------------------------------------------
-VPWR                                       |VPWR                                       
-VPB                                        |VPB                                        
-VGND                                       |VGND                                       
-VNB                                        |VNB                                        
-A                                          |A                                          
-Y                                          |Y                                          
----------------------------------------------------------------------------------------
-Cell pin lists are equivalent.
-Device classes sky130_fd_sc_hvl__inv_8 and sky130_fd_sc_hvl__inv_8 are equivalent.
-Class example_por:  Merged 20 devices.
-
-Subcircuit summary:
-Circuit 1: example_por                     |Circuit 2: example_por                     
--------------------------------------------|-------------------------------------------
-sky130_fd_pr__cap_mim_m3_2 (1)             |sky130_fd_pr__cap_mim_m3_2 (1)             
-sky130_fd_sc_hvl__buf_8 (2)                |sky130_fd_sc_hvl__buf_8 (2)                
-sky130_fd_pr__pfet_g5v0d10v5 (8)           |sky130_fd_pr__pfet_g5v0d10v5 (8)           
-sky130_fd_pr__nfet_g5v0d10v5 (3)           |sky130_fd_pr__nfet_g5v0d10v5 (3)           
-sky130_fd_pr__res_xhigh_po_0p69 (3)        |sky130_fd_pr__res_xhigh_po_0p69 (3)        
-sky130_fd_sc_hvl__schmittbuf_1 (1)         |sky130_fd_sc_hvl__schmittbuf_1 (1)         
-sky130_fd_pr__cap_mim_m3_1 (1)             |sky130_fd_pr__cap_mim_m3_1 (1)             
-sky130_fd_sc_hvl__inv_8 (1)                |sky130_fd_sc_hvl__inv_8 (1)                
-Number of devices: 20                      |Number of devices: 20                      
-Number of nets: 16                         |Number of nets: 16                         
----------------------------------------------------------------------------------------
-Circuits match uniquely.
-Property errors were found.
-Netlists match uniquely.
-There were property errors.
-sky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0/sky130_fd_pr__res_xhigh_po_0p693 vs. sky130_fd_pr__res_xhigh_po_0p69R1:
-Property W in circuit2 has no matching property in circuit1
-sky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0/sky130_fd_pr__res_xhigh_po_0p690 vs. sky130_fd_pr__res_xhigh_po_0p69R2:
-Property W in circuit2 has no matching property in circuit1
-sky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0/sky130_fd_pr__res_xhigh_po_0p6918 vs. sky130_fd_pr__res_xhigh_po_0p69R3:
-Property W in circuit2 has no matching property in circuit1
-
-Subcircuit pins:
-Circuit 1: example_por                     |Circuit 2: example_por                     
--------------------------------------------|-------------------------------------------
-vdd3v3                                     |vdd3v3                                     
-porb_h                                     |porb_h                                     
-porb_l                                     |porb_l                                     
-por_l                                      |por_l                                      
-vdd1v8                                     |vdd1v8                                     
-vss                                        |vss                                        
----------------------------------------------------------------------------------------
-Cell pin lists are equivalent.
-Device classes example_por and example_por are equivalent.
-Circuits match uniquely.
-Property errors were found.
-The following cells had property errors: example_por
diff --git a/netgen/run_wrapper.sh b/netgen/run_wrapper.sh
new file mode 100755
index 0000000..d84d94f
--- /dev/null
+++ b/netgen/run_wrapper.sh
@@ -0,0 +1,22 @@
+#!/bin/sh
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+#--------------------------------------------------------------------------------
+# Run LVS on the user_analog_project_wrapper layout, comparing against the
+# top-level verilog module
+#
+#--------------------------------------------------------------------------------
+netgen -batch lvs "user_analog_project_wrapper.spice user_analog_project_wrapper" "../verilog/rtl/user_analog_proj_example.v user_analog_proj_example" /usr/share/pdk/sky130A/libs.tech/netgen/sky130A_setup.tcl comp.out
diff --git a/netgen/user_analog_project_wrapper.spice b/netgen/user_analog_project_wrapper.spice
new file mode 100644
index 0000000..db4f39d
--- /dev/null
+++ b/netgen/user_analog_project_wrapper.spice
@@ -0,0 +1,330 @@
+* NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
+
+.subckt sky130_fd_pr__cap_mim_m3_2_W5U4AW VSUBS m4_n3179_n3100# c2_n3079_n3000#
+X0 c2_n3079_n3000# m4_n3179_n3100# sky130_fd_pr__cap_mim_m3_2 l=3e+07u w=3e+07u
+.ends
+
+.subckt sky130_fd_sc_hvl__buf_8 A VGND VNB VPB VPWR X
+X0 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=2.9175e+12p pd=2.189e+07u as=8.475e+11p ps=7.13e+06u w=1.5e+06u l=500000u
+X1 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=1.45875e+12p pd=1.289e+07u as=8.4e+11p ps=8.24e+06u w=750000u l=500000u
+X2 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=1.68e+12p ps=1.424e+07u w=1.5e+06u l=500000u
+X3 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X4 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X5 a_45_443# A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=4.2375e+11p pd=4.13e+06u as=0p ps=0u w=750000u l=500000u
+X6 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X7 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X8 VGND A a_45_443# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X9 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X10 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X11 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X12 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X13 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X14 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X15 a_45_443# A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X16 VGND A a_45_443# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X17 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X18 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X19 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X20 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X21 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+.ends
+
+.subckt sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ VSUBS a_n465_n200# a_n247_n200# a_n29_n200#
++ a_843_n200# w_n1101_n497# a_n843_n297# a_625_n200# a_683_n297# a_n625_n297# a_407_n200#
++ a_465_n297# a_n407_n297# a_247_n297# a_n901_n200# a_189_n200# a_29_n297# a_n189_n297#
++ a_n683_n200#
+X0 a_407_n200# a_247_n297# a_189_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+X1 a_843_n200# a_683_n297# a_625_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+X2 a_n465_n200# a_n625_n297# a_n683_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+X3 a_189_n200# a_29_n297# a_n29_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+X4 a_625_n200# a_465_n297# a_407_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
+X5 a_n247_n200# a_n407_n297# a_n465_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=800000u
+X6 a_n683_n200# a_n843_n297# a_n901_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+X7 a_n29_n200# a_n189_n297# a_n247_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_pr__nfet_g5v0d10v5_TGFUGS a_n80_n288# a_n574_n200# a_n356_n200#
++ a_n138_n200# a_n734_n288# a_574_n288# a_n516_n288# a_356_n288# a_80_n200# a_n298_n288#
++ a_138_n288# w_n962_n458# a_734_n200# a_516_n200# a_298_n200# a_n792_n200#
+X0 a_516_n200# a_356_n288# a_298_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+X1 a_n574_n200# a_n734_n288# a_n792_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+X2 a_298_n200# a_138_n288# a_80_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+X3 a_80_n200# a_n80_n288# a_n138_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+X4 a_734_n200# a_574_n288# a_516_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=800000u
+X5 a_n356_n200# a_n516_n288# a_n574_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=800000u
+X6 a_n138_n200# a_n298_n288# a_n356_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 a_n2578_n2932# a_5142_2500# a_n1034_n2932#
++ a_n262_2500# a_1668_2500# a_n262_n2932# a_n3736_2500# a_3984_n2932# a_n2192_2500#
++ a_3984_2500# a_2440_n2932# a_2440_2500# a_4370_n2932# a_3598_2500# a_2054_2500#
++ a_n4508_n2932# a_510_2500# a_n4122_2500# a_n2964_n2932# a_124_2500# a_n4894_n2932#
++ a_1282_n2932# a_124_n2932# a_n1420_n2932# a_4370_2500# a_n3350_n2932# a_n648_n2932#
++ a_n648_2500# a_n5280_n2932# a_n1420_2500# a_n2964_2500# a_n2578_2500# a_n1034_2500#
++ a_2826_n2932# a_n2192_n2932# a_2826_2500# a_4756_n2932# w_n5446_n3098# a_1282_2500#
++ a_3212_n2932# a_n4894_2500# a_n3350_2500# a_n4508_2500# a_5142_n2932# a_896_2500#
++ a_510_n2932# a_1668_n2932# a_n1806_n2932# a_4756_2500# a_n3736_n2932# a_3598_n2932#
++ a_3212_2500# a_2054_n2932# a_896_n2932# a_n5280_2500# a_n4122_n2932# a_n1806_2500#
+X0 a_n3350_n2932# a_n3350_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X1 a_n4508_n2932# a_n4508_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X2 a_n2578_n2932# a_n2578_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X3 a_n1420_n2932# a_n1420_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X4 a_n4894_n2932# a_n4894_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X5 a_n3736_n2932# a_n3736_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X6 a_3598_n2932# a_3598_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X7 a_124_n2932# a_124_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X8 a_4756_n2932# a_4756_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X9 a_n2964_n2932# a_n2964_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X10 a_1668_n2932# a_1668_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X11 a_n1806_n2932# a_n1806_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X12 a_n648_n2932# a_n648_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X13 a_3984_n2932# a_3984_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X14 a_2826_n2932# a_2826_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X15 a_510_n2932# a_510_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X16 a_n4122_n2932# a_n4122_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X17 a_n2192_n2932# a_n2192_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X18 a_5142_n2932# a_5142_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X19 a_n1034_n2932# a_n1034_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X20 a_2054_n2932# a_2054_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X21 a_4370_n2932# a_4370_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X22 a_3212_n2932# a_3212_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X23 a_1282_n2932# a_1282_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X24 a_n262_n2932# a_n262_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X25 a_n5280_n2932# a_n5280_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X26 a_2440_n2932# a_2440_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X27 a_896_n2932# a_896_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+.ends
+
+.subckt sky130_fd_pr__pfet_g5v0d10v5_3YBPVB VSUBS a_n138_n200# w_n338_n497# a_80_n200#
++ a_n80_n297#
+X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_sc_hvl__schmittbuf_1 A VGND VNB VPB VPWR X
+X0 a_64_207# VPWR VPB sky130_fd_pr__res_generic_pd__hv w=290000u l=3.11e+06u
+X1 a_231_463# A a_117_181# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=4.0875e+11p pd=4.09e+06u as=1.9875e+11p ps=2.03e+06u w=750000u l=500000u
+X2 a_217_207# A a_117_181# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=2.289e+11p pd=2.77e+06u as=1.113e+11p ps=1.37e+06u w=420000u l=500000u
+X3 VPWR A a_231_463# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=1.02225e+12p pd=5.2e+06u as=0p ps=0u w=750000u l=500000u
+X4 a_217_207# a_117_181# a_64_207# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=500000u
+X5 X a_117_181# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=1.9875e+11p pd=2.03e+06u as=9.478e+11p ps=4.36e+06u w=750000u l=500000u
+X6 a_78_463# VGND VNB sky130_fd_pr__res_generic_nd__hv w=290000u l=1.355e+06u
+X7 X a_117_181# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=3.975e+11p pd=3.53e+06u as=0p ps=0u w=1.5e+06u l=500000u
+X8 VGND A a_217_207# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=420000u l=500000u
+X9 a_231_463# a_117_181# a_78_463# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=1.9875e+11p ps=2.03e+06u w=750000u l=500000u
+.ends
+
+.subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPXE VSUBS a_n138_n200# w_n338_n497# a_80_n200#
++ a_n80_n297#
+X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_pr__nfet_g5v0d10v5_PKVMTM a_n80_n288# a_n138_n200# a_80_n200# w_n308_n458#
+X0 a_80_n200# a_n80_n288# a_n138_n200# w_n308_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC a_n80_n288# a_n138_n200# a_80_n200# w_n308_n458#
+X0 a_80_n200# a_n80_n288# a_n138_n200# w_n308_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_1_WRT4AW VSUBS m3_n3136_n3100# c1_n3036_n3000#
+X0 c1_n3036_n3000# m3_n3136_n3100# sky130_fd_pr__cap_mim_m3_1 l=3e+07u w=3e+07u
+.ends
+
+.subckt sky130_fd_pr__pfet_g5v0d10v5_YEUEBV VSUBS w_n992_n497# a_n574_n200# a_n356_n200#
++ a_n138_n200# a_80_n200# a_n80_n297# a_734_n200# a_n734_n297# a_516_n200# a_574_n297#
++ a_n516_n297# a_356_n297# a_298_n200# a_n298_n297# a_138_n297# a_n792_n200#
+X0 a_734_n200# a_574_n297# a_516_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+X1 a_n356_n200# a_n516_n297# a_n574_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+X2 a_n138_n200# a_n298_n297# a_n356_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=800000u
+X3 a_516_n200# a_356_n297# a_298_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+X4 a_n574_n200# a_n734_n297# a_n792_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+X5 a_298_n200# a_138_n297# a_80_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+X6 a_80_n200# a_n80_n297# a_n138_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPBG VSUBS a_n138_n200# w_n338_n497# a_80_n200#
++ a_n80_n297#
+X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u
+.ends
+
+.subckt sky130_fd_sc_hvl__inv_8 A VGND VNB VPB VPWR Y
+X0 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=1.68e+12p pd=1.424e+07u as=2.055e+12p ps=1.774e+07u w=1.5e+06u l=500000u
+X1 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=8.4e+11p pd=8.24e+06u as=1.14e+12p ps=1.054e+07u w=750000u l=500000u
+X2 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X3 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X4 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X5 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X6 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X7 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X8 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X9 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X10 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X11 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X12 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X13 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X14 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+X15 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
+.ends
+
+.subckt example_por vdd3v3 vdd1v8 vss porb_h por_l porb_l
+Xsky130_fd_pr__cap_mim_m3_2_W5U4AW_0 vss sky130_fd_sc_hvl__schmittbuf_1_0/A vss sky130_fd_pr__cap_mim_m3_2_W5U4AW
+Xsky130_fd_sc_hvl__buf_8_1 sky130_fd_sc_hvl__inv_8_0/A vss vss vdd1v8 vdd1v8 porb_l
++ sky130_fd_sc_hvl__buf_8
+Xsky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0 vss vdd3v3 m1_502_7653# vdd3v3 vdd3v3 vdd3v3
++ m1_502_7653# m1_502_7653# m1_502_7653# m1_502_7653# vdd3v3 m1_502_7653# m1_502_7653#
++ m1_502_7653# vdd3v3 m1_502_7653# m1_502_7653# m1_502_7653# m1_502_7653# sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ
+Xsky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0 m1_721_6815# vss m1_721_6815# vss m1_721_6815#
++ m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# vss
++ vss m1_721_6815# vss m1_721_6815# sky130_fd_pr__nfet_g5v0d10v5_TGFUGS
+Xsky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0 li_2935_165# vss li_4479_165# li_4866_5813#
++ li_7182_5813# li_5251_165# li_1778_5813# li_9111_165# li_3322_5813# li_9498_5813#
++ li_7567_165# li_7954_5813# li_9883_165# li_8726_5813# li_7182_5813# li_619_165#
++ li_5638_5813# li_1006_5813# li_2163_165# li_5638_5813# li_619_165# li_6795_165#
++ li_5251_165# li_3707_165# li_9498_5813# li_2163_165# li_4479_165# li_4866_5813#
++ vss li_4094_5813# li_2550_5813# li_2550_5813# li_4094_5813# li_8339_165# li_2935_165#
++ li_7954_5813# li_9883_165# vss li_6410_5813# li_8339_165# vss li_1778_5813# li_1006_5813#
++ vss li_6410_5813# li_6023_165# li_6795_165# li_3707_165# vdd3v3 li_1391_165# li_9111_165#
++ li_8726_5813# li_7567_165# li_6023_165# vss li_1391_165# li_3322_5813# sky130_fd_pr__res_xhigh_po_0p69_S5N9F3
+Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_0 vss m1_2993_7658# vdd3v3 m1_721_6815# m1_185_6573#
++ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
+Xsky130_fd_sc_hvl__schmittbuf_1_0 sky130_fd_sc_hvl__schmittbuf_1_0/A vss vss vdd3v3
++ vdd3v3 sky130_fd_sc_hvl__inv_8_0/A sky130_fd_sc_hvl__schmittbuf_1
+Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1 vss m1_2756_6573# vdd3v3 m1_4283_8081# m1_2756_6573#
++ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
+Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_2 vss m1_6249_7690# vdd3v3 sky130_fd_sc_hvl__schmittbuf_1_0/A
++ m1_2756_6573# sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
+Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_3 vss m1_185_6573# vdd3v3 m1_502_7653# m1_185_6573#
++ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
+Xsky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0 vss vdd3v3 vdd3v3 m1_6249_7690# m1_4283_8081#
++ sky130_fd_pr__pfet_g5v0d10v5_YUHPXE
+Xsky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0 m1_721_6815# vss m1_2756_6573# vss sky130_fd_pr__nfet_g5v0d10v5_PKVMTM
+Xsky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1 li_2550_5813# vss m1_185_6573# vss sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC
+Xsky130_fd_pr__cap_mim_m3_1_WRT4AW_0 vss vss sky130_fd_sc_hvl__schmittbuf_1_0/A sky130_fd_pr__cap_mim_m3_1_WRT4AW
+Xsky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0 vss vdd3v3 m1_4283_8081# vdd3v3 m1_4283_8081#
++ vdd3v3 m1_4283_8081# m1_4283_8081# m1_4283_8081# vdd3v3 m1_4283_8081# m1_4283_8081#
++ m1_4283_8081# m1_4283_8081# m1_4283_8081# m1_4283_8081# vdd3v3 sky130_fd_pr__pfet_g5v0d10v5_YEUEBV
+Xsky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0 vss vdd3v3 vdd3v3 m1_2993_7658# m1_502_7653#
++ sky130_fd_pr__pfet_g5v0d10v5_YUHPBG
+Xsky130_fd_sc_hvl__inv_8_0 sky130_fd_sc_hvl__inv_8_0/A vss vss vdd1v8 vdd1v8 por_l
++ sky130_fd_sc_hvl__inv_8
+Xsky130_fd_sc_hvl__buf_8_0 sky130_fd_sc_hvl__inv_8_0/A vss vss vdd3v3 vdd3v3 porb_h
++ sky130_fd_sc_hvl__buf_8
+.ends
+
+.subckt user_analog_proj_example VSUBS example_por_1/vdd1v8 example_por_0/vdd1v8 example_por_0/porb_h
++ example_por_0/porb_l example_por_1/porb_h example_por_0/vdd3v3 example_por_1/porb_l
++ example_por_1/vdd3v3 example_por_1/por_l example_por_0/por_l
+Xexample_por_0 example_por_0/vdd3v3 example_por_0/vdd1v8 VSUBS example_por_0/porb_h
++ example_por_0/por_l example_por_0/porb_l example_por
+Xexample_por_1 example_por_1/vdd3v3 example_por_1/vdd1v8 VSUBS example_por_1/porb_h
++ example_por_1/por_l example_por_1/porb_l example_por
+.ends
+
+.subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
++ gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
++ gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5]
++ gpio_analog[6] gpio_analog[7] gpio_analog[8] gpio_analog[9] gpio_noesd[0] gpio_noesd[10]
++ gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
++ gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
++ gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10]
++ io_analog[1] io_analog[2] io_analog[3] io_analog[7] io_analog[8] io_analog[9] io_analog[5]
++ io_analog[6] io_clamp_high[0] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13] io_in[14]
++ io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21] io_in[22]
++ io_in[23] io_in[24] io_in[25] io_in[26] io_in[2] io_in[3] io_in[4] io_in[5] io_in[6]
++ io_in[7] io_in[8] io_in[9] io_in_3v3[0] io_in_3v3[10] io_in_3v3[11] io_in_3v3[12]
++ io_in_3v3[13] io_in_3v3[14] io_in_3v3[15] io_in_3v3[16] io_in_3v3[17] io_in_3v3[18]
++ io_in_3v3[19] io_in_3v3[1] io_in_3v3[20] io_in_3v3[21] io_in_3v3[22] io_in_3v3[23]
++ io_in_3v3[24] io_in_3v3[25] io_in_3v3[26] io_in_3v3[2] io_in_3v3[3] io_in_3v3[4]
++ io_in_3v3[5] io_in_3v3[6] io_in_3v3[7] io_in_3v3[8] io_in_3v3[9] io_oeb[0] io_oeb[10]
++ io_oeb[13] io_oeb[14] io_oeb[17] io_oeb[18] io_oeb[19] io_oeb[1] io_oeb[20] io_oeb[21]
++ io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25] io_oeb[26] io_oeb[2] io_oeb[3] io_oeb[4]
++ io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8] io_oeb[9] io_out[0] io_out[10] io_out[11]
++ io_out[12] io_out[13] io_out[14] io_out[15] io_out[16] io_out[17] io_out[18] io_out[19]
++ io_out[1] io_out[20] io_out[21] io_out[22] io_out[23] io_out[24] io_out[25] io_out[26]
++ io_out[2] io_out[3] io_out[4] io_out[5] io_out[6] io_out[7] io_out[8] io_out[9]
++ la_data_in[0] la_data_in[100] la_data_in[101] la_data_in[102] la_data_in[103] la_data_in[104]
++ la_data_in[105] la_data_in[106] la_data_in[107] la_data_in[108] la_data_in[109]
++ la_data_in[10] la_data_in[110] la_data_in[111] la_data_in[112] la_data_in[113] la_data_in[114]
++ la_data_in[115] la_data_in[116] la_data_in[117] la_data_in[118] la_data_in[119]
++ la_data_in[11] la_data_in[120] la_data_in[121] la_data_in[122] la_data_in[123] la_data_in[124]
++ la_data_in[125] la_data_in[126] la_data_in[127] la_data_in[12] la_data_in[13] la_data_in[14]
++ la_data_in[15] la_data_in[16] la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[1]
++ la_data_in[20] la_data_in[21] la_data_in[22] la_data_in[23] la_data_in[24] la_data_in[25]
++ la_data_in[26] la_data_in[27] la_data_in[28] la_data_in[29] la_data_in[2] la_data_in[30]
++ la_data_in[31] la_data_in[32] la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36]
++ la_data_in[37] la_data_in[38] la_data_in[39] la_data_in[3] la_data_in[40] la_data_in[41]
++ la_data_in[42] la_data_in[43] la_data_in[44] la_data_in[45] la_data_in[46] la_data_in[47]
++ la_data_in[48] la_data_in[49] la_data_in[4] la_data_in[50] la_data_in[51] la_data_in[52]
++ la_data_in[53] la_data_in[54] la_data_in[55] la_data_in[56] la_data_in[57] la_data_in[58]
++ la_data_in[59] la_data_in[5] la_data_in[60] la_data_in[61] la_data_in[62] la_data_in[63]
++ la_data_in[64] la_data_in[65] la_data_in[66] la_data_in[67] la_data_in[68] la_data_in[69]
++ la_data_in[6] la_data_in[70] la_data_in[71] la_data_in[72] la_data_in[73] la_data_in[74]
++ la_data_in[75] la_data_in[76] la_data_in[77] la_data_in[78] la_data_in[79] la_data_in[7]
++ la_data_in[80] la_data_in[81] la_data_in[82] la_data_in[83] la_data_in[84] la_data_in[85]
++ la_data_in[86] la_data_in[87] la_data_in[88] la_data_in[89] la_data_in[8] la_data_in[90]
++ la_data_in[91] la_data_in[92] la_data_in[93] la_data_in[94] la_data_in[95] la_data_in[96]
++ la_data_in[97] la_data_in[98] la_data_in[99] la_data_in[9] la_data_out[0] la_data_out[100]
++ la_data_out[101] la_data_out[102] la_data_out[103] la_data_out[104] la_data_out[105]
++ la_data_out[106] la_data_out[107] la_data_out[108] la_data_out[109] la_data_out[10]
++ la_data_out[110] la_data_out[111] la_data_out[112] la_data_out[113] la_data_out[114]
++ la_data_out[115] la_data_out[116] la_data_out[117] la_data_out[118] la_data_out[119]
++ la_data_out[11] la_data_out[120] la_data_out[121] la_data_out[122] la_data_out[123]
++ la_data_out[124] la_data_out[125] la_data_out[126] la_data_out[127] la_data_out[12]
++ la_data_out[13] la_data_out[14] la_data_out[15] la_data_out[16] la_data_out[17]
++ la_data_out[18] la_data_out[19] la_data_out[1] la_data_out[20] la_data_out[21] la_data_out[22]
++ la_data_out[23] la_data_out[24] la_data_out[25] la_data_out[26] la_data_out[27]
++ la_data_out[28] la_data_out[29] la_data_out[2] la_data_out[30] la_data_out[31] la_data_out[32]
++ la_data_out[33] la_data_out[34] la_data_out[35] la_data_out[36] la_data_out[37]
++ la_data_out[38] la_data_out[39] la_data_out[3] la_data_out[40] la_data_out[41] la_data_out[42]
++ la_data_out[43] la_data_out[44] la_data_out[45] la_data_out[46] la_data_out[47]
++ la_data_out[48] la_data_out[49] la_data_out[4] la_data_out[50] la_data_out[51] la_data_out[52]
++ la_data_out[53] la_data_out[54] la_data_out[55] la_data_out[56] la_data_out[57]
++ la_data_out[58] la_data_out[59] la_data_out[5] la_data_out[60] la_data_out[61] la_data_out[62]
++ la_data_out[63] la_data_out[64] la_data_out[65] la_data_out[66] la_data_out[67]
++ la_data_out[68] la_data_out[69] la_data_out[6] la_data_out[70] la_data_out[71] la_data_out[72]
++ la_data_out[73] la_data_out[74] la_data_out[75] la_data_out[76] la_data_out[77]
++ la_data_out[78] la_data_out[79] la_data_out[7] la_data_out[80] la_data_out[81] la_data_out[82]
++ la_data_out[83] la_data_out[84] la_data_out[85] la_data_out[86] la_data_out[87]
++ la_data_out[88] la_data_out[89] la_data_out[8] la_data_out[90] la_data_out[91] la_data_out[92]
++ la_data_out[93] la_data_out[94] la_data_out[95] la_data_out[96] la_data_out[97]
++ la_data_out[98] la_data_out[99] la_data_out[9] la_oenb[0] la_oenb[100] la_oenb[101]
++ la_oenb[102] la_oenb[103] la_oenb[104] la_oenb[105] la_oenb[106] la_oenb[107] la_oenb[108]
++ la_oenb[109] la_oenb[10] la_oenb[110] la_oenb[111] la_oenb[112] la_oenb[113] la_oenb[114]
++ la_oenb[115] la_oenb[116] la_oenb[117] la_oenb[118] la_oenb[119] la_oenb[11] la_oenb[120]
++ la_oenb[121] la_oenb[122] la_oenb[123] la_oenb[124] la_oenb[125] la_oenb[126] la_oenb[127]
++ la_oenb[12] la_oenb[13] la_oenb[14] la_oenb[15] la_oenb[16] la_oenb[17] la_oenb[18]
++ la_oenb[19] la_oenb[1] la_oenb[20] la_oenb[21] la_oenb[22] la_oenb[23] la_oenb[24]
++ la_oenb[25] la_oenb[26] la_oenb[27] la_oenb[28] la_oenb[29] la_oenb[2] la_oenb[30]
++ la_oenb[31] la_oenb[32] la_oenb[33] la_oenb[34] la_oenb[35] la_oenb[36] la_oenb[37]
++ la_oenb[38] la_oenb[39] la_oenb[3] la_oenb[40] la_oenb[41] la_oenb[42] la_oenb[43]
++ la_oenb[44] la_oenb[45] la_oenb[46] la_oenb[47] la_oenb[48] la_oenb[49] la_oenb[4]
++ la_oenb[50] la_oenb[51] la_oenb[52] la_oenb[53] la_oenb[54] la_oenb[55] la_oenb[56]
++ la_oenb[57] la_oenb[58] la_oenb[59] la_oenb[5] la_oenb[60] la_oenb[61] la_oenb[62]
++ la_oenb[63] la_oenb[64] la_oenb[65] la_oenb[66] la_oenb[67] la_oenb[68] la_oenb[69]
++ la_oenb[6] la_oenb[70] la_oenb[71] la_oenb[72] la_oenb[73] la_oenb[74] la_oenb[75]
++ la_oenb[76] la_oenb[77] la_oenb[78] la_oenb[79] la_oenb[7] la_oenb[80] la_oenb[81]
++ la_oenb[82] la_oenb[83] la_oenb[84] la_oenb[85] la_oenb[86] la_oenb[87] la_oenb[88]
++ la_oenb[89] la_oenb[8] la_oenb[90] la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94]
++ la_oenb[95] la_oenb[96] la_oenb[97] la_oenb[98] la_oenb[99] la_oenb[9] user_clock2
++ user_irq[0] user_irq[1] user_irq[2] vccd1 vccd2 vdda1 vdda2 vssa1 vssa2 vssd1 vssd2
++ wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0] wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12]
++ wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15] wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18]
++ wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20] wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23]
++ wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26] wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29]
++ wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31] wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5]
++ wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9] wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10]
++ wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14] wbs_dat_i[15] wbs_dat_i[16]
++ wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1] wbs_dat_i[20] wbs_dat_i[21]
++ wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25] wbs_dat_i[26] wbs_dat_i[27]
++ wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30] wbs_dat_i[31] wbs_dat_i[3]
++ wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8] wbs_dat_i[9] wbs_dat_o[0]
++ wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13] wbs_dat_o[14] wbs_dat_o[15]
++ wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19] wbs_dat_o[1] wbs_dat_o[20]
++ wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24] wbs_dat_o[25] wbs_dat_o[26]
++ wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2] wbs_dat_o[30] wbs_dat_o[31]
++ wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6] wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9]
++ wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3] wbs_stb_i wbs_we_i
+Xuser_analog_proj_example_0 vssa1 vccd1 vccd1 gpio_analog[7] io_out[15] gpio_analog[3]
++ io_clamp_high[0] io_out[11] vdda1 io_out[12] io_out[16] user_analog_proj_example
+.ends
+
diff --git a/verilog/rtl/user_analog_proj_example.v b/verilog/rtl/user_analog_proj_example.v
index 8764d47..94412da 100644
--- a/verilog/rtl/user_analog_proj_example.v
+++ b/verilog/rtl/user_analog_proj_example.v
@@ -134,42 +134,42 @@
     wire [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] io_oeb;
     wire [`ANALOG_PADS-1:0] io_analog;
 
-    wire [31:0] rdata; 
-    wire [31:0] wdata;
+    // wire [31:0] rdata; 
+    // wire [31:0] wdata;
 
-    wire valid;
-    wire [3:0] wstrb;
+    // wire valid;
+    // wire [3:0] wstrb;
 
     wire isupply;	// Independent 3.3V supply
     wire io16, io15, io12, io11;
 
     // WB MI A
-    assign valid = wbs_cyc_i && wbs_stb_i; 
-    assign wstrb = wbs_sel_i & {4{wbs_we_i}};
-    assign wbs_dat_o = rdata;
-    assign wdata = wbs_dat_i;
+    // assign valid = wbs_cyc_i && wbs_stb_i; 
+    // assign wstrb = wbs_sel_i & {4{wbs_we_i}};
+    // assign wbs_dat_o = rdata;
+    // assign wdata = wbs_dat_i;
 
-    // IO --- unused
-    assign io_out[`MPRJ_IO_PADS-`ANALOG_PADS-1:17] = 0;
-    assign io_out[14:13] = 11'b0;
-    assign io_out[10:0] = 11'b0;
+    // IO --- unused (no need to connect to anything)
+    // assign io_out[`MPRJ_IO_PADS-`ANALOG_PADS-1:17] = 0;
+    // assign io_out[14:13] = 11'b0;
+    // assign io_out[10:0] = 11'b0;
 
-    assign io_oeb[`MPRJ_IO_PADS-`ANALOG_PADS-1:17] = -1;
-    assign io_oeb[14:13] = 11'b1;
-    assign io_oeb[10:0] = 11'b1;
+    // assign io_oeb[`MPRJ_IO_PADS-`ANALOG_PADS-1:17] = -1;
+    // assign io_oeb[14:13] = 11'b1;
+    // assign io_oeb[10:0] = 11'b1;
 
     // IO --- enable outputs on 11, 12, 15, and 16
     assign io_out[12:11] = {io12, io11};
-    assign io_oeb[12:11] = 2'b0;
+    assign io_oeb[12:11] = {vssd1, vssd1};
 
     assign io_out[16:15] = {io16, io15};
-    assign io_oeb[16:15] = 2'b0;
+    assign io_oeb[16:15] = {vssd1, vssd1};
 
     // IRQ
     assign irq = 3'b000;	// Unused
 
-    // LA
-    assign la_data_out = {128{1'b0}};	// Unused
+    // LA --- unused (no need to connect to anything)
+    // assign la_data_out = {128{1'b0}};	// Unused
 
     // Instantiate the POR.  Connect the digital power to user area 1
     // VCCD, and connect the analog power to user area 1 VDDA.